HANDBOOK
OF
COMPOUND SEMICONDUCTORS Growth, Processing, Characterization, and Devices
Edited by
Paul H. Holloway Uni...
56 downloads
1538 Views
56MB Size
Report
This content was uploaded by our users and we assume good faith they have the permission to share this book. If you own the copyright to this book and it is wrongfully on our website, we offer a simple DMCA procedure to remove your content from our site. Start by pressing the button below!
Report copyright / DMCA form
HANDBOOK
OF
COMPOUND SEMICONDUCTORS Growth, Processing, Characterization, and Devices
Edited by
Paul H. Holloway University of Florida Gainesville, Florida
Gary E. McGuire Microelectronics Center of North Carolina Research Triangle Park, North Carolina
NOYES PUBLICATIONS Park Ridge, New Jersey, U.S.A.
Copyright 0 1995 by Noyes Publications No part of this book may be reproduced or utilized in any form or by any means, electronic or mechanical, including photocopying, recording or by any information storage and retrieval system, without permission in writing from the Publisher. Library of Congress Catalog Card Number: 95-2 1450 ISBN: O-8155-1374-7 Printed in the United States Published in the United States of America by Noyes Publications Mill Road, Park Ridge, New Jersey 07656 10987654321
Library
of Congress
Cataloging-in-Publication
Data
Holloway, Paul H. Handbook of compound semiconductors /Paul H. Holloway and Gary E. McGuire. cm. P. Includes bibliographical references and index. ISBN O-8155-1374-7 1. Compound semiconductors--Handbooks, manuals, etc. I. McGuire, G. E. II. Title. QC611.8.C64H65 1995 95-21540 621.3815’2--dc20 CIP
Editors Rointan F. Bunshah, University of California, Los Angeles (Series Editor) Gary E. McGuire, Microelectronics Center of North Carolina (Series Editor) Stephen M. Rossnagel, IBM Thomas J. Watson Research Center (Consulting Editor)
Electronic
Materials
and Process
HANDBOOK OF DEPOSITION TECHNOLOGIES Edition: edited by Rointan F. Bunshah CHEMICAL
VAPOR
SEMICONDUCTOR by Gary E. McGuire
DEPOSITION MATERIALS
FOR FILMS AND COATINGS,
FOR MICROELECTRONICS: AND PROCESS
HYBRID MICROCIRCUITTECHNOLOGY Enlow
BEAM DEPOSITION
HANDBOOK OF CONTAMINATION Donald L. Tolliver
AND TECHNIQUES:
AND EPITAXY: AND
CONTROL
HANDBOOK:
edited
by James J. Licari and Leonard R.
PROCESSES
DIFFUSION PHENOMENA IN THIN FILMS edited by Devendra Gupta and Paul S. Ho
Second
by Arthur Sherman
TECHNOLOGY
HANDBOOK:
HANDBOOK OF THIN FILM DEPOSITION Klaus K. Schuegraf IONIZED-CLUSTER
Technology
by Toshinori
edited by
Takagi
MICROELECTRONIC
MATERIALS:
IN MICROELECTRONICS:
edited
by
HANDBOOK OF ION BEAM PROCESSING TECHNOLOGY: Stephen M. Rossnagel, and Harold R. Kaufman
edited by Jerome J. Cuomo,
CHARACTERIZATION McGuire
Volume 1: edited by Gary E.
OF SEMICONDUCTOR
MATERIALS,
HANDBOOKOF PLASMA PROCESSINGTECHNOLOGY: Jerome J. Cuomo, and William D. Westwood HANDBOOK OF SEMICONDUCTOR SILICON O’Mara, Robert B. Herring, and Lee P. Hunt HANDBOOK OF POLYMER Licari and Laura A. Hughes HANDBOOKOF Hayakawa
SPUTTER
COATINGS
DEPOSITION
TECHNOLOGY:
OF VLSI MICROLITHOGRAPHY:
CHEMISTRY
OF SUPERCONDUCTOR DEPOSITION
TECHNOLOGY:
FOR ELECTRONICS,
HANDBOOK N. Helbert
CHEMICALVAPOR E. J. Schmitz
edited by Stephen M. Rossnagel,
edited
by William
2nd Edition:
by Kiyotaka Wasaand
edited by William B. Glendinning
MATERIALS:
OF TUNGSTEN
ELECTROCHEMISTRY OF SEMICONDUCTORS McHardy and Frank Ludwig V
C.
by James
Shigeru
and John
edited by Terre11 A. Vanderah
AND TUNGSTEN
SILICIDES:
AND ELECTRONICS:
byJohn
edited by John
vi
Series
HANDBOOK DIAMOND
OF CHEMICAL
VAPOR
FILMS AND COATINGS:
ELECTRODEPOSITION:
DEPOSITION:
by Hugh 0. Pierson
edited by Robert F. Davis
by Jack W. Dini
HANDBOOK OF SEMICONDUCTOR Werner Kern
WAFER
CONTACTS
edited by Leonard J. Brillson
TO SEMICONDUCTORS:
CLEANING
TECHNOLOGY:
HANDBOOK OF MULTILEVEL METALLIZATION FOR INTEGRATED by Syd R. Wilson, Clarence J. Tracy, and John L. Freeman, Jr. HANDBOOK Pierson
OF CARBON,
MOLECULAR
GRAPHITE,
BEAM EPITAXY:
HANDBOOKOF E. McGuire
DIAMONDS
AND FULLERENES:
SEMICONDUCTORS:
DIAMOND
CHEMICAL
SOURCES:
VAPOR
DEPOSITION:
FRICTION
CERAMIC
COMPOSITES:
PROCESSING
FOR INDUSTRIAL
MELTING
AND CERAMIC
FILMS AND COATINGS:
TECHNOLOGY
CARBON-CARBON D. Edie CODE COMPLIANCE SEMICONDUCTOR David G. Baldwin
HANDBOOK:
MATERIALS
SUPERCONDUCTORS:
edited by
by Stephen C. Carniglia
and Richard A. Haber
Titles by Arthur H. Landrock
TECHNOLOGY
HYGIENE
E. Murr
edited by G. K. Bhat
TECHNOLOGY:
AND COMPOSITES:
FOR ADVANCED INDUSTRIAL
edited by Lawrence
edited by John 9. Wachtman
Related ADHESIVES
Volume 1: edited byJon G. P.
by Peter J. Blau
TECHNOLOGIES:
REFRACTORIES
ELECTRONICS
edited by K. S. Mazdiyasni
APPLICATIONS:
CORROSION OF GLASS, CERAMICS David E. Clark and Bruce K. Zoitos
CERAMIC
PREFORMS,
OF MATERIALS:
AND PROCESSING
HANDBOOK OF INDUSTRIAL and Gordon L. Barna
L.
and Technology
ANDTECHNOLOGY,
AND WEAR TRANSITIONS
SHOCK WAVES SPECIAL
CERAMIC
edited by Raymond
by Huimin Liu and David S. Dandy
SOL-GEL TECHNOLOGY FOR THIN FILMS, FIBERS, AND SPECIALTY SHAPES: edited by Lisa C. Klein
ADVANCED Binner
by Hugh 0.
edited by Oleg A. Popov
Ceramic and Other Materials-Processing
FIBER REINFORCED
edited
edited by Paul H. Holloway and Gary
HANDBOOK OF VACUUM ARC SCIENCE AND TECHNOLOGY: Boxman, Philip J. Martin, and David M. Sanders PLASMA
CIRCUITS:
by
edited by Robin F. C. Farrow
COMPOUND
HIGH DENSITY
edited
edited by John D. Buckley and Dan
FACILITIES:
HANDBOOK:
by William R. Acorn
by Michael
E. Williams
and
Contributors
Shin-ichi Akai Sumitomo Electric Industries Ltd. Itami, Hyogo, Japan
Sidney I. Ingrey Bell Northern Research Ottawa, Ontario, Canada
Kambiz Alavi Department of Electrical Engineering University of Texas at Arlington Arlington, TX
Nan Marie Jokerst Microelectronics Research Center Georgia Institute of Technology Atlanta, GA
Scott A. Chambers Molecular Science Research Center Pacific Northwest Laboratory Richland, WA
Kevin S. Jones Department of Materials Science & Engineering University of Florida Gainesville, FL
Eric Y. Chan Boeing Company Seattle, WA
Avishay Katz Standard Motor Products, Inc. Long Island City, NY
Stephen W. Downey AT&T Bell Laboratories Murray Hill, NJ
Richard Y. Koyama TriQuint Semiconductor Beaverton, OR
Paul H. Holloway Department of Materials Science & Engineering University of Florida Gainesville, FL
Derek L. Lile Department of Electrical Engineering Colorado State University Fort Collins, CO
ix
x
Contributors
Vinod Malhotra Department of Electrical Engineering University of Hawaii Honolulu, HI
Brian J. Skromme Department of Electrical Engineering Arizona State University Tempe, AZ
Gary E. McGuire Microelectronics Center of North Carolina Research Triangle Park, NC
Eberhard Veuhoff Siemens AG Munich, Germany Carl W. Wilmsen Department of Electrical Engineering Colorado State University Fort Collins, CO
Stephen J. Pearton AT&T Bell Laboratories Murray Hill, NJ Rajendra Singh Department of Electrical Engineering Clemson University Clemson, SC
Masamichi Yokogawa Sumitomo Electric Industries Ltd. Itami, Hyogo, Japan
NOTICE To the best of our knowledge the information in this publication is accurate; however the Publisher does not assume any responsibility or liability for the accuracy or completeness of, or consequences arising from, such information. This book is intended for informational purposes only. Mention of trade names or commercial products does not constitute endorsement or recommendation for use by the Publisher. Final determination of the suitability of any information or product for use contemplated by any user, and the manner of that use, is the sole responsibility of the user. We recommend that anyone intending to rely on any recommendation of materials or procedures mentioned in this publication should satisfy himself as to such suitability, and that he can meet all applicable safety and health standards.
Preface
This book is a state-of-the-art reference on the growth and processing of compound semiconductors. The leading experts in the important growth techniques, processing steps, characterization methods, packaging, and devices have contributed their knowledge. While the scope of the book is compound semiconductors, there are so many different semiconductors being studied and used that complete coverage of all materials is impossible in one book. Therefore the emphasis in this book is on gallium-arsenide- and indium-phosphide-based materials. Several other III-V and some II-VI compound semiconductors are discussed where they provide particular insight or illustrate specific properties and/or processes. Chapters in the book provide a complete overview of the technologies necessary to grow bulk single-crystal substrates, and grow hetero- and homoepitaxial films using molecular beam epitaxy (MBE) or metal-organic chemical vapor deposition (MOCVD). Technologies necessary to process compound semiconductors into test structures and devices are covered, including electrical contacts, dielectric isolation, interface passivation, ion implantation, wet and dry etching, and rapid thermal processing. Techniques to characterize the materials and devices using electrons, ions, and photons, are described. While the emphasis of the book is on materials growth and processing, the technologies are placed in perspective by a review of the important electronic and optoelectronic devices, and epitaxial lift-off, and other device packaging issues.
vii
viii
Preface
With this complete coverage of the critical topics, we believe the book will be a valuable reference for persons currently performing research on compound semiconductors. It will also be an excellent reference for advanced graduate courses in materials science, electrical engineering, and applied physics. In ourjudgement, the authors ofthe chapters have provided exceptionally comprehensive, authoritative work, and for this we are extremely grateful September,
1995
Gainesville,
Florida
Research Triangle Park, North Carolina
Paul H. Holloway Gary E. McGuire
Contents
1
Bulk Crystal Growth ............................................ Shin-ichi Akai and Masamichi
1
Yokogawa
1 INTRODUCTION ................................................................................ 1.0 REDUCTION OF DISLOCATION DENSITY ........................... .2 .4 2.0 HB GaAs ................................................................................ .4 2.1 Cooling Methods ............................................................... 2.2 Influence of Solid-Liquid Interface Shape ........................... .4 7 3.0 LEC GaAs ................................................................................. 7 3.1 History of Technology Development.. .................................. 9 3.2 Carbon Control.. ................................................................. 11 3.3 Annealing ......................................................................... 12 3.4 Vth Control ...................................................................... 15 3.5 Large-Diameter Crystal .................................................... 17 4.0 InP ............................................................................................ 17 4.1 Low-Dislocation InP ......................................................... 21 4.2 Semi-Insulating InP .......................................................... 22 5.0 SUMMARY .............................................................................. . ...................................................................................... 23 REFERENCES 23
xi
xii
Contents
2
MOCVD of Compound Semiconductor
Layers
29
INTRODUCTION ..................................................................... GROWTH PROCESS ............................................................... 2.1 Reactor Design ................................................................. 2.2 Growth Precursors ............................................................ 2.3 Safety Aspects .................................................................. 3.0 SPECIFIC MATERIAL SYSTEMS ........................................... 3.1 GaAs and InP Based Materials ......................................... 3.2 Narrow Bandgap Materials ............................................... 3.3 Wide Bandgap Materials ................................................... 4.0 SUMMARY AND FUTURE DIRECTIONS .............................. ACKNOWLEDGMENT ..................................................................... REFERENCES ...............................................................................
29 31 32 33 36 37 38 56 63 72 74 74
3
84
Eberhard
Veuhoff
1.O 2.0
Molecular Beam Epitaxy .................................... Kambiz Alavi
1.O
2.0
3 .O 4.0
INTRODUCTION 1.1 Scope of Molecular Beam Epitaxy .................................... 1.2 Major Factors in the Initial Development of MBE ............. 1.3 MBE Reference Material .................................................. 1.4 Synopsis.. ......................................................................... IMPORTANT FEATURES OF MBE ......................................... 2.1 III-IV Environment and Ultra-High Purity Source Material 2.2 In-Situ Real-Time Monitoring and Control on a Sub-Monolayer Scale.. ..................................................... 2.3 Important Features of the MBE Growth Mechanism ......... 2.4 In-Situ Processing and Lateral Patterning .......................... 2.5 Variations on the Theme of MBE ...................................... ......................................... MBE SYSTEM CONFIGURATION THE GROWTH CHAMBER COMPONENTS ........................ 4.1 The III-IV System ............................................................ 4.2 Beam Generation ............................................................ 4.3 The Substrate Holder ...................................................... 4.4 Ion Gauges: Measurement of Beam Flux, Growth Rate, and Composition .............................................................
84 88 90 91 91 92 94 .95
97 98 99 10 1 103 104 112 114
Contents
4.5 Crystal Thickness Monitor: Flux Measurement ............... 4.6 Substrate Temperature Measurement ............................... 5.0 REFLECTION HIGH ENERGY ELECTRON DIFFRACTION @HEED) ...................................................... 5.1 Surface Reconstruction: General ..................................... 5.2 Surface Reconstruction: GaAs ........................................ 5.3 RHEED Intensity Oscillation and its Application.. ........... ...................................................... 6.0 MASS SPECTROMETRY 6.1 Modulated Beam Mass Spectrometry (MBMS) ............... 6.2 Desorption Mass Spectrometry (DMS). ........................... 7.0 IN-SITU AUGER ELECTRON SPECTROSCOPY (AES) ...... 8.0 OPTICAL METHODS FOR REAL-TIME GROWTH MONITORING ....................................................................... 8.1 Optical Pyrometry .......................................................... 8.2 Transmission Spectroscopy ............................................. 8.3 Photoluminescence: Low Substrate Temperature Measurement .................................................................. 8.4 Reflectance Difference Spectrometry (RD) ...................... 8.5 Laser Light Scattering (LLS) .......................................... 8.6 Spectroscopic Ellipsometry ............................................. 9.0 GROWTH OF III-V COMPOUNDS ....................................... 9.1 Substrate Preparation Prior to Transfer to MBE .............. 9.2 Substrate Preparation in the MBE System ....................... 9.3 MBE Growth .................................................................. ............................. 10.0 POST-GROWTH CHARACTERIZATION ................................................ 10.1 Structural/Compositional ................................... 10.2 Electrical and Magneto-Transport 10.3 Optical and Infrared Spectroscopy .................................. 10.4 Structural Defects in III-V Compound Epilayers ............. 11.O BUILDING BLOCKS OF MODERN DEVICES: BANDGAP ENGINEERING IN III-V STRUCTURES ............................... 11.1 Doping ........................................................................... 11.2 Quantum Wells and Superlattices .................................... 11.3 Strained-Layer Superlattices and Quantum Wells ............ 11.4 Bandgap Grading and Chirped Superlattices.. .................. 12.0 EPILOGUE ............................................................................. ................................................................. ACKNOWLEDGMENTS REFERENCES .............................................................................
xiii
114 115 115 117 118 125 134 134 137 137 139 140 14 1 14 1 142 142 142 143 144 147 149 149 150 150 15 1 15 1 153 154 154 155 156 156 15 7 157
xiv
Contents
4
Physical and Chemical Depo Ohmic Contacts to InP and R Avishay
Katz
INTRODUCTION ............................... OHMIC CONT FUNDAMENTALS 2.1 Generic Definition of Schottky 2.2 Interface States and Fermi-Leve 2.3 Determination of Schottky Bar 2.4 Determination of Ohmic Conta and Related Materials .............. 2.5 Concepts of Formation of Ohm InP-Based Materials ................ DESIGN CONCEPTS OF PROCESS 3.0 CONTACT TO InP.. ........................... 3.1 Conceptual Assumptions ......... 3.2 Interfacial Reaction Concerns 3.3 Electrical Performance Require 3.4 Thin Film Mechanical Propertie 4.0 OHMIC CONTACT PROCESSING 4.1 Introduction ................................ 4.2 Contact Processing by Metal 4.3 Contact Processing by Chemica 5.0 CONCLUSIONS ................................. ............................ ACKNOWLEDGMENTS .......................................... REFERENCES
1.0 2.0
5
Surface Processing of III-V Sidney
1.O 2.0
I. Ingrey
INTRODUCTION .............................. REACTIONS ON CLEAVED (110) 2.1 Hydrocarbon Contamination . 2.2 Native Oxides on III-V Compo 2.3 Br/CH,OH ................................. 2.4 H,SO,/H,O, ............................... ....................................... 2.5 HCl ....................................................................... 263 2.6 NH,OH263 ....................................... 2.7 I-IF
Contents
xv
3.0
EFFECTS OF AIR-FORMED CONTAMINATION ON INTERFACE CHARACTERISTICS ................................... 3.1 Epitaxial growth ............................................................. 3.2 Schottky and Ohmic Contacts ......................................... 3.3 Dielectric Overlayers ...................................................... 4.0 CONTAMINATION REMOVAL ............................................ 4.1 Sputter Removal ............................................................. 4.2 Plasma Surface Treatments ............................................. 4.3 Thermal Desorption ........................................................ 4.4 UV/Ozone Oxidation ...................................................... 5.0 SURFACE PASSIVATION ..................................................... 6.0 APPLICATIONS ..................................................................... 7.0 SUMMARY AND CONCLUSIONS ....................................... ACKNOWLEDGMENTS ................................................................. REFERENCES .............................................................................
6
Ion Implantation Induced Extended Defects in GaAs .............................................................
263 263 264 267 270 270 270 27 1 27 1 272 276 280 28 1 28 1
285
Kevin S. Jones 1.O
2.0 3 .O 4.0 5.0 6.0
TYPE I DEFECTS ................................................................ 1.1 Type I Defect Formation ................................................. 1.2 Type I Defect Formation Thresholds ............................... 1.3 Type I Defect Density and Distribution.. .......................... 1.4 Type I Defect Stability .................................................... I.5 Effects of Type I Defects ................................................. TYPE II DEFECTS ................................................................. TYPE III DEFECTS ................................................................ TYPE IV DEFECTS ................................................................ TYPE V DEFECTS ................................................................. CONCLUSIONS .....................................................................
REFERENCES
7
.............................................................................
286 289 289 297 301 302 312 3 14 3 15 316 324 325
Passivation of GaAs and InP . . . . . . . . . . . . . . . . . . . . . . . . . . . . 328 Vinod Malhotra and Carl FK Wilmsen
1.O 2.0
SURFACE DEFECTS, FERMI-LEVEL PINNING, AND DEFECT MODELS . . . . . . . . . . . . . . . . . . . t.. . . . . . . . . . . 33 1 NATIVE OXIDES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335
xvi
Contents
3 .O HYDROGEN AND NITROGEN ............................................. 4.0 SULFUR ............................................................................. 5.0 SELENIUM.. ........................................................................... 6.0 SILICON ............................................................................. 7.0 EPITAXIAL REGROWTH ..................................................... 8.0 EPILOGUE ............................................................................. ACKNOWLEDGMENTS ................................................................. REFERENCES .............................................................................
8
Wet and Dry Etching of Compound Semiconductors .................................................
3 36 342 357 358 360 361 362 362
370
Stephen J. Pearton 1.O 2.0
INTRODUCTION ................................................................... WET CHEMICAL ETCHING ................................................. 2.1 General Principles.. ......................................................... 2.2 Wet Chemical Etching of GaAs ....................................... 2.3 Wet Etching of InP ......................................................... 2.4 Wet Etching of InGaP.. ................................................... 2.5 Wet Etching of AlInP ...................................................... 2.6 Wet Etching of Other III-V Materials .............................. 3.0 PLASMA ETCHING.. ............................................................. 3.1 General Principles of RIE ............................................... 3.2 Gas Chemistries.. ............................................................ 3.3 Surface Chemistry .......................................................... 3.4 Damage.. ........................................................................ 3.5 Masking Materials .......................................................... 3.6 Electron Cyclotron Resonance Discharges ....................... 3.7 Device Processing.. ......................................................... 4.0 CONCLUSION ....................................................................... ACKNOWLEDGMENTS ................................................................. REFERENCES .............................................................................
9
Rapid Isothermal Processing (RIP) .................
370 370 371 373 377 379 380 38 1 382 384 387 397 402 4 14 42 1 426 437 437 437
442
Rajendra Singh 1.O 2.0 3.0 4.0
INTRODUCTION ................................................................... WHY RAPID ISOTHERMAL PROCESSING?. ...................... HISTORY OF RAPID ISOTHERMAL PROCESSING.. ........ SCOPE OF RAPID ISOTHERMAL PROCESSING ...............
442 443 .447 447
Contents
xvii
5.0
PRINCIPLES OF RAPID ISOTHERMAL PROCESSING ..... .448 448 5.1 Operating Principle of RIP.. ............................................ 5.2 Interaction of Radiation with the Sample ......................... 449 5.3 Thermal Dynamics and Temperature Calculations .......... ,452 5.4 Fundamental Differences Between Furnace and 453 Rapid Isothermal Processing ........................................... 454 5.5 Role of Photoeffects in RIP ............................................. 456 5.6 Thermal Stress and Control of Defects ............................ 6.0 EXPERIMENTAL RESULTS IN SUPPORT OF 458 PHOTOEFFECTS IN RIP ....................................................... 458 6.1 Surface Cleaning of Semiconductors ............................... 458 6.2 W Annealing.. ............................................................... 460 6.3 Oxidation ....................................................................... 460 6.4 MBE and Gas Source MBE ............................................ 460 6.5 Chemical Vapor Deposition ............................................ 6.6 Metalorganic Chemical Vapor Deposition ....................... 46 1 7.0 DESIGN OF EQUIPMENT AND ENGINEERING ISSUES .. .466 466 7.1 Basic Characteristics of RIP Systems .............................. 7.2 Temperature Measurement, Control, and Uniformity ...... ,472 7.3 Current Status of Commercial RIP Systems .................... 479 480 7.4 Future Direction ............................................................. 48 1 8.0 VARIOUS APPLICATIONS OF RIP ...................................... 8.1 Stand-Alone Systems for the Annealing of 48 1 Various Materials ........................................................... 8.2 Stand-Alone Systems For the Formation of Silicides ....... .49 1 8.3 Stand-Alone Systems for Shallow Junction Formation 491 and Non-Alloyed Ohmic Contacts ................................... 8.4 Chemical Vapor Deposition (CVD) and Metalorganic 493 Chemical Vapor Deposition (MOCVD) ........................... 494 8.5 In-Situ and Integrated Processing .................................... 9.0 RESULTS OF VARIOUS DEVICES AND CIRCUITS.. ........ .498 500 10.0 FUTURE APPLICATIONS ..................................................... 500 11 .O CONCLUSION ....................................................................... 50 1 ACKNOWLEDGMENT ................................................................... 50 1 REFERENCES .............................................................................
10
Epitaxial Lift-Off for Thin Film Compound Semiconductor Devices . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . .. . . . 518 Nan Marie Jokerst
1.O
INTRODUCTION
........... . .
........ .......... ...... ...... .... .
. . . . . . 5 18
xviii
Contents
THIN FILM DEVICE FORMATION AND BONDING ......... 2.1 Gallium Arsenide Based Thin Films ................................ 2.2 Indium Phosphide Based Thin Films ............................... 2.3 Modified Epitaxial Lift-Off Processes ............................. 3.0 CHARACTERIZATION OF EL0 THIN FILMS .................... 3.1 Photoluminescence, Hall Measurements, and Minority Carrier Lifetime ............................................... 3.2 Laser Threshold Current and Dark Current ..................... 4.0 NEW THIN FILM CHARACTERIZATION TECHNIQUES AND DEVICES.. ..................................................................... 4.1 Characterization Techniques: Absorption Coefficients and Nonlinear Refraction ................................................ 4.2 New Device Formulations: Resonant Cavity and Bottom Contacted ........................................................... 5.0 NEW INTEGRATION FORMULATIONS USING THIN FILMS .......................................................................... 5. I Thin Film Optoelectronic and Optical Devices on Host Substrates .......................................................... 5.2 Thin Film Circuits on Host Substrates ............................. 5.3 Three-Dimensional Integration ........................................ 6.0 CONCLUSIONS ..................................................................... ............................................................................. REFERENCES
.521 522 527 528 534
Packaging ..........................................................
563
2.0
11
535 536 539 540 542 544 545 552 555 558 560
Eric E Chan 1.O 2.0
3.0
563 INTRODUCTION ................................................................... III-V OPTOELECTRONIC DEVICE PACKAGES ................. 564 564 2. I Laser Diode Packages ..................................................... 578 2.2 LED Packages ................................................................ 2.3 Semiconductor Optical Amplifier (SOA) Packaging ........ 585 589 2.4 Detector Packages .......................................................... MULTICHANNEL DEVICE PACKAGES FOR OPTICAL 594 INTERCONNECT APPLICATIONS ....................................... 594 Introduction ................................................................... 3.1 5 94 3.2 LED Array Packaging .................................................... 596 3.3 PIN Detector Array Packaging.. ...................................... 3.4 Individually Addressable Laser Array Packages ............. .600 3.5 Hybrid Optoelectronic Integration Using a 603 Silicon Wafer Board ....................................................... 605 3.6 Array Issues ...................................................................
Contents
xix
4.0
HIGH FREQUENCY GaAs DIGITAL AND MICROWAVE INTEGRATED CIRCUIT PACKAGES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 607 4.1 High Frequency GaAs Digital Integrated Circuits Packages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . .. . . . . . . . . . 607 4.2 Monolithic Microwave Integrated Circuit Packaging . . . . . . .608 5 .O CONCLUSIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 10 REFERENCES . . . . .. . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 10
I2
Chemical, Structural and Electronic Characterization of Compound Semiconductor Surfaces and Interfaces by X-ray Photoelectron Spectroscopy and Diffraction Techniques ....... 613 Scott A. Chambers
INTRODUCTION ................................................................... 1.1 Overview of the Topic .................................................... SPECTROSCOPY AND 2.0 X-RAY PHOTOELECTRON DIFFRACTION.. ..................................................................... 2.1 The Essential Experiments and Interpretations ................. 3.0 CHEMISTRY OF SURFACE AND INTERFACE FORMATION ......................................................................... 3.1 Oxide Formation and Removal from GaAs(OO1). ............. 3.2 Metal/III-V Compound Semiconductor Interface Formation ....................................................................... 4.0 DETERMINATION OF ELECTRONIC ENERGY LEVELS AT COMPOUND SEMICONDUCTOR SURFACES AND INTERFACES BY XPS .......................................................... 4.1 Measurement of Band Bending and Schottky Barrier Heights ............................................................... 4.2 Measurement of Surface State Charge Densities .............. 4.3 Measurement of Band Offsets ......................................... 5.0 STRUCTURE OF THE NEAR-SURFACE REGION ............................................................................. BY XPD 5.1 Surface Termination of HgCdTe( 111) ............................. 5.2 Surface Passivation of III-V Semiconductor Surfaces with Group VI Anions .................................................... 6.0 CONCLUSIONS ..................................................................... ................................................................... ACKNOWLEDGMENT REFERENCES ............................................................................. 1.0
6 13 613 6 14 6 14 623 623 627
632 632 636 639 646 646 648 649 650 650
xx
Contents
13
Characterization of Compound Semiconductor 653 Material by Ion Beams ..................................... Stephen K Downey
INTRODUCTION ................................................................... 1.1 RBS References .............................................................. SIMS References ............................................................ 1.2 1.3 Fundamentals of Ion-Solid Interactions .......................... RUTHERFORD BACKSCATTERING SPECTROMETRY 2.0 ................................................................... (RBS) Kinematics ................................................................... 2.1 Depth Resolution ........................................................... 2.2 2.3 Nuclear Reactions ........................................................... Channeling ..................................................................... 2.4 SECONDARY ION MASS SPECTROMETRY (SIMS) 3.0 AND RELATED TECHNIQUES ............................................ . ............................ Sputtering.. ........................................ 3.1 3.2 Depth Profiling ............................................................... Depth Resolution ............................................................ 3.3 Static SIMS .................................................................... 3.4 Preferential Sputtering .................................................... 3.5 Mass Spectrometers ........................................................ 3.6 Matrix Effects ................................................................ 3.7 Sputtered Neutral Mass Spectrometry (SNMS) ............... 3.8 Depth Profiling with SNMS ............................................ 3.9 3.10 Other Alternative Techniques .......................................... ............... ............ .......... .. ..... ...... ........ ....... . .... REFERENCES 1.0
14
Optical Characterization of Compound Semiconductors .................................................
65 3 654 654 655 656 656 659 660 660 66 1 661 662 663 666 667 667 669 672 672 675 675
678
Brian J. Skromme 1.O 2.0
................................................................... INTRODUCTION EXPERIMENTAL TECHNIQUES AND ........................................................... INSTRUMENTATION 2.1 Light Sources ................................................................. Spectrometers ................................................................. 2.2 Detectors ........................................................................ 2.3 Cryogenics ..................................................................... 2.4 Sample Mounts.. ............................................................. 2.5 Optics ............................................................................. 2.6
678 680 680 68 1 685 686 687 688
Contents
xxi
3.0
BASIC THEORY AND APPROACHES ................................. Luminescence ................................................................. 3.1 Raman Scattering ........................................................... 3.2 Reflectance and Modulated Reflectance ........................... 3.3 4.0 APPLICATIONS.. ................................................................... Intrinsic Materials Properties .......................................... 4.1 Impurities and Defects .................................................... 4.2 Strain ............................................................................. 4.3 Surfaces and Interfaces ................................................... 4.4 5.0 SUMMARY ............................................................................ ................................................................. ACKNOWLEDGMENTS LIST OF ACRONYMS.. ................................................................... ............................................................................. REFERENCES
689 689 713 7 16 720 720 726 744 748 751 752 752 754
15
Gallium Arsenide Microelectronic Devices and 772 Circuits .............................................................. Richard E Koyama
773 A BRIEF HISTORICAL PERSPECTIVE ................................ 775 GALLIUM ARSENIDE CRYSTALS ...................................... Some Basic Properties of Gallium Arsenide.. ................... 775 2.1 Some Basic Physics of Gallium Arsenide ........................ 778 2.2 LEC Crystal Growth of Gallium Arsenide.. ..................... 780 2.3 3 .O GALLIUM ARSENIDE DEVICES AND STRUCTURES ...... .783 Metal Semiconductor Field Effect Transistors ................. 784 3.1 794 Junction Field Effect Transistors ..................................... 3.2 795 High Electron Mobility Transistors ................................. 3.3 797 Heterojunction Bipolar Transistors .................................. 3.4 798 Other Advanced III-V Device Structures ......................... 3.5 ........... 799 4.0 GaAs MESFET IC FABRICATION TECHNOLOGY.. 799 Ion Implantation and Anneal ........................................... 4.1 Dielectric Deposition, Definition, and Etching ................. 803 4.2 Metal Deposition, Definition, and Etching ....................... 804 4.3 Photolithography in GaAs MESFET Fabrication ............. 806 4.4 806 GaAs MESFET IC Process Technology .......................... 4.5 809 5.0 APPLICATIONS of GaAs MESFET ICs ................................. 8 12 ............................................................................. REFERENCES 1.O 2.0
xxii
Contents
16
Optoelectronic
Devices .....................................
813
Derek L. Lile 8 13 1.O INTRODUCTION ................................................................... 8 15 2.0 THE?SOLID STATE LASER ................................................... 823 3.0 MODULATORS AND SWITCHES ........................................ 4.0 OPTOELECTRONIC INTEGRATED CIRCUITS (OEICs) .... .842 4.1 Optical Communications ................................................. 842 846 4.2 Optical Interconnects ...................................................... 849 4.3 Optical Computing ......................................................... 856 ACKNOWLEDGMENTS ................................................................. 856 REFERENCES .............................................................................
Index . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . ..*...........................
866
1 Bulk Crystal
Growth
Shin-ichi Akai and Masamichi Yokogawa
INTRODUCTION III-V compound semiconductors are widely used as substrates for optical devices such as LED’s and laser diodes and for electronic devices such as FET’s, HINT’s, HBT’s and IC’s. These applications are becoming key elements in an advanced information society. In this chapter, our focus is on GaAs and InP and recent advancements in their crystal growth technology. Horizontal Bridgman (HB) and Liquid Encapsulated Czochralski (LEC) are two representative growth methods of III-V compound crystals. The HI3 method is favorable for reducing the dislocation density and is, therefore, used in providing substrates for optical devices. The LEC method is advantageous for increasing the crystal diameter and is, therefore, used in providing substrates for electronic devices. Incorporating the advantages of both growth methods, practical applications of early hot wall crystal growth techniquestll such as Vertical Gradient Freeze (VGF)121,Vertical Bridgman (VB)131and Liquid Encapsulated Vertical Bridgman (LEVB)141have recently been tried. The basic objectives in the development of crystal growth technology are larger crystals (diameter and length), reduction of crystal defects (such as dislocation) and higher purity. In addition, stoichiometry control is important in the case of compound crystals.
1
2
Compound Semiconductors
1.0
REDUCTION OF DISLOCATION
DENSITY
Dislocations affect device performance. Therefore, the reduction of dislocation density is a very important issue in crystal growth technology. Various techniques to achieve low dislocation density are summarized in Fig. 1. Among them are the reduction of thermal stress (or reduction of temperature gradient) and the increase of critical resolved shear stress. It has been reported by Matsumoto et a1.151 that a roof-shaped thermal baffle installed on the top of a heat shield alleviated very strong gaseous convection in a chamber, thus reducing the temperature gradient in the B,O, layer to 30 - 6OWcm. This gradient is about one third of the temperature gradient in the conventional LEC method. The radiation effect becomes more significant in LEC-grown crystals having a high melting point. In a conventional single-zone heater puller, the heat outflow from the surface of growing crystals is large because of the heat radiation toward the cold chamber wall. Consequently, the temperature gradient at the solid-liquid interface becomes large. On the other hand, in a puller with a multi-zone heater, the heat inflow to the surface of a growing crystal is large because of the heat radiation from the after-heater and consequently, the temperature gradient can be reduced161 A low temperature gradient means that the
r Reduction of thermal stress Reduction of temperature gradient >
r(
9
improved hot zone Multizone heater FEC (Fully Encapsulated Czochralski)
l-
Ambient gas
I
Control of stoichiometry
Prevention of As (or P) dissociation
I
I-
L- Melt
Increase Of CRSS ___I I
composition
Isoelect,ronic doping In, B (for GaAs) t
Ga, As (for InP)
Fire 1. Various approaches to the reduction of dislocation density in III-V compound semiconductor crystals.
Bulk Crystal Growth
3
solidified crystal stays at elevated temperature longer and therefore, the group V element tends to dissociate from the crystal surface. LEC growth with a low temperature gradient requires a special measure to suppress the dissociation of group V elements. Crystal growth in an arsenic (or phosphorus) atmosphere l~[i~l and crystal growth with full encapsulation by B,O,[“l have been attempted. The stoichiometry of the crystal also affects the dislocation density.[121-[141 The effect of impurity doping on the dislocation density and dislocation mobility in semiconductor crystal is well-known. In order to obtain a semi-insulating crystal for IC applications, an isoelectronic impurity must be used. For GaAs, these dopants are N, Al, In, Sb, B and P.[i51-11*l However, to date, only indium doping has been effective for obtaining lowdislocation, semi-insulating GaAs crystals of an industrially useful size (that is, with a diameter larger than 2 inches and a lot size greater than 50 wafers). [1gl-[211 Doping with inditmr, together with the VM-FEC (Vertical Magnetic field applied, Fully Encapsulated Czochralski) method, has enabled the growth of dislocation-free and striation-free GaAs crystal~.[~il A 4” diameter dislocation-free GaAs crystal has been obtained using this method.t221 While In doping yields materials of high quality, it has the disadvantages of smaller lot sizes and higher wafer costs in comparison with conventional rmdoped crystals. This is due to the fact that a cellular structure is generated during crystal growth, thus greatly reducing material yield. This phenomenon occurs when the In concentration in the melt exceeds a critical value. The melt then goes to a compositional supercooled state. In order to retard the generation of this cellular structure, two techniques have been tried. The first method is the G/R techniqueI23l in which the temperature gradient (G) and the growth rate (R) are simultaneously controlled, and the second is a double crucible method[24l in which the segregation coefficient of In in GaAs is artificially adjusted to unity. The In doping method is also effzctive in HB GaAs crystal growth.Izl Because the HB method has a lower temperature gradient compared to the LEC method, the In concentration necessary for reducing the dislocation density is relatively small. Horizontal Zone Melting (I-IZM), which can alter an impurity segregation coefficient, has been tried in order to obtain a uniform impurity distribution along the growth direction.t261 Isoelectronic impurities for InP are Ga, As and Sb. An impurity segregation coefficient is not generally unity, and therefore, the impurity concentration changes along the growth direction. Accordingly, co-doping of two kinds of impurities, where the segregation coefficient of one impurity
4
Compound Semiconductors
is smaller than tmity and that of the other impurity is larger than unity, has been tried to obtain a uniform distribution of total impurity concentration in hlP crystal.161
2.0
HB GaAs
The HB method is extensively employed as a low dislocation density crystal growth technique. This is because reduction of the temperature gradient is relatively easy and precise control of the stoichiometry is possible in comparison with the LEC method. Basic approaches to achieve a low dislocation density have already been described in the previous section. This section addresses the methods of cooling a solidified crystal and the solid-liquid interface shape in order to obtain very low dislocation density GaAs crystals. 2.1
Cooling Methods
Figure 2 shows a schematic diagram of the HB method. An entire ingot is kept in the T2 zone after solidification at the solid-liquid interface and then gradually cooled to room temperature. A temperature distribution is generated in the crystal during this cooling process, and accordingly, thermal stress is induced in the crystal. Since GaAs is more susceptible to dislocation’s generation and propagation at low temperature by lower thermal stress in comparison with Si, optimization of the cooling process is critical for the reduction of dislocation density. Figure 3 shows the influences of the cooling process and crystal diameter on the dislocation density. When the cooling rate is 5 - 1O”C/h in the temperature range between 900°C and 1,2OO”C,the etch pit density (EPD) of 2” diameter crystals can be reduced to lower than 5,000 cmm2.However, when the cooling rate is 10 100°C/h in the same temperature range, the EPD increases to about twice this value. 2.2
Influence of Solid-Liquid Interface Shape
It has been found that the generation of lineage, which is a collection of dislocations, is related to the shape of the solid-liquid interface in LECY2’l and GF (Gradient Freeze) growth.12*l We have investigated the relation between the solid-liquid interface shape and the dislocation density by doing
Bulk Crystal Growth
5
AB etching[29]on Si-doped lIB GaAs crystals. These crystals were grown along the [ III] crystallographic direction, and samplewafers were then cut along the [211] direction, which is parallel to a free surface of the ingot. The results are shown in Fig. 4. The shapeof the solid-Iiquid interface of case (a) is nearly flat and the EPD is quite low across the wafer. On the other hand, the solid-Iiquid interface shapeof case(b) is not symmetric from right to left and shows a strong concavity toward the melt. The EPD in the corresponding region is high. Figure 5 shows the EPD maps of a 2" diameter Si-dopedlIB GaAs crystal grown in a thermal environment, which makes the solid-Iiquid interface shapesymmetric and flat. It shows that the entire ingot has an EPD of less than 400 cm-2.
Figure
2.
Schematic
crystal growth
method.
diagram
of three-temperature
zone lIB
(Horizontal
Bridgman)
6
Compound Semiconductors
DIAMETER OF WAFER [mm] Figure 3. Cooling rate dependence of EPD of HE3 grown GaAs crystal. Cooling rate in the temperature range between 900°C and 1,200T is (a) 10 - lOO”Cih, and (b) 5 - lO”C/h.
(a)
(2iT)plane
(XlO*cm*)
Ave Max
1 10cmq 700cmQ
(b) (277) plane (XlO*cm.*)
Ave 960cm” Max 5.2OOcm.*
Figure 4. Relationship between solid-liquid interface shape and dislocation Solid-liquid interface shape is (a) flat, and (7~)not symmetric from right to left.
density.
Bulk Crystal Growth
7
Wafer No.
EPD
Average
(Cm21 Maximum
3.6X102
1.6X102
0.1 x102
13.0x102
6.0X102
l.0X102
iartier Concentration (cl-n-s)
1.3x10’s
1.7x10’s
3.0x10’s
Fraction Solidified
0.10
0.37
0.70
Figure 5. EPD maps of 2” diameter Sidoped HB grown GaAs. Fraction solidified means the wafer position along the growth direction.
3.0
LEC GaAs
3.1
History of Technology Development
LEC GaAs crystal growth technology has made progress toward providing a substrate for use in GaAs IC fabrication. Figure 6 shows the trend of crystal technology for GaAs IC’s. Undoped semi-insulating GaAs crystals were developed in the late 1970’s using an LEC technique with a pyrolytic boron nitride (PBN) crucible instead of quartz.[301 Semi-insulation in undoped LEC GaAs is realized by compensating the carbon-originated shallow acceptor with the deep donor EL2.r311The EL2 concentration strongly depends on melt composition[321and it is higher in a crystal grown from an As-rich melt. It is lower in a crystal grown from a Ga-rich melt. These issues are particularly important for GaAs MESFET, a type of GaAs IC fabricated by the ion implantation technique.[331 In a wafer, the threshold voltage (Vd must be uniform in order to yield MESFETs for use in high-integration density GaAs IC’s. It was found in 1983 that dislocations af%kctthe threshold voltage of a GaAs MESFET.[341 However, soon it was reported that there is no correlation between V, and dislocations.[351 This caused great controversy in the GaAs community, which has accelerated the development of a dislocation-free GaAs crystal. As mentioned in
8
CompoundSemiconductors
the previous section, at present, large-diameter, low-dislocation semi-insulating crystals are achieved by doping with In.t1gl-[211 In parallel with progress in low-dislocation density crystal growth technology, a postgrowth annealing technique has been developed which can greatly improve the crystal quality of dislocated undoped Ga.A~l~~ll~~lIt has been shown that GaAs MESFET’s fabricated on annealed material have excellent V+,, uniformity across the wafer, and an annealed ingot seems to be adequate for use as a GaAs LSI substrate.138113gJ 1980 GaAs integration
IC Density
I
I
I
(SRAM) (Gate
I
1985
I
m
3x3 1.5
O w -.J ro c: 0 +-' c: a> > t: O ()
I
E
Bulk Crystal Growth
I
~M T-
CX)CJ 0 ~ x
19
.,;
~
i N
] ~ ~ . ~ ~
0 .+= ~
]
.
II
(\I
() 8 ;>0, .0
~
()
C')
~ ~ rn S ~ t)
'E (,) 00 ..-0 ~
~ r-=
>'::
I
1 "9 00 ~ "'6 ~ :a N O rn
x ("1') II . () . ()
~ ~
20
Compound Semiconductors
iI lo3
I
.
0”
\
5
I
i 2
Cl
0;
lo’*
rru,r,mmIw
EPDs
5
2
Carrier Figure 18.
I
\
!
,02c111
of 2” diameter
I
lOi
I-l 5
2
concentration S-doped
I
(cm-3)
InP crystals
as a function
of carrier
concentration.
0 Cl Conventional
LEC (S)
Carrier concentration
Figure 19. EPDs of 3” diameter S-doped, Fe-doped function of carrier concentration.
(cm-3)
and Zndoped
InP crystals as a
Bulk Crystal Growth
Conventional
vcz
LEC
13" "' Zn doped
21
InPl
EPD (cm-2 ) D
@k i
(50nm)llnGaAs(Zn RTP30s
5x 10’3cm-3)
/
+tJ CI:
*ALL CONTACTS ARE OHMIC
/‘O ,O
, 0-z 3
21.25i
2.50
2.75
3.00
3.25
3.50
1000/T (K-l) Figure 3. Natural logarithm (Ln) of specific contact resistance multiplied by temperature f&T) as a function of l/T of as-deposited WTih,~~,Ga,,~,7As (Zndoped 5 x 1018cm-3) sample and after RTP at different temperatures.
Deposition
of Metals as Ohmic Contacts to InP
181
The sharp decrease in the specific contact resistance of the PVIi/ InGaAs sample, with the increase in the rapid thermal processing (RTP) temperature up to 450”C,1561 indicated the development of a new, lowcontact resistance, interfacial microstructure. The interface for elevated temperatures contains multiregime regions, and is, therefore, dominated by different carrier transport properties. Thus, the overall carrier transport mechanism could no longer be described by a single process, as for the asdeposited contact, and a deviation from the linearity in the hr(RT) vs. l/T curve was expected. Empirically, it was found that introducing only one temperature-independent component in Eq. (15) was essential in order to fit The implication was that the carrier the measured data to the theory. transport mechanism across the newly developed interfacial microstructure was dominated by the field-emission process. For simplification, the introduction of the possible existing thermionic-field emission process parameters can be avoided. If (X) and (1 - X) describe fraction of the interfacial areas occupied by the original and the newly-developed microstructures, respectively, then Eq. (15) may be written as
Eq. (18)
R,_($+y-i
where Eq. (19) and
As shown in Fig. 4, all the measured R, versus T values of all the samples sintered by RTP at different temperatures were accurately fitted by the values calculated using Eq. (18). The parameters that were used for the best fit are listed in the inserted table. As expected, the fractional area, (1 - X), of the low R, field emission regions increased from 7% after RTP at 300°C to about 30% after RTP at 450°C. A slight change in the original microstructure, which took place with the modification of the RTP temperature, was also reflected in the increase of the structure parameter j(f). The same effect was likely to have caused the slight decrease in the & values (from 6 x 10m6LJcrn* to 4 x 10” Rem*) ), which were used in fitting the measured data.
182 Compound Semiconductors
Pt (75nm)/Ti(50nm)/InGaAs(Zn RTP 30 s
5x
10”cmd)
AS-DEPOSITED
TRTP(“C)
300
x
&,(V)
j(fl) (AK-2cm-2)
350
l-x
I$, (Qcmn)
400
T (K) Figure 4. Specific contact resistance (IQ) as a function of the measuring temperature (T) of the asdeposited WTiIIn0.53Ga0.47As (Zn-doped 5 x 10%m-3) sample and after RTP at different temperatures. The measured values (dots) and fitted values, calculated from the parallel conduction mechanism phenomenological theory (continuous line) are superimposed.
2.5
Concepts of Formation of Ohmic Contacts to InP-Based Materials
The quality of the ohmic contact is frequently described in terms of contact resistance, R, (&nm), or specific contact resistivity, P, (C2*cm-2). However, since these properties depend heavily on the semiconductor doping level, these parameters are not to be defined as fundamental metalsemiconductor interface, as the (DBqOis to a Schottky junction. At the metalsemiconductor junction, where the electron transmission is dominated by field emission, in which E, >> K,T, the specific contact resistivity is expressed as[571
Deposition of Metals as Ohmic Contacts to InP
183
Eq.(21) where E,
is a measure of the importance of tunneling, given by
Eq.(22) and m*h, is the turmeling effective mass, t5*l and c = (I/E,) hr(4@n/V,J. Experimental results in good agreement with predictions of tunneling theories have been observed in many cases. However, departures from these theories have also been frequently observed, especially at high doping levels. As pointed out in Sec. 1.0, an inhomogeneous SBH is a common phenomenon for metal/semiconductor contacts, which certainly may have a strong influence on the measured pC. The most conventional ways of forming a high semiconductor surface concentration of free carriers are by incorporating a high concentration of dopants into the initially grown epitaxial semiconductor layer, or by introducing an external dopant diffusion source, from which the dopants will be driven into the semiconductor by means of a heating process, or by ion implanting the semiconductor surface. The latter approach is rarely applied to InP and related materials because of the surface damage and loss of stoichiometry associated with bombarding the InP surface. The other two methods are widely used in the manufacturing process of ohmic contacts to I&based devices. The former method does not require a heating cycle in order to enable the migration of the dopants into the semiconductors, and thus, contacts that are processed onto such materials are frequently referred to as nonalloyed ohmic contacts. The second approach requires thermal activation in order to form the heavily doped intermixed interfacial layer, and thus, contacts to these layers are termed as alloyed contacts. These two approaches differ from each other by the kind and geometry of the metalsemiconductor interfacial related layers, and are discussed in detail later in this section. A different approach to form a metal-semiconductor ohmic contact is by applying a semiconductor with an extremely narrow bandgap, such as InAs (- 0.35 eV) which can be epitaxially grown lattice-matched to an InP substrate. This semiconductor has high electron and hole mobilities (33,000
184
Compound Semiconductors
and 460 cm2/Vs, respectively, at 300 K), and has surface states pinned in the conduction bandgap; therefore it forms an ohmic contact with almost every metal that is deposited onto it.[5gj-t61j Two major concerns have to be considered when forming ohmic contacts to InP. (a) The unstable nature of the semiconductor tends to decompose through heat treatments already at temperatures as low as 350°C, while losing the group V volatile element. This is reflected in a degraded contact interface morphology, poor edge geometry definition, and uneven penetration of both the metal and the semiconductor elements. (b) There is a fundamental difference in the nature of the n-type and the p-type InP. The energy distribution of the surface states density (NJ in InP is parabolic, with its minimum positioned near the conduction band edge and increasing toward the valence band.[62] As a result, the surface of n-type InP is only slightly depleted. This is consistent with the measured low barrier height values of most metal contacts to n-W (0.4-0.5 eV)ta3j and the high barrier for p-type InP (0.7-0.8 eV). Therefore, it should be possible to realize low resistance ohmic contacts to n-type InP, while it is a much more complicated task to identify a metal that would perform as a low barrier ohmic contact to p-type InP. When designing an ohmic contact to a high-speed I&based device, operated under a high current density and elevated-temperature conditions, a few constraints and requirements have to be met in order to provide a suitable contact technology to support both short- and long-term device operation. The main issues that have to be considered when selecting the metallization scheme and the process conditions are (a) optimizing the contact design to yield an ohmic contact with the lowest possible resistance; (b) providing stable morphology over a wide temperature range, which requires the presence of only limited inter-metallic reactions, and thus, an abrupt metal-semiconductor interface through the contact processing; (c) ensuring contact stability through the device electrical and thermal operating conditions; (d) causing no excessive stresses in the metal films, the underlying dielectric patterning layer, and the underlying semiconductor; (e) being compatible with the metal system used for the interconnection technology; and &I fabricating with a robust process that fits as an integrated step into the overall device manufacturing scheme. All the above-mentioned constraints are essential in the micron-size device technology currently applied for both electronic and photonic devices, but will be more pronounced in the future for submicron and deep submicron technologies. For this future miniaturization trend, the morpho-
Deposition of Metals as Ohmic Contacts to InP
185
logical constraints in the low-resistance contacts will be even more crucial due to the higher operating current densities. This issue, however, will be harder to achieve due to the small contact geometry definition, which depends entirely on overcoming some other obstacles, such as lithography, In addition, the more complicated and etching, and testing processes. sophisticated the overall device geometry becomes, along with the more stringent market requirements, the more important issues such as process integration within the device manufacturing sequence become. Thus, the challenge is not only to design a contact metallization that will provide a sufficient stable ohmic contact, but also to choose a metallization scheme that will withstand the multistep processing sequence and that can be used to simplify the overall device fabrication process. As an example of this trend, a stable patterned metallization scheme may be deposited in the initial stages of the device manufacturing sequence, and used to assist the realization of some other process later in the manufacturing sequence. This self-aligned concept was demonstrated using the etched-mesa buried heterostructure laser device structure, in which the metal contact tops the future mesa site and serves as a selective mask for both the mesa etching and regrowth of the blocking layers surrounding it.[641[651
3.0
DESIGN CONCEPTS CONTACT TO InP
3.1
Conceptual
OF PROCESSING
OHMIC
Assumptions
InP-based electronic and optoelectronic devices are strongly influenced, both in short and long term performance and reliability, by the quality of their ohmic contacts. It is most sensitive to the contact which is in the immediate vicinity of the active layer, whether it is a p-type or n-type contact, and thus, more attention has to be paid to these contact properties. Correct design of the ohmic contacts to these devices has to take into consideration the following processing issues: selection of the metallization scheme to form pure ohmic contacts, having the lowest possible sheet resistance, and thus, contributing minimum value to the overall contact resistance; creating a stable microstructure over a large processing temperature range; and tuning the deposition and the subsequent thermal process in order to lead to the formation of narrow bandgap inter-facial compounds, while introducing the lowest possible stresses into the thin metallic films.
186
Compound Semiconductors
Furthermore, one has to define the process sequence which will yield the optimum performance of many properties from the above list and successfully incorporate them into the overall device fabrication process sequence. This contact design concept is demonstrated in Fig. 5, in which postdeposition sintering temperature of Ti/Pt common ohmic contact to the front, p-type contact, and the back, n-type contact of InP laser device, should be 450°C in order to provide the lowest contact resistance stress values and yet provide a stable contact.
Pt/Ti/n-InP -5 x t0’*cm-3
-5 x t0’ecm-3 Pt/Ti/D-InGaAs %%a’
300
400
‘1 5 x 10’gcm-3
500 TEMPERATURE
600 (“C)
Figure 5. Optimization of TiLPt contact processing conditions by measuring specific contact resistance and stress values of contacts to both ptype and n-type InP-based materials in a CMBH laser device, as a function of the sintering temperature.
Any simplification in the overall device manufacturing attributed to the utilization of correct metal scheme, is certainly as a further advantage of the executed contact. Finally, a correct selection of the doped semiconductor layer adjacent to the ohmic contact metallization has to be made
sequence, considered contacting in order to
Deposition of Metals as Ohmic Contacts to InP
187
provide the highest interfacial dopant concentration, without saturating the metal-semiconductor sintered compounds which may lead to segregation. 3.2
Interfacial Reaction Concerns
The severe geometric design demands of advanced InP-based electronic and photonic devices calls for shallow and uniform interfacial layers in between the metallization scheme of choice and the semiconductor. The metal/InP ohmic contact microstructural design concepts are very similar to those suggested for metaVGaAs systems,[66j with the exception of the InP binary system being more reactive than GaAs. Capless InP begins to decompose at 350°C when heated at atmospheric pressure, as a result of out-diffusion of the group V atoms from the bulk to the surface. Thus, InP tends to decompose at much lower temperatures than GaAs during the heating cycles applied for sintering the metal-semiconductor contact. The thermodynamic, highly-reactive nature of the InP in metal/W interfaces has been widely observed, particularly in the commonly used Aubased contacts to InP. Analogous to the work on metal/GaAs, Au-based metallization schemes provide the advantage of introducing an external dopant (either p-type or n-type) source, which is alloyed into the adjacent semiconductor through solid or liquid phase reactions; however, this is done with the involvement of the Au as a stabilizer element, which eliminates dopant precipitation formation and inter-facial defect nucleation. In order to drive the needed alloying reactions that lead to the required semiconductor doping level, heat treatments in the temperature range of 350°C to 450°C are typically required, resulting in the formation of a thick metal-semiconductor inter-facial layer in between the metal and the InP substrate. This layer is typically about three times thicker than the original deposited metal layer. Even with the lack of reported thermodynamic data for the simplest metal-InP ternary systems, a lot of information can be gained with regard to the nature of these systems by studying the metal-In and metal-P binary phase diagrams, referring to the metal system involved in the contact of interest. Due to a well-known thermodynamic theorem, a ternary system that is composed of three binary eutectic systems contains at least one ternary eutectic melting point at a temperature lower than the lowest binary eutectic melting point introduced in the system. Therefore, adding elements like Be, Ge or Au as the third element to the InP binary systems reduces the melting points to even lower temperatures and contributes to its reactive nature.
188
Compound Semiconductors
In summary, the issues involved in the metallurgical and thermal processing of highquality ohmic contacts to InP and related materials are challenging and of great interest. The metallization systems of choice and the associated heat treatments have to enable and drive the required metalsemiconductor inter-facial reactions, which accounts for the decomposition of the interfacial oxides and contaminations. In addition, this combination should provide sufficient adhesion between the metal layer and the semiconductor, intermix the dopants into the semiconductor inter-facial layer, and then possibly form a variety of narrow bandgap inter-ha&l compounds.[67jt681 These reactions, however, have to be limited and controlled in order to eliminate the formation of spiky interfaces, which leads to nonuniform current density, and, thus, to the evolution of local heated spots due to Joule effects. Figure 6 demonstrates this correlation. In this case, the electroltinescence performance measured at the AuBe/p-JnP, reflected a highly leaky CMBH laser diode as opposed to the low-leak device associated with the planar Pt/TiAnGaAs contact. Thus, it is obvious that the metal-semiconductor interface morphology depends on the metallurgical system of choice and the contact process conditions (such as deposition technique and sintering conditions). Moreover, since the contact sintering process takes place at the final stage of the device manufacturing sequence, the contact sintering process may influence the entire device performance. Thus, a moderate heat treatment is essential for minimizing enhancement of various metallurgical reactions, such as spillover of dopants into the adjoining semiconductor layers (in the case of heterostructure devices), and reducing the occurrence of different interfacial reactions and diffusion processes in between those layers. 3.3
Electrical Performance Requirements
Modem high-speed electronic and photonic devices are operated under a high current density, with realistic values in the range of 4 to 10 kA/ cm2 in a narrow contact stripe geometry, typically in the range of 2 to 150 urn wide. They require a specific contact resistance lower than about 5 x 10” 0cm2 in order to add only a negligible amount to the overall device resistance. Due to the relatively large energy bandgap of JnP (Es = 1.3 eV), some rectifying characteristic, as a result of the surface Schottky barrier, is always realized. High surface dopant concentration, higher than 5 x lo’* cmm3,is occasionally applied either by ion implantation or by incorporating the relevant dopant into an epitaxially grown structure, in order to narrow
Deposition of Metals as Ohmic Contacts to InP
189
Pt/Ti AuBe
(a)
fnGaAs(P)
InP
HI()KL"AI600 C), the thermal stability offered by the transient metals may not be sufficient. These kinds of contacts cannot be exposed to temperatures higher than 450°C through the contact processing without suffering some severe degradation, the type of which may also be observed during operating under high-temperature and high-current density conditions. The highest level of thermodynamic stability may be achieved by using refractory metal based contacts, such as a variety of W-based alloys. In addition, high-quality mechanical properties, such as stability,
212
Compound Semiconductors
reproducibility, and low induced stress, are required at these contacts, as well. [4gl1561tg31 In the device manufacturing environment, robust fabrication and simple processing are also essential. Self-aligned processed III-Vbased electronic devices have been demonstrated to meet the above requirements. The concept is to have a stable contact metallization scheme in place during preliminary processing stages, and to use it as a mask for selective protection of the semiconductor under it, particularly during ion implantation. Tungsten-based alloys such as WxSiy,[165][168][16g]GeWSi2,11701 WN,1171111721 WSiN,11731 WTiN,11741 and PtWAg11751have been used as a self-aligned ohmic and Schottky contacting material for n-type GaAs-based devices. The W and W-alloys metallizations have been used also as highly stable contacts to n-typel168l and p-typel64l b.,,Ga,,,,As materials for the fabrication of self-aligned electronic devices. For the same reasons, W and W-alloys were also considered the metals of choice for the Schottky gate metallization in self-aligned GaAs metal-semiconductor field effect transistor (MESFET) and heterostructure In this GaAs device technolfield effect transistor (HFET) devices. 11761[1771 ogy, the W gates perform as self-aligned contacts for ion implantation into the source and drain regions, which involves high temperature (800-850°C) activation annealing, and thus, sets the major requirement for this selfaligned metal scheme, which is to form a stable and reproducible Schottky contact through the ion implantation and the subsequent severe heat-treatment. In the h&based laser device technology, however, the terminology of self-aligned devices refer to devices such as Etched Mesa Buried Heterostructure (EMBH) lasers that are processed through all the required manufacturing steps of mesa etching, regrowth of the blocking layers, and final processing, with the existence of the metal ohmic contact on top of the semiconductor base-structure. This metal pattern, therefore, has to serve as a selective mask for both the InP-based material dry or wet etching and the regrowth of the blocking material, surrounding the mesa. From the metallurgical point of view, the metal of choice has to be etchable in order to enable the geometrical definition, which serves both as the contact and as the mesa etching mask, to be inert to the semiconductor procedure, to prohibit any semiconductor growth on top of it during the regrowth of the blocking layers, to perform as an inert layer toward the InP-based material under it and to be stable through the regrowth cycle (- 650°C) to have good adhesion to the InP-based material, and thus, to produce low stress through the process, and should also be compatible with the existing patterning and intercontacting materials and techniques. Above all, the metal of choice has
Deposition of Metals as Ohmic Contacts to InP
213
to perform as a good ohmic contact to the semiconductors layer under it. Only by fulfilling theserequirementsone can benefit from using self-aligned device technology for manufacturing loP-based laser devices. Figure 22 shows a backscatteredcross-sectionmicrograph of the W/ lno.53G30.47As/InP sample after RTP at 500°C (Fig. 22a) for 120 sec taken by high resolution SEM. The latter representsthe conditions which take place in the regrowth process. These micrographs show an almost abrupt metal-semiconductor interface. which agreeswith the AES and RBS observations of these samples.[64]The tungsten layer morphology. as is revealed by meansof the SEM. looks polycrystalline and columnar. with a grain size of about 100 nm. following RTP at 700°C (seeFig. 22b). One can seethat the W contact had an almost abrupt interface with both loP and InGaAs layers.
Figure
22.
SEM high-resolution
sample after RIP
field emission cross section of the W (100 nm)/p-InGaAs
at (a) 500°C and (b) 700°C for 30 sec.
214
Compound Semiconductors
The electrical properties of these contacts and, in particular, its TLMderived resistance, were improved through sintering at temperatures as high as 600°C and are summarized in Figs. 20 and 2 1. A major concern while executing refractory metals is the usually highly mechanical stress conditions of the deposited films. Figure 23 shows the m-situ biaxial stress measurements through the heating and cooling cycles of the rfdiode-sputtered W films as a function of the Ar pressure during the deposition. Since no phase formation was observed in these films while heating up to 500°C, the changes in the film stress during the thermal cycles are attributed to a variety of parameters other then metallurgical reactions.l7gl The stress of the W films was sustained in all cases through heating of up to 280°C. This behavior is due to the very similar thermal expansion coefficient of the r-f-sputtered W films and the InP substrate, which were found to be 4.83 and 4.75 x 10” “C-l, respectively. While heating at elevated temperatures, the stress curves of all the studied samples showed the existence of plastic deformation within the films, indicating densification or stress relaxation effects. Null stress conditions were achieved while depositing the W film onto InP substrates at an Ar pressure of about 8 mtorr. The biaxial elastic modulus of this film was measured to be 0.97 x 1012 Pa and the temperature-dependent coefficient of thermal expansion was found to be a, = (5.63 x lo6 + 4.01 x 10mgT- 96.16 x 1o-‘3T2)“Ci . Figure 24 summarizes the stress measurements and the Ar-to-W SIMS signals ratio (proportional to their concentration ratio) at the asdeposited samples as a function of the Ar-induced pressure during the rf sputter deposition. In addition, it presents the RIE etching rates of these different films in a standard CF,:O, One can see that by increasing the Ar pressure, the induced biaxial stress in the W film increases from a compressive stress of about 7 x log dyn cm-’ in the film that was sputtered under Ar pressure of 2 mtorr, to a tensile stress of about 8 x log dyn cms2, when deposited under 28 mtorr of Ar. Usually, an increase in the Ar pressure corresponds to a lower density of energetic Ar reflected from the metal target, and thus the decrease in ion irradiation during the film depositions led to an increase in the tensile stress, as a result of the decrease in the ion-tovapor ratios. SIMS e xamination indicated that the amount of Ar incorporated into the W films during sputtering was inversely proportional to the Ar pressure and that its concentration throughout the film was constant. The Ar/W SIMS signal ratio was 0.0357 in the film sputtered at 5 mtorr, whereas at 28 mtorr, it was reduced to 0.00 1. The entrapment mechanism is
Deposition of Metals as Ohmic Contacts to InP
215
known to be shallow implantation of Ar neutrals reflected from the target. At higher pressures the mean free path of Ar atoms substantially decreases and the enhanced scattering lowers the energy of the incoming Ar atoms, and thus their incorporation into the film.
-101
0
100
200
MEASURING
400
300
TEMPERATURE
500
600
(“C)
Figure 23. In-situ biaxial stress measurements during heating and cooling cycles of the rf diode sputtered W films as a function of the Ar pressure through the deposition.
-6
-
W~100nm)/InP r, SP”TTERING.
24ow
8” TARGET
-8
, 0
I
40
5 ARGON
I 15 PRESSURE
I 20
t 25
30
JO
ImTorrl
Figure 24. Induced stress, Ar/W concentration ratio, and FUE etching rates of W (100 nm) film on 11s substrate as a function of the sputter-deposition Ar pressure.
216
Compound Semiconductors
The etch rate of the W films showed a nonlinear dependence on the Ar pressure presents during the deposition. Since the higher Ar pressure led to the formation of higher tensile stress films, it might be expected that the more highly stressed films would display higher etch rates due to the weaker bonding. The ion bombardment component of the RIE is therefore, able to produce more efficient desorption of the W-etched products. Figure 25 shows the self-aligned device application of a W stripe (Fig. 25a), deposited under highly tensile (Fig. 25b) and highly compressive (Fig. 2%) stress conditions, being used as a metal contact “mark-in-place,” to etch subsequently, the I&based mesa under the metal, for laser devices. Other refractory alloys have been investigated as potential candidates for ohmic contact metallization schemes. Another very attractive material is the WSi,, which was used as a Schottky gate for self-aligned GaAs heterojunction bipolar transistor (HBT), metal-semiconductor field effect These films were depostransistor (MESFET) and HFET devices. 1166j11761 ited in an amorphous form, regardless of the Ar pressure during deposition, and underwent an almost complete crystallization as a result of RTP in the temperature range of 600-650°C. At this temperature, the WSi, phase was formed and was accompanied by the W,Si, phase upon heating to 650°C. As a result of the crystallization and phase formation, an abrupt decrease of the film resistivity was observed. The crystallization was accompanied by interdifision of the metal layer and substrate elements, leading to a slight degradation of the interface, and increase in the contact resistivity. This microstructure evaluation is clearly shown in Fig. 26, which shows TEM plan-view micrographs and the corresponding selected area diffraction patterns of the WSiJInP samples asdeposited and after RTP at various temperatures. All these extensive changes took place mainly at RTP at temperatures of 650°C or higher. The WSiJnP contacts were found to be stable and performed with excellent structural and electrical properties up to temperatures of 650°C when rapid thermally processed under N, or TBP ambients at pressures as low as 10 mtorr. The advantage of using the TBP ambient, which did not lead to any degradation in the metallization and contact performance, lies in the fact that it provides a protective ambient to the bare InP surface when it is subject to self-aligned technologies that require high-temperature annealing. The almost absolutely inert roll of the WSi, is shown in Fig. 27 which gives TEM cross-sectional micrographs of the WSiJInP samples that were RTP-treated at 600 and 650°C for 30 set in a N,:H, (9: 1) ambient. From
Deposition of Metals as Ohmic Contacts to InP
217
these micrographs, it is clear that while RTP at 600°C did not cause any observable WSi,/Inp interfacial degradation, RTP at 650°C resulted in somedecompositionof the loP substrate. This decomposition is reflected in the formation of voids under the WSix layer (a few of which are marked with arrows in Fig. 24b).
(a)
(b)
(c)
Figure
25.
compressive growth
(a) Tungsten conditions,
of the EMBH
stripe
to provide laser diodes.
deposited
under
a self-aligned
(b) highly
contact
tensile
mask allowing
and
(c) highly
the etch and
218
Compound
Figure
26.
Semiconductors
TEM plan-view
micrographs
and the corresp(" ;},ing SAD patterns of the WSi,.!
InP samples as deposited and after RTP at various teIT,l'eratures for 30 sec under N2:H2 (9:1)
ambient.
Figure 27. TEM cross-sectional micrographs and the corresponding SAD patterns of the WSi/lnp samples after RTP at 600 and 650°C for 30 sec under N2:H2 (9:1) ambient.
Deposition of Metals as Ohmic Contacts to InP 4.3
219
Contact Processing by Chemical Metal Deposition
Motivation. Single wafer integrated processes (SWIP) have begun to attract much attention as an alternative to the batch processes, particularly in conjunction with Si technology. The strategy of using a so-called cluster tool is a natural approach to get around the tremendous expense associated with assembling a clean room to allow for semiconductor-based microelectronic device fabrication. By using a cluster tool, one can potentially completely process a single wafer in a high vacuum ambient by manipulating it through numerous chambers via a main load-lock. These various processes can be applied to the wafer, each in a separated chamber, without the need to handle the wafer and to remove it from the high vacuum. The more processes that can be realized by means of the cluster tool, the more efficient is the SWIP. Theoretically, almost every dry process can be executed within the SWIP cluster tool. Naturally, the major problem, and thus the process that limits the entire processing of microelectronic devices via an integrated processing sequence, is the photolithography steps associated with the definition of fine geometrical features, such as the contact stripes, via holes, and interconnection lines. Currently these are usually not carried out by means of dry processing because of unacceptable throughput and process pricing associated with the implementation of the required equipment. The I&based laser diode processing sequence, however, is an excellent candidate for being processed entirely by means of SWIP. This is due to the fact that all the processing steps which are involved in the manufacturing of the device, including the photolithography, can be realized by means of dry processes. The manufacturing sequence is typically comprised of a semiconductor pre-cleaning, dielectric film deposition, defining and etching 50-150 pm wide and 250-1000 pm long contact stripes in the dielectric, deposition of a metal line into the etched stripe to provide an ohmic contact to the I&based material, and finally blanket deposition of the bonding pad metals onto the contact stripe and the dielectric fihn.l177l One of the most attractive techniques to chemically deposit metal layers onto III-V semiconductors, and in particular onto InP, appears to be tbe rapid-thermal low-pressure (metalorganic) chemical-vapor-deposition (RT-LPMOCVD) technique. By applying this technique, one can deposit metal layers onto the semiconductor at high temperature cycles without creating any damage to the latter, due to the rapid ramp-up cycle allowed by the RT-LPMOCVD. Thus, no protective overpressure ambient has to be
220
Compound Semiconductors
established in the chamber prior to heating the wafer, as is required while applying the standard CVIYMOCVD technique, and therefore, potential cross-contamination of the group V element at the protective ambient and the subsequently deposited metal layer is not a concern. The deposition of highly stable SiO, films,11781-11821 and of selective and blanket conductors such as TiN,I i~3lli~4llis31and Wl1021films, by means of a load-locked rapid-thermal low-pressure (metalorganic) chemical-vapor-deposition (RT-LPMOCVD) technique, have already been demonstrated. In addition, a highly efficient, in-situ h&‘-based material cleaning under tertiarybutylphosphine (TBP) using the same RT-LPMOCVD reactor has also been reported. I183ll184l The fact that some of the major laser diode processing steps have already been realized using a single-chamber load-locked reactor demonstrates the feasibility of the SWIP approach carried out in a multi-chamber cluster-tool apparatus. The one more process that is necessary in order to provide a complete in-situ processing sequence is a dry etching of the contact stripes through the SiO, layer onto the InP-based material. An excellent stripe geometry definition by ECR-RIE process through a stainless steel stencil contact mask, to allow for the complete single wafer integrated process ohmic contact processing sequence, was developed and demonstrated. Since chemical deposition of metals onto III-V materials is a new area of research and development, only a relatively small volume of reported results is available. Thus, the following chapter deals solely with the results observed at only two conducting layers, the W and the TiNX,11851deposited onto InP and related materials by means of the RT-LPMOCVD technique. TiN, Metallization Ohmic Contacts Titanium nitride (TiN.J thin films are of great interest in coating metallurgy, semimetal technology and microelectronic applications. TiN, films display an interesting combination of properties such as optical properties which resemble those of gold, hardness greater than all elemental metals and sapphire, and almost as hard as diamond, a very high melting point (about 300°C) which implies high stability and excellent diffusion barrier properties, an inert nature for most of the chemicals and solvents, and finally good electrical conductivity, higher than other metals, such as titanium. For microelectronic applications the TiN, films are most commonly used in Si-based large-scale integrated circuits (LSI) technology, where they perform as an excellent diffusion barrier between the titanium silicide layer adjacent to the Si substrate and the W ohmic contacts. This novel W!TiNJ TiSi/Si structure was proposed to suppress rapid silicidation of the W
Deposition of Metals as Ohmic Contacts to InP layer, as a result of Si out-diffusion higher than 800°C~[~WU8~l~
221
from the TiSi layer, at temperatures
TiN, thin films have also been used in TiN/Ti/Pt/Au heterostructure diffusion/bonding metallization scheme for 0.5 pm gates in GaAs/AlGaAs high electron mobility transistor HEMT devices,[igOl and for generic applications in GaAs device technology both as Schottky and ohmic metallization schemes.[l91][192] TiN, films may be deposited by reactive sputtering of titanium in an Ar-N, ambient[1g3J-11g71by evaporation of titanium in the presence of N,, followed by a 700-900°C inert gas anneahng,l1g8l reduction of TiSi, layer by NH, at 900”C,l lWl and by the chemical vapor deposition (CVD) technique, either at atmospheric pressure (APCVD) or at low pressure (LPCVD).[2011-t2041 The latter technique, which was introduced during the last two years, is of great interest in conjunction with III-V compound semiconductor technology due to the sensitivity of these materials to surface degradation associated with ion beam deposition processes. Thus, while processing III-V materials, and in particular, those which include the more volatile group V elements such as GaAs and InP, the various ion bombardment TiN, deposition techniques are not appropriate. The LPCVD TiN, films were deposited with titanium tetrachloride (TiCI,) and ammonia (NH,) as the reactants in the temperature range of 450-700°C and pressure range 100-300 mtorr, at deposition rates of up to 100 run/mm. The electrical resistivity of the films varied in the range 50 to 100 usZ cm, depending solely on the amounts of carbon and oxygen that were entrapped in the layers. The films were typically stable at postdeposition annealing temperatures up to 550°C. However, since chlorine chemistry is widely used as an etching technique for InP and related materials, the use of it for layer deposition on InP is unaccepted. Recently Fixl205ll206ldemonstrated deposition of TiN, films using the earlier reported [w-w1 volatile tetrakis (dialkylamido) titanium (IV) complex as a liquid metalorganic precursor. Two ofthese compounds, the dkthylamido titanium (DMATi-Ti(NM%),) and dimethylamido titanium (Ti(NEQ4), have been used to deposit films of TiN, at temperatures as low as 300°C. These films had a stoichiometric Ti and N composition, but were heavily contaminated with oxygen and carbon. They have successfully demonstrated deposition onto glass, silicon, vitreous carbon, and boron substrates. Using the RT-LPMOCVD system, shown in a schematic manner in Fig. 28, layers of TiN, were deposited onto InP, using a combined chemistry of DMATi and NH3.1104111851
222
Compound Semiconductors
Figure
28.
Schematic representation
of the reacting RT-LPCVD
reaction chamber.
SiO, layers were deposited onto the InP by means of RT-LPCVD prior to the metal deposition in order to take advantage of the opportunity to integrate the processes associated with the dielectric spacer deposition. Subsequently, the contact windows were opened by means of reactive ion etching (RIE) and the contact metallization deposition was carried out.[1821 The SiO, films were deposited under various processing conditions, such as, temperature of 350 to 55O”C, pressures of 5 to 15 torr, durations of 5 to 600 set, and [O,]:[SiH,] ratio in the gas mixture. Pressure was identified as the dominant parameter influencing the quality of the film morphology. The best morphology was achieved while depositing the SiO, fihn under a pressure of 9.5 torr. Temperature and deposition duration were found to mainly influence the deposition kinetics. Deposition conditions of 500°C and a duration of 30 set were found to allow for film growth with a thickness in the range of 0.1 to 1 urn. The 0, to 2% diluted silane ratio in the gas mixture had the major influence on the mechanical and optical properties of the SiO, films. Table 3 provides a summary of those properties of SiO, films deposited from four different gas mixture combinations (O,:SiH,= 5, 10, IS, 20). One can see that the 10: 1 gas mixture allowed for the highest deposition rate and the lowest wet etch rates, evaluated through the wet standard p-etch solution. Diluting the SiH, concentration in the gas mixture led to lower refractive index values, but the stress sustains basically with a constant value in the low compressive range while the film density decreased. Figure 29 shows the SiO, layer growth rate as a function of the reciprocal deposition temperature for three different deposition pressures of 7.5, 8.5 and 9.5 torr. All the processes exhibited an Arrhenius behavior
Deposition of Melals as Ohmic Contacts to InP 223 from which the deposition apparent activation energies were extra&xl to be 0.12,0.14andO.15 eV. Figure30providestheentiresetofpropertiesofthe RT-LPCVD SiO, films, all as a function of the [OJ:[SiH,] ratio at the reactive gas mixture. Table 3. Properties of RT-LPCVD SiOz Films Deposited onto InP at 550°C, 9.5 Torr, for 30 Seconds, as a Function of the 0, to 2% Diluted Silane in the Gas Mixture Sii2 F*n Rupatks -lllhms Rclktivc No. fO,I:ISiH~l 1 2 3 4
5 10 P
Stress’
Density P-wclE4c!bRm
bm)
Index
(x 10’ dyne * cm-*)
(nr. cm-3
320 880 590 140
I.488 1.467 1.458 1.445
-0.4 -3.0 -4.5 -3.5
2.366 2.236 2.247 2.236
hi
EcREadlRae
. set-‘1 bun* mill-9
0.82 0.25 0.32 0.51
54 45 38 36
‘AhtRSSUm~=
Sii2 DEPOSITION TEMPERATURE (‘C) 600
550
500
450
400
I
I
I
I
I
350
1
300
.
10
1 1.1
1.2
1.3
1.4
1.5
1.6
1.7
1000/T (K-l)
Figure 29. RT-LFCVD growth rate on InP as a function of the recipracai deposition temperature, for three sets of samples that were deposited at pressures of 7.5, 8.5 and 9.5 torr. Inserted is a SE&l cross-sectional micrograph of the SiO, film deposited onto InP at 55O”C, 9.5 torr, 30 see duration.
224
Compound Semiconductors
35-
1.51
2.37 SiO2/InP RT-CVD:
‘; ln . 30E c p $
25-
2
1.50
T = 550°C t =3os P = 9.5 Torr
.
0.6
0.7 ‘;, . E 0.6 C
$49 z
F
Y g
0.5 6 Li b
z
! g
Lz
20-51.46
15-
3
s 0.4 -
El.47 2 *
IO-
1.46
5-
1.45
0.3
I 5
10
2.25 15
20
0.2
(D2MSiW
Figure 30. SO, RT-LPCVLI film properties as a function of the [0,]:[SiH4] gas mixture ratio.
Subsequent to the SiO, RT-LPCVD, the deposited wafer was RIE using a stainless-steel mask in order to process the contact window openings. These features were replicated into the SiO, layer to allow for the subsequent deposition of the contact metallization. Figure 31 shows a portion of a 2” round etched Si0.JI~~53Ga,,47As/ wafer (Fig. 31a), a close-up of a 100 pm mask opening (Fig. 3 1b) and a 100 pm etched feature in the SiOz (Fig. 3 lc). TiN, films were then deposited into the patterns in an attempt to form ohmic contacts to the InP. Those films were deposited onto InP by means of rapid-thermal-low-press-metalorganic-chemical-vapor-deposition (RTLPMOCVD) using tetrakis (dimethylamido) titanium (DMATi) as the precursor for the deposition. The influence of the deposition temperature, pressure, and duration on the growth rate and film properties has been Figure 32 shows the variation of the TiN, film widely investigated. t1031t1041 thickness deposited at six different chamber pressure conditions in the range of 5 to 35 torr, as a function of the deposition duration, which took place at constant temperature of 42O”C, while the DMATi bubbler was held at 80°C. A clear dependence of the growth rate on the deposition pressure is
Deposition of Metals as Ohmic Contacts to InP
225
observed. In addition, a nucleation period for the initial TiNx deposition to occur is observed regardless of the applied pressure, however, nucleation times decreaseas the chamber pressure is increasedfrom 5 to 35 torr. For pressuresof 10 torr or higher, two different deposition kinetics regimes are observed,both of which perfonn linearly in all the selectedpressures. The inserted table gives the actual TiNx film growth rates in the two regimes. The inserted high resolution SEM cross-sectional micrograph shows the TiN4 film deposited onto InP at 420°C and 10 torr for about 110 sec.
Figure
31.
(a) Portion
etched through
of a 2!1 round SiOx (0.5 I!m thick)/Ino.S3G80.47 As/InP
a stainless-steel stencil mask; (b) close-up of a loo
structure,
I!m opening
in the
stencil mask; and (c) the loo I!m etched feature formed in the SiOx layer.
Figure 33 shows a TEM cross-sectional (Fig. 33a), plan-view bright field (Fig. 33b), selected area diffraction (SAD) pattern (Fig. 33c), and plan-view dark field (001) reflection (Fig. 33d) micrograph of the TiNjInp sample, as-depositedat a temperatureof 450°C and a pressureof 10 torr for 80 sec. A very tine-grained polycrystalline film microstructure containing only the TiN phase was observed. From the cross-sectional micrographs it is concluded that the loP did not suffer any degradationsthrough the TiNx deposition cycles, which resulted in a sharp TiNjInp interface, regardless of the variations in the total pressure and deposition duration. Table IV gives a summary of some other TiNx film properties, such as resistivity, stress and dry etching rate, as a function of the film deposition pressure.
226
Compound
Semiconductors
TiNx/ InP AT -LPMOCVD T DMATi = 80 Oc T = 420°C
200
180 No
140 E
120
I AA .
I
(nm/s)
1
5
2 3 4
10 15 25
5 6
.s.
I 1-
p
.(Torr)
160
C/) C/) w z ~ C,)
I
30 35
AB .
(nm/s)
0.86 1.15 2.01 2.22
I
0.86 2.82 3.20 3.60 4.30 4.50
2.79 3.75
0
100 Ps = 35
~ -1
P4 = 25
= 15
2= 10
p,\ = 30
u.. x
80
z i= P1
60 1-
RA
6
I
00
20
40
60
DEPOSITION
Figure
32.
5
0
40
20
=
80 TIME
TiNx film thickness on InP substrates as a function
various chamber total pressure values.
I,
I,
100
120
140
(s)
of deposition
duration
in
Deposition
Figure
33.
TEM
(a) cross-sectional
pattern, and (d) plan-view at 450°C,
of Metals as Ohmic Contacts to InP
micrograph,
dark field micrograph
(b) plan-view
bright
field,
227
(c) SAD
of TiNx film that was deposited onto InP
10 torr, and 80 sec.
Table 4. Properties ofRT-LPMOCVD TiNx Films Deposited onto loP at 450°C and 30 SecondsUnder Different Chamber PressureConditions TiN .Film
Properties
Chamber Pressure Resistivity StresS (forr) ... c ca "0, -E
CJ o O ~ ... ca
~
§ ~ = ~ 9 ~ '0 "'Q ~ ~
8. ;>, E--
§ Q Q. O ..9 = 0 .~ 9 "t;; "-6
~ ... E u Q
= .9
.§ ;t-rJ)
O "3" ~ "' E oS "Q.
~
"'~ 0 >
lOO”C per set) during RIP. Slip lines are generally observed around the periphery of the wafer, and exceed 5-15 mm towards the center.li25l Several techniques are currently being explored for slip elimination. One such technique includes the use of a doughnut-shaped guard ring with a larger diameter than the wafer of contrast.l125l In another method, the lamps are gradually reduced in intensity rather than turned off after the high temperature plateau stage is reached. It is important to take into consideration all three factors affecting yield loss due to slip. Indium Phosphide Indium phosphide is an important semiconductor material because of its application in high speed, microwave, optoclectronic, radiation hard, and photovoltaic devices. Most of the InP publications deal with Si implantation with a few reports on Se 1150111521 and11541 and BellS21 implantation. A comparative study of furnace and rapid isothermal annealing of room temperature and hot implantation of Si was reported by Bahir et al.11451Significantly higher mobility and slightly better activation were observed in the case of RIP.l145l Soda, et al.l148l used PSG/ SiO, double-layered dielectric layers for encapsulation. For room temperature implants, greater than 80% electrical activation is achieved with peak carrier concentrations greater than about 1019 cmm3and higher mobilities (-1000 cm2N set). 11481 Raman scattering and luminescence of Si-implanted InP have been used to study the quality of annealing and activation of Si donors.[l43lIl441[1491 m e activation carrier mobilities impurity redis-
Rapid Isothermal Processing (RIP)
48 7
tribution in rapid isothermal annealing of Be and Se-implanted InP are better than those obtained from lo-15 min, 750°C furnace annealing.t1521 In addition to the creation of doped regions, ion implantation is also being studied for creating high-resistivity regions that provide device isolation. Compared to oxygen, li~i~~l the ion implantation of carbon for device isolation appears to be more promising. The use of high energy (> 1 MeV) implants is very promising for the fabrication of buried devices.Ilg51 Recently, Nadella et al.11631reported a vertical p-i-n diode in InP using mega electron volt energy ion implantation. Rapid isothermal processing was used in the annealing of ion implanted wafer as well as for the annealing of ohmic contacts.I195l Gallium Indium Arsenide. GaInAs lattice-matched to InP has important applications in the fabrication of optoelectronic devices, field effect and bipolar transistors. Penna et a1.l155l studied the rapid thermal annealing of Si- and Se-implanted InGaAs. Shallow profiles with peak carrier concentrations of about 1 x 10lg cm3 and a sheet resistivity less than 20 ohms/square were observed. 11s51 High activation and mobility were observed for Se implantation at an elevated temperature.l155l Similar results were reported by Sealy, et al.11591. Lam and FonstadllllI obtained activation of over 80% for Be-doped samples by using a two-step annealing cycle. All of these results indicate the superiority of rapid isothermal annealing as compared to conventional furnace annealing. Annealing of Focused Ion Beam Implanted Wafers. Focused ion beam processing (FIB)12141is an important technology for the realization of all ultra-high-vacuum (UHV) maskless fabrication of advanced circuits.121 5l Rapid isothermal processors have not been integrated in the UHV systems. Only stand-alone rapid isothermal annealers have been used for the annealing of III-V semiconductor quantum well based devices.12161-12181As an example, Petroff et al. 12161 have used rapid isothermal annealing (95OOC for 1 min) for the fabrication of GaAs-Al,,G%,,As quantum wires and boxes. In our opinion, the incorporation of rapid isothermal processor in the FIB processing system can further improve the devices and circuits fabricated by FIB. Annealing of As-Deposited Compound Semiconductor Thin Films. Since the early work of Chand et al.,1zi91 several researchers12201-12261have reported rapid isothermal annealing of as-deposited thin films of III-V compound semiconductors. Risez et al.l22ol have reported the RIA of InP layers grown on GaAs substrates. As shown in Fig. 28, the full-width-athalf maximum (FWHM) decreases with increasing annealing temperature.
488
Compound Semiconductors
Optimum annealing time is between 1O-30 set and the optimum annealing temperature is between 780 and 940°C. Watson et al.[**lJhave shown that InGaAs grown on patterned GaAs substrates by MOCVD possess a very small as-grown misfit dislocation density, and after rapid isothermal annealing up to 300 set at 800°C the defect density is very low. MBE grown material is less stable than the MOCVD material.[2211
450 . -
FWHM for as-grown sample
Anneoling Time = IO set
300-l 700
1 7 ’ * I . , . ’ 1 1 ’ ’ ’ 1 . * ’ . ’ ’ 750
800 850 Annealing Temperature (Celsius)
900
’ ’ 93
Figure 28. FWHM of the x-ray diffraction rocking curve of an InP layer as a function of annealing temperature. (Adapted from ReJI 220.)
In a recent publication, Bums and Fonstad[225]reported the use of RIP in obtaining high quality strain-free heteroepitaxial GaAs on Si by annealing chemically separated GaAs epitaxial layers grown by molecular beam epitaxy directly on silicon substrate. Figure 29 shows the photoluminescence spectra of as-deposited, separated, reattached, RIA and GaAsl GaAs reference samples. It is clear from Fig. 29 that the separated and reattached sample displays a photoluminescence spectra nearly identical to the reference sample.
Rapid Isothermal Processing (RIP)
_ Separated,
489
re-attached
- As Grown
J 1.38
1.4
1.42
1.44
TRANSACTION
1.46
1.48
1.5
1.52
1.54
ENERGY (eV)
Figure 29. PL spectra of as-grown, and of separated and reattached GaAs on Si (before RL4) and following RIA at 600% for 10s. The PL spectra of a GaAs/GaAs reference sample is also shown. (Adapfedfiom Rej 225.)
Annealing of Strained Superlattices and Quantum Wells. In the
processing of devices based on strained superlattices, thermal stability is a critical issue. Rapid isothermal annealing has been used in the annealing of group III-V basedt 2261-t2291 strained superlattices and quantum wells. Myers et al.l226lhave used ion implantation and FUA for the fabrication of strained quantum well heterostructure based devices. Optimum RIA has been effective in the fabrication of those devices that are particularly sensitive to process-induced defects.12261Thus, optimized time and temperature parameters of RIP can be used for the fabrication of strained superlattices and quantum well devices. As discussed in Sec. 5.1, the ramp rates should be optimized so that minimum change in the thermal stress is observed. Figure 30 shows the rapid isothermal annealing results of a single quantum well graded index (GRIN) heterostructure, grown by MOCVD, on InP substrates.12351Rapid isothermal annealing was carried out at 700°C. Minimum exciton shift is observed for the unimplanted control samples. These results show that chosen areas can be disordered selectively.t2351
490
Compound Semiconductors
60
. 60-
.“...‘.“..“.“.. q NoImplant m
I
F lmlant
-401 40
30
20
Time/s
Figure
30.
Change
in bandgap with annealing
time for the p-quartemeary
heterostructure deposited on InP substrates. RL4 temperature is 700%.
GRIN
(AdaptedJiom
Ref: 235.)
Rapid isothermal processing has been used successfully for the annealing of alloyed ohmic contacts of Si and compound semiconductor devices and circuits.[3j The short time processing feature as well as the photoeffects associated with RIP provide a controlled phase chemistry of the alloyed ohmic contacts at a much lower thermal budget than the furnace-processed ohmic contacts. Thus, the rapid isothermal annealed alloyed ohmic contacts are superior in terms of the resistance as well as structural, chemical, and mechanical properties. Yasuami, Saito, and Hojor2361were the first to report the use of RIP for alloying Au-Ge ohmic contacts to n&As. Rapid isothermal annealing of GaAs alloyed ohmic contacts has also been reported by others.[124j[2371 Annealing temperatures between 430-445OC and alloying times of l-5 set produced the optimum results for At&e contacts.[2371 Reproducible morphologically improved alloy contacts with low specific contact resistance have been obtained for both n-and p-type GaAs.[238j Halogen lamp annealed contacts show a 30-50% lower specific contact resistance and are more uniform than those obtained using the graphite hcater.[2381 The difference between the graphite heater and halogen lamp annealing can be explained on the basis of role of photoeffects in RIP. Recent work[23gl-t2411 shows that RIP can also be used in the formation of ohmic contacts of advanced optoelectronic devices, as well as strained superlattice devices. Details of recent work are described in Refs. 242 and 243. Annealing
of Ohmic Contacts.
Rapid Isothermal Processing
(RIP)
491
Annealing of Deposited Dielectrics. The performance of dielectric films deposited by low thermal budget processing techniques can be improved by the post-deposition ex-situ rapid isothermal annealing step. RIA has been used to improve the electrical characteristics of in-situ deposited Si,NJSi/n-GaAs metal-insulator-semiconductor (MIS) capacitors having the lowest interface trap density ever reported in GaAs.12441 Annealing of Ferroelectrics and Conducting Oxide-Semiconductors. RIP has been used for the post-deposition annealing of ferroelectrics12451-12481 and conducting oxide semiconductors.l24gll250l Thin films of strontium titanate, deposited by the solgel tec!mique (at 350°C), were rapid isothermal annealed at 550°C for 60 sec.t2451 The RIA annealed films exhibited good structural, dielectric, and insulating properties. The improved properties are due to a minimum interface reaction between the SrTiO, films and the substrate.l24sl Similarly, RIA has been used to improve the performance of other ferroelectric materials.12461-[2481 Conducting oxide semiconductors (e.g. indium tin oxide, tin oxide, zinc oxide, etc.) are wide-bandgap materials having high electrical conductivity. Rapid isothermal annealing (95O”C, 30 set) was used to obtain high quality indium tin oxide (ITO) films.l24gl Weijtens12501 used a two step rapid isothermal annealing technique to reduce the oxide charge and interface density in MOS capacitors with IT0 gates. 8.2
Stand-Alone
Systems For the Formation of Silicides
Titanium-silicide has been used as a Schottky contact to GaAs circuits.131 Alternate layers of Ti and Si were deposited on n-type GaAs followed by a RIP step. The annealing time was 5 set and the annealing temperature was varied from 8OOOCto 950°C. Good electrical properties were obtained for the 1:3 Ti:Si ratio. Ohinishi et a!.l251l have investigated the electrical properties of the Si-implanted n+ layer and WSi&GaAs Schottky contacts after RIP at temperatures up to 1050°C in order to apply RIP to the source-drain n+ layer of WSi,-gate self-aligned GaAs MESFET’s. This method is effective in improving MESFET’s performance at the short gate length of 1.O um.12”l 8.3
vices
Stand-Alone Systems for Shallow Junction Formation and NonAlloyed Ohmic Contacts Shallow junctions are required for a number of semiconductor desuch as metal-insulator-semiconductor-field-effect transistors
492
Compound Semiconductors
(MISFETs), solar cells, and bipolar transistors, etc. RIP is also suitable for the formation of non-alloyed ohmic contacts. In the case of compound semiconductors, several groups have reported solid state diffusion by RIP.12521-12621 Greiner and Gibbons[2521 diffused Si into GaAs from a thin elemental source. The diffusion depended on the type of encapsulant. Dobkin and Gibbonsr2541used Zn diffusion in GaAs from an electroplated elemental source. Shallow junctions (-0.1-0.2 pm) with surface concentrations of about 1 x 1020cme3can be formed by this technique.12541 Ghandi, Huang, and Borrego12551used a ZnO-SiO, layer for zinc diffusion into GaAs. Heavily doped n+ layers, grown by the metal organic chemical vapor deposition (MOCVD) method, were covered with ZnO-SiO, of 0.05 pm thickness followed by the deposition of PSG of about 0.5 pm thickness. The diffusion was carried out in the temperature range of 650-720°C for 3 set to form p+ layers. The diodes fabricated by this process are comparable in electrical properties to those made by molecular beam epitaxy (MBE).12551Gualtieri et a1.I256lused ZnF, or CdF, as a diffusion source to produce surface-doped Schottky barriers on n&P. The diffusion source of ZnF, or CdF, was covered by an encapsulation layer of SiO, or Al,O,. The Au-p+-n-InP diodes formed from ZnF,/SiO, structures showed apparent barrier enhancements of 0.2-0.34 eV with a reduction in reverse leakage of up to two orders of magnitude.[2561 Singh and co-workers [2571-[2601 have developed a new diffusion technique that does not need a capping layer or background pressure of a high vapor pressure element of the compound semiconductors. In this process, for a particular compound semiconductor, a compound is selected so that one element acts as a dopant and the other is one element of the compound semiconductor, preferably a high vapor pressure element. A thin layer of this compound is deposited, by a suitable technique, on top of the compound semiconductor and followed by the RIP step. In the case of n-InP, a thin layer of Zn,P,, was deposited. Figure 3 1 shows the secondary ion mass spectroscopy (SIMS) results on an InP diode formed by this technique. The junction depth in Fig. 3 1 is about 0.18 pm. Alloyed ohmic contacts for compound semiconductors generally result in poor interface morphology. On the other hand, non-alloyed ohmic contacts can result in an even and reproducible interface because the metal is not melted. Kepler et al.12631have used RIP for the formation of nonalloyed ohmic contacts to GaAs. A thin layer (-100 A) of Ge,,,Se,,, was deposited on GaAs, followed by the deposition of an SiO, encapsulant. The optimal diffusion time and temperature is 20 set and 950°C, respectively.
Rapid Isothermal Processing (RIP)
493
Finally, a metal layer was deposited to complete the process. Specific contact resistivities of 2.2 x IO4 ohms/cm* have been reported for nonalloyed ohmic contacts.l263l
I
0
Figure
31.
I 600
I
I
1600
I 2400
Secondary ion mass spcctroscophy (SIMS) (Aduptedfiom
formation of shallow and abrupt junction.
8.4
I
I
I 3200
data of InP diode showing the ReJ 260;)
Chemical Vapor Deposition (CVD) and Metalorganic Vapor Deposition (MOCVD)
Chemical
CVD. Rapid isothermal processing assisted CVD has been used to grow SiO, on InP,12641-12701 SiO,& on InP,12711 and tungsten on GaAs.l*‘*l RIP-assisted CVD results of various materials are fairly impressive. However, CVD equipment designs need further improvement. Licoppe et a1.t2641126611269112701 have used UV lamps, and tungsten halogen lamps assisted RIP system for the deposition of thin films of SiO, on InP. Katz et a1.1267112681 have used tungsten halogen lamp based RIP CVD system for the deposition of SiO, on InP. As expected, the UV and tungsten-halogen lamp assisted RIP systems provide good quality films at substrate temperatures as low as 200°C.12651Lebland and co-workers1*‘ll have used RIP-assisted CVD for
494
Compound Semiconductors
the deposition of stress-free silicon oxynitrides on InP. In the case of metals, RIP CVD has been used for the deposition of tungsten films on GaAs substrates.lz7*l MOCVD. As compared to CVD, only a few papers have been published in the area of RIP-assisted MOCVD.t881t2731-12781Results of superconducting thin films are described in Refs. 276-278. Several production as well as research & development III-V MOCVD reactors use incoherent lamps as the source of energy, however, due to the use of a graphite susceptor, high heating and cooling rates can not be obtained. Thus, only photoeffects play an important role in the growth of III-V semiconductors. Nissim et al.t2731have used RIP-assisted MOCVD for the deposition of Si epitaxial layers on GaAs substrates. This technique does not require arsenic overpressure to protect the GaAs substrate from thermal degradation during Si epitaxial growth. 1273l Katz et al.l274ll275ldeposited thin films of TIN, on InP. It is worth mentioning that, in the deposition ofhigh quality materials at low substrate temperatures, RIP-assisted MOCVD can play a major role. This is due to the fact that new precursors12791-12821can provide a great degree of freedom in the deposition of materials at low substrate temperatures. For a given material, new precursors can be synthesized so that the maximum absorption in the VW and W region is observed. Thus, it is possible that this technique can be used in the search for new materials (not yet invented) as well as smart-materials. In general, due to quantum and or surface effects the properties of materials in nanometer dimensions are different. As an example, the melting point of nanocrystals is lower than bulk materials.1283l Thus, the direct writing of materials (or some other suitable technique) in nanometer dimensions can provide materials which are not possible by the consideration of science and classical thermodynamics of bulk materials. The deposition of III-V semiconductors on recently reported nanochannel array glass1284l can lead to new structures. In our opinion, the low temperature processing feature of RIP will play a major role in the development of new materials and new structures. 8.5
In-Situ and Integrated Processing
For single-wafer processing and cluster tools, in-situ rapid isothermal processing 1141431t2851-12881 is a cost effective approach. Also, many unwanted physical and chemical phenomena can be suppressed or eliminated by RIP. As an alternate of batch processing, flexible manufacturing
Rapid Isothermal Processing (RIP)
495
of silicon integrated circuits, based on single-wafer processing, is considered by Texas Instruments.113l For details of their gigabit manufacturing approach, interested reader is referred to Ref. 13. The manufacturing of integrated systems based on optoelectronics and microelectronics is also possible by single-wafer processing approach.[141 Hayashil289l has advocated the use of all UHV processing for the fabrication of advanced photoelectronic integrated systems. This subsection discusses the elimination of physical and chemical phenomena associated with in-situ RIP. In conventional RIP, as-deposited films are taken out of the deposition chamber and annealed in a rapid isothermal processor. The concept of integrating the deposition equipment and the rapid isothermal processor in one unit provides the basic concept of in-situ rapid isothermal processing. Figure 32, shows the schematic diagram of the in-situ rapid isothermal processor used in this study. We have used this type of system for the solid phase epitaxial growth of II-A fluorides[2851[2861and annealing of III-V ohmic contacts.1287112881
Figure 32.
Schematic diagram of the in-situ rapid isothermal processor.
496
Compound Semiconductors
The system shown in Fig. 32 can be used for in-situ cleaning of semiconductor surfaces in the presence of hydrogen, as well as for the insitu annealing of evaporated materials. In case of epitaxial dielectrics, chemically cleaned substrates are loaded in the UHV system. In-situ cleaning of the substrates was carried out by using 5% hydrogen and 95% argon mixture and heating the substrate. SrF, films of about 1000 A were deposited at room temperature. In one case, post-deposition m-situ annealing was carried out. In another case, ex-situ annealings (both at the same time and temperature) were carried out. Only in the case of in-situ rapid isothermal annealing was solid phase epitaxial growth observed. The structural properties of SrF, films were studied by TEM, SEM, and x-ray photoelectron spectroscopy (XPS). The presence of oxygen in ex-situ annealed films hinders the epitaxial growth. Minimum thermal stress is observed in the case of in-situ annealed films. Figure 33 shows the stress-temperature curve of the ex-situ and insitu annealed SrF, films. There is a one-to-one correspondence between the stress hysteresis &d the defects in the material. 3
-t_ 0
, 100
I 200
MEASURING
I 300 TEMPERATURE
I 400
5
3
WI
2 6i ‘E
(‘d
E 0” o 0
l-
t; I 2 lb -, 0
1 loo
I 200
MEASURING
I 300 TEMPERATURE
i 400
500
WI
Figure 33. Measured thermal stress of SrF, films on InP as a function of temperature for (a) ex-situ, and (b) in-situ annealed films.
Rapid Isothermal Processing (RIP)
49 7
We have also studied the role of in-situ RIP in the metallization of InP and GaAs devices. Before depositing the ohmic contacts, in-situ cleaning of InP and GaAs wafers was carried out by heating the substrate in the presence of hydrogen. The deposition of Au-Ge at room temperature was followed by in-situ or ex-situ annealing. The performance of in-situ annealed samples was superior. The Auger compositional depth profiles for the Au-Ge contacts on InP (100) are shown in Fig. 34.
Au 0 0.0
0.2
0.4
Sputter Time (min)
Figure 34. fiottom)
AES compositional depth profiles of Au-Ge/InP,
(top) ex-situ annealed, and
in-situ annealed cases.
AES results for the in-situ annealed case show complete interdifision of the contact and substrate with no interfacial 0 present. Similar results were obtained by SEM and XPS study. Table 2 shows the stress measurement of ex-situ and in-situ annealed samples. In case of in-situ annealed samples, compressive stress with a lower magnitude than the exsitu annealed samples is observed.
498
Compound Semiconductors
Table 2. Samples
Stress Measurement
Device
Pruccssiny
SlNClUn:
Ambient
AIIGnAs/Au-Ge
In-Situ
Stress x IO’” (Dyne&d)
and In-situ RIA-Annealed
Type of SIKSS DCVdOpl
-0.11
Comprtxive
2.3
Tensile
-0.1
Compressive
3.3
Tensile
Annealed
AIIG,aAs/Au-Ge
Ex-Situ Annded
AI/hPIAu-Ge
In-Situ Annealed
AlfInPIAu-Ge
of Ex-situ
Ex-Situ Annealed
The results presented in this section indicate that in-situ rapid isothermal processing can play a major role in all maskless processing of III-V semiconductors,l290l advanced integrated electronic and optoelectronic systems,l289l as well as the fabrication of most advanced quantum structures.12911 The in-situ RIP can be beneficial in providing high quality in the top 5-6 monolayers of deposited material. The tight control on the thermal budget will permit two-dimensional growth of the desired material. Additionally, in-situ RIP systems can provide tight control on the desired phase of any given material.
9.0
RESULTS
OF VARIOUS
DEVICES
AND CIRCUITS
In the case of III-V semiconductors, RIP has been used in the fabrication of Schottky barrier diodes, various kinds of transistors, quanRapid isothermal protum well lasers, and optical waveguides. 12921-13071 cessing was used in the formation of ohmic contacts of pseudomorphic high electron mobility transistor (HEMT) devices.l297l For best HEMT devices, a cutoff frequency of 135 GHz was obtained.l297l Successful operation of the advanced III-V devices depends on the stability of the strained quantum
Rapid Isothermal Processing (RIP)
499
wel1.l2Wl Several authors have studied the effect of RIP on the performance Zhang et a1.13011 have studied the post of quantum well lasers. 1301113031-13051 growth rapid isothermal annealing (900°C/ls) and in-situ furnace annealing (640°C/90s under an arsenic overpressure) of strained-layer InGaAs/ GaAs/GaInP quantum well lasers. The improvement in PL and threshold current density is attributed to a removal of nonradiative recombination centers from the active region of the laser. However, RIA results in the reduction of characteristic temperature and external quantum efficiency of the laser.t3051 Recent work of Maurel and co-workers[3071 have shown that optimum rapid isothermal annealing is found at 800°C for 10 sec. The threshold current density of the laser decreases by a factor of 2-3 (Fig. 35a) while the internal quantum efficiency of the laser increases from 30% up to 60% (Fig. 35b). As expected, RIP performed at moderate temperature (SOOOC)reduces nonradiative traps actively without damaging the structure through excessive interdifision of group III elements.
2000
J
980
laser
“In
D
After 8oooc-10s
l
Before RTA
OW 2ao
400
600 L
800
woo
RTA
1200
1400
(pm)
(0)
0 0
.,.,.I.I’I’I’ 200 400
El
Affer @X=C-10s
.
Before RTA 600
800
1000
FITA
1200
1400
L (/Am1
Figure 35.
(a) Thershold current density and (b) inverse of differential
efficiency as a function of cavity length of as grown and 8OOWlOs. annealed lasers. (Adaptedjkom
Re/: 307.)
quantum
rapid isothermal
500
Compound Semiconductors
10.0 FUTURE APPLICATIONS The short time processing feature of RIP has been exploited in the fabrication of high performance devices and circuits. Further understanding of the relationship of heating and cooling rates and the defects produced Photoeffects play an in the material can lead to many new applications. important role in RIP. Thus, new RIP equipment based on dual light sources (one for providing VW and W and other for visible and IR radiation), can lead to new applications. As an example, the WV light source alone can be used for photoetching and cleaning of semiconductor surface. The combined energy source can be used for other applications involving annealing and deposition of materials. The in-situ rapid isothermal processing approach can provide improved performance devices at a low-cost. The fabrication of such RIP systems can open up new markets in the area of equipment manufacturing. In addition to conventional microelectronics and optoelectronics, RIP can play a major role in the area of optical computing and advanced optoelectronic integrated circuits. Proposed dual light source RIP systems can provide low temperature processing of virtually every material. For epitixial growth, such RIP systems can reduce the processing temperature of MBE and MOCVD growth. Similar reduced-temperature processing can be achieved for non-epitaxial materials. Unlike plasma processing, no damage of the interface and the surface is expected. The search for some very novel computational devices is going mainly in three different directions. In one approach, single electron electronics is being investigated.1 3081 These devices operate at very low temperatures. In another approach, biological materials are being investigated for image processing and other applications.13091-13101 The third direction involves the use of ultra high speed solid state transistors, for the implementation of artificial neural networks (ANNs). A large number of neurons are required to solve practical and challenging problems. Our analysis shows that hybrid technologies (e.g., InP on Si) can meet the challenge of ANN chips. 13**1From the processing point of view, RIP can play a major role in the realization of advanced computational systems.
11.0
CONCLUSION
This chapter presents an overview of rapid isothermal processing. In addition to the short time processing feature, there are other fundamental
Rapid Isothermal Processing (HP)
501
differences between furnace processing and RIP. An important role is played by photophysical and photochemical effects. The use of VUV and W light sources can further reduce the processing temperature of various steps used in the fabrication of semiconductor devices and circuits. Virtually, every processing step involving furnace processing can be replaced by RIP. In addition to the processing of thin wafers, this technique is equally applicable to other low thermal mass structures (e.g., ribbons, tapes, and fibers, etc.). Measurement of thermal stress and its correlation to the heating and cooling rates can lead to the reduction of defects generated during RIP. The design and manufacturing of next generation of commercial RIP equipments (involving in-situ processing, and use of dual light source systems, etc.) is a major issue. This process is ideally suited for the flexible manufacturing of integrated circuits and advanced photoelectronic integrated systems, as well as for the discovery of not invented and smart materials and devices.
ACKNOWLEDGMENT Part of this work is supported by National Science Foundation (NSF) Grant No, OSR-9 108772-o 1.
REFERENCES 1. Tewksbuty, S. K., Homak, L. A., Nariman, H. E., Langsjoen, S. M., and McGlinnis, S. P., 1993 Proceedings, FiJh AnnualInternational Conference on Wafer Scale Integration, (P. W. Wyatt and R. M. Lea, eds.), IEEE, New York (1993) 2. Vcegeli, O., Benedict, M. K., Bona, G. L., Buchmann, P., Cahoon, N., Datwyler, K., Dietrich, H. P., Moser, A., Sasso, G., Seitz, H. K., Velttiger, P., Webb, D. J., and Wolf, P., J. Vat. Sci. Technol., B9:2886-2892 (1991) 3. Singh, R., J. App. Phys., 63:R59-R114 (1988) 4. Singh, R, ed., RapidIsothermal Processing, Vol. 1189, SPJE, Bellingham, WA (1990) 5. Gelpey, J. C., Green, M. L., Singh, R., and Wortman, J. J., eds., Rapid Thermal and Integrated Processing, Vol. 224, MRS, Pittsburgh (199 1) 6
Singh, R., and Moslehi, M. M., eds., Rapid Thermal andRelated Processing Techniques, Vol. 1393, SPIE, Bellingham, WA (1991)
502 Compound Semiconductors 7 Moslehi, M. M., Singh, R., and Kwong, D. L., eds., Rapid Thermal and Integrated Processing, Vol. 1595, SPIE, Bellingham, WA (1992) 8 Singh, R. and Hori, T., eds., IEEE Trans. on Electron Devices, 39: l-211 (1992) 9 Singh, R., Radpour, F., and Chou, P.,J. Vat. Sci. Technol., A7: 1456-1460 (1989) 10. Singh, R., Chou, P., Radpour, F., Ullal, H. S., and Nelson, A. J., J. App. Phys., 66:2381-2387 (1989) 11. Thakur, R. P. S., Singh, R., Nelson, A. J., Ullal, H. S., Chaudhuri, J., and Gondhalekar, V., J. App. Phys., 69:367-371 (1991) 12. Singh, R., Sinha, S., Thakur, R. P. S., and Chou, P., Appl. Phys. Lett., 58:1217-1219 (1991) 13 Chattejee, P. K. and Larrabee, G. B., IEEE Trans. Very Large Scale Integration @&!?I)Systems, 1:7-21 (1993) 14. Katz, A. andpearton, S. J., Mater. Chem. Phys., 32:315-335 (1992) 15. Sedgwick, T. O., J. Electrochem. Sot., 130:484-493 (1983) 16. Seller, G. K., and Seidel, T. E., Applied SolidState Science, pp. l-73, (D. Kahng, ed.), Academic Press, Orlando, FL (1985) 17. Surridge, R. K., Sealy, B. J., C’Cruz, A. D. E., and Stephens, K. G., Proc. Int. Symp. GaAs and Related Compounds, Institute of Physics, 33a:161 (1977) 18. Cohen, R. L., Williams, J. S., and Feldman, L. G., Appl. Phys. Lett., 33:751-753 (1978) 19. Razouk, R. R., Dellino, M., Fulks, R. T., Powell, R. A., and Yep, T. O., J. Appl. Phys., 53 :800-803 (1982) 20. Poate, J. M., Bean, J. C., Brown, W. L., Cohen, R. L., Feldman, L. C., Llamy, H. J., Rodgers, J. W., Rousseau, D., Rozaonyi, G. A., Shelnutt, J. A., Shenty, T. T., West, K. W., Williams, J. S., and Cellar, G. K., Radiat. Efl, 48:167-174 (1980) 21. Lue, J. T., Appl. Phys. Lett., 36:73-76 (1980) 22. Correra, L., and Pedulli, L., Appl. Phys. Lett., 37:55-57 (1980) 23. Lau, S. S., Van Allmen, M., Golicki, I., Nicolet, M. A., Kennedy, E. F., and Tseng, W. F., Appl. Phys. Lett., 35:327-329 (1979) 24. Nishiyama, K., Arai, M., and Watanabe, N., Jpn. J. Appl. Phys., 19:L563L566 (1980) 25. Electrical and Electronics Abstracts, Science Abstracts Series B, Inspec, The Institution of Electrical Engineers 26. Takahashi, J., Utsumi, Y., Akazawa, K., Kawashima, I., and Urisu, T., Appl. Phys. Lett., 58:2776-2778 (1991) 27. Schmidt, V., Rep. Prog. Phys, 55:1483-1659 (1992)
Rapid Isothermal Processing (RIP)
503
28. Richter, L. J., and Cavanagh, R. R., Progress in Surface Science, 39:155-
226 (1992) 29. Lojek, B., Rapid Thermal and Integrated Processing, eds.), MRS, Pittsburgh, pp. 33-38 (1991)
(J.C. Gelpey et al.,
30. Hanabusa, M., Thin Solid Films, 218: 144-150 (1992) 3 1. Lord, H., IEEE Trans. Semiconductor
A4anufacturing,
1: 105-l 14 (1988)
32. Kakoschke, R., Bubmann, E., and Foll, H. Appl. Phys A, 50:141-150 (1990) 33. Young, G. L. and McDonald, K. A., IEEE Manufacturing,
3:176-182
Trans.
Semiconductor
(1990)
34. Sorrell, F. Y., Harris, J. A., and Gyurcsik, R. S., IEEE TransSemiconductor Manufacturing, 3: 183-188 (1990) 35. Gyuresik, R., Riley, T., and Sorrel], F., IEEE Manufacturing, 4:9-13 (1991)
Trans. Semiconductor
36. Campbell, S., Ahn, K. H., Knutson, K. L., Liu, B. Y. H., and Leighton, J. D., IEEE Trans. Semiconductor Manufacturing, 4: 14-20 (199 1)
37. Kakoschke, R., Bubmann, E., andFol1, H.,Appi. Phys. A, 52:52-59 (1991) 38. Sorrell, F. Y., Fordham, M. J., Ozturk, M. C., and Wortman, J. J., IEEE Trans. Electron Devices, 39:75-80 (1992) 39. Norman, S. A., IEEE Trans. Electron Devices, 39:205-207 40.
(1992)
Schaper, C. D., Cho, Y. M., and Kallath, T., Appl. Phys. A, 54:317-326 (1992)
41. Campbell, S. A., and Knutson, K. L., IEEE Manufacturing, 5:302-307 (1992)
Trans. on Semiconductor
42. Borisenko, V. E., Gribkovskii, Labunov, V.A., and Yudin, S.G., Phys. Status, Solidi, A86:573-583 (1984) 43. Moslehi, M. M., Chapman, R. A., Wong, M., Paranjpe, A., Najim, H. N., Kuehne, J., Yeakley, R. L., and Davis, C. J., IEEE Trans. Electron Devices, ED-39:4-32 (1992)
44. The Reliance Rapid Thermal Processor, High Temperature Eng. Corp., Danvers, MA 0 1923 45. Ng, J. T. C., “Use of Rapid Isothermal Processing Assisted MOCVD for the Deposition of Superconducting Thin Films,” M.S. Thesis, Univ. of Oklahoma, Norman, OK (1992) 46. Hu, S. M., J. Appl. Phys., 70:R53-R80 (1991) 47. Moslehi, M. M., Davis, C., and Bowling, A., Texas Instruments 9(5):4464,
Tech. J.,
(1992)
48. Ohyu, K., Natsuaki, N., and Wada, Y., J. Electrochem.
56:490-493 (1988)
Sot.,
Japan,
504 Compound Semiconductors 49. Cho, H. Y., Kim, E. K., Kim, Y., Min, S. K., Yoon, J. H., and Choh, S. H., Appl. Phys. L&t., 56:761-763 (1990)
50. Deicher, M., Nucl. I&r. undMeth., B63:189-197 (1992) 5 1. Schaefer, T., Knauf, H., Lohmann, E., Vianden, R., and Freitag, K., Nucl. I&r. and Meth., B63 1227-230 (1992) 52. Bemelmans, H., Borghs, G., and Laugouche, G., Nucf. Instr. undMeth., B63:151-158 (1992) 53. Singh, R., Kumar, A., Thakur, R. P. S., Chou, P., Chaudhuri, J., Gondhalekar, and Narayan, J., Appl. Phys. L&t., 56: 1567-1569 (1990) 54. Singh, R., Thakur, R. P. S., Katz, A., Nelson, A. J., Gebhard, S. C., and Swartzlander, A. B., Appl. Phys. LeU., 57: 1239-1241 (1990) 55. Thakur, R. P. S., “In-Situ Integrated Reduced Thermal Budget Processing
and Characterization of Advanced Electronic Materials and Devices,” Ph.D. Thesis, University of Oklahoma, Norman, OK (1991) 56. Moslehi, M., IEEE Trans. Semicond. A4anufact., 2:130-140 57. Vig, J. R., J. Vat. Sci. Technol. A, 3:1027-1034
(1989)
(1985)
58. Ingrey, S., Lau, W. M., and McIntyre, N. S., J. Vat. Sci. Technol., A, 4:984-988 (1986) 59. Hitchens, W. R., Brunemeier, P. E., and Dobkin, D. M., J. Vuc. Sci. Technol. B., 7:680-681 (1989) 60. Kopf, R. F., Kinsella, A. P., and Ebert, C. W., J. Vuc. Sci. Technol. B, 9:132-135 (1991) 61. Pearton, S. J., Ren, F., Abernathy, C. R., Hobson, W. S., and Luftman, H. S., Appl. Phys. Lett., 58:1416-1417 (1991) 62. Cossu, G., Ingo, G. M., Mattogno, G., Padeletti, G., and Proietti, G. M., Appl. Sur= Sci., 56-58:81-88 (1992) 63. Ingrey, S., J. V’c. Sci. Technol. A, 10:829-836
(1992)
64. Niwano, M., Suemitsu, M., Ishibashi, Y., Takeda, Y., Miyamoto, N., and Honma, K.,J. Vuc. Sci. Technol. A, 10:3171, 3175 (1992) 65. Yokota, K., Muta, K., Kimuva, M., Tamura, S., Ishihara, S., and Kimuva, I., Jpn. J. Appl. Phys., 26:L87-L90 (1987) 66. Itsumi, M., Yoshino, H., Nakayama, S., Akiya, H., and Muramoto, S., ErtendedAbslracts, Eleckochemical Society, pp. 524-525 (Spring 1991)
67. Shinriki, H. and Nakata, M., IEEE Trans. Electron Devices, ED-38:455462 (1991) 68. Debauche, C., Licoppe, C., Meriadec, C., Sartoris, F., and Flecstein, J., Appl. Surf: Sci., 541435-439
(1992)
69. Ishikawa, Y., Shibamoto, T., Uchihara, T., and Nakamichi, I., Jpn. J. Appl. Phys., 3O:L661-L663 (1991)
Rapid Isothermal Processing (RIP)
505
70. Kazor, A. and Boyd, I. W., Appl. Surface Sci., 54:460-464 (1992) 71. Hollinger, G., Gallet, D., Gendry, M., Besland, M. P., and Joseph, J., Appl. Phys. Lett., 59:1617-1619 (1991) 72. Besland, M. P., Louis, P., Robach, Y., Joseph, J., Hollinger, G., Gallet, D., and Viktorovitch, P., Appl. Surf Sci., 56-58:846-854 (1992) 73. Siegrist, T., Mixon, D. A., Coleman, E., and Tiefel, T. H., Appl. Phys. Lett., 60:2489-2490 (1992) 74. Suemitsu, M., Hirose, F., Takakuwa, Y., and Miyamoto, N., J. Crystal Growth, 105:203-208 (1990) 75. Suemitsu, M., Hirose, F., and Miyamoto, N., J. Crystal Growth, 107: 10151020 (1991) 76. Suemune, I., Hamoka, K., Koshimoto, A., Koui, T., Honda, Y., and Yamanishi, M., J. Crystal Growth, 107: 1041-1042 (1991) 77. Eden, J. G., in: Thin Film Processesll, (W. Kern, ed.), pp. 443-500 (1991) 78. Inoue, K., Okuyama, M., and Hamakawa, Y., Jpn. J. Appl. Phys., 27:L 2152-L2154 (1988) 79. Gorina, Y. I., and Kalyuzhnaya, G. A., Growth of Cyrals, (K. S. Bagdasarov and E. L. Lube, eds.), Consultants Bureau, New York, 16: 155166 (1991) 80. Michl, J., and Bonacic-Koutecky, V., Electronic Aspects of Organic Photochemistry, Wiley, New York (1990) 81. Speckman, D. M., J.Crystal Growth, 115:48-54 (1992) 82. Colloway, A. R., Galantowica, T. A., and Fenner, W. R., J. Vat. Sci. Technol., Al ~534-536 (1983) 83. Liu, D. K., Chin, R. J., andLai, A. A., Chem. Mater., 3:13-14 (1991) 84. Balk, P., Heinecke, H., Putz, N., Plass, C., and Luth, H., J. Vat. Sci. Technol., A4:711-715 (1986) 85. Balk, P., Fishcher, M. Grundmann, D., Luckerath, R., Luth, H., and Richter, W., J. Vat. Sci. Technol., B5: 1453-1459 (1987) 86. Kayser, O., Westphalen, R., Optiz, B., and Balk, P., J. CrySral Growth, 112:111-122 (1991) 87. Tang, G. P., Piener, E., Wehmann, H. H., Lubnow, A., Zwinge, G., Schlachetzki, A., and Hergeth, J., J. App. Phys., 72:43664368 (1992) 88. Singh, R., Ng, J. T. C., Sinha, S., and Dhall, V., Rev. Sci. In&., 64:5 14523 (1993) 89. Hashimoto, T., Kitazawa, K., Suemune, Y., Yamamoto, T., and Koinuma, H., Jpn. J. Appl. Phys, 29:L2215-L2218 (1990) 90. Harima, H., Ohnishi, H., Hanaoka, K. I., Tachibana, K., and Goto, Y., Jpn. J. Appl. Phys., 30:1946-1953 (1991)
506
Compound Semiconductors
91. Katayama, T., Fujimoto, M., Shimizu, M., and Shiosaki, T., J. Crystal Growth, 115:289-293 (1991) 92. Russo, C. Varian Semiconductor Equipment Group Report No. 69, (1984) 93. Roozeboom, F. andparekh, N.,J. Vuc. Sci. Tech&. B., 24(8):1249-1259 (1990) 94. Peak Systems, Inc., SolidState Technology, pp. 3941 (August 1990) 95. Apte, P. P. and Saraswat, K. C., IEEE Trans. Semicon. Manufnct., 5: 180188 (1992) 96. Moslehi, M. M., Kuehne J., Yeakley, R., Velo, L. Najm, H. Dostalik, B. Yin, D., and Davis, C. J., Rapid Thermal and Integrated Processing, Mat. Res. Sot. Symp. Proc., 2241143-157 (1991) 97. Davis, C., Moslehi, M. M., Bowling, A., and Luttmer, J. D., Texas Instruments Tech. J., 9(5):20-43 (1992) 98. Nulman, J., Cohen, B., Blonigan, W., Antonio, S., Meinecke, R., and Gat, A., Mat. Res. Sot. Symp. Proc., 146:46-466, (1989) 99. Lee, C., Solid St&e Technology, pp. 4142 (April 1993) 100. Kakoschke, R. and Bubmann, E., Mat. Res. Sot. Symp. Proc., 146:473482 (1989) 101. Lojek, B., Rapid Thermal Processing, (FL A. Fair, ed.), pp. 311-346, Academic Press, Boston ( 1993) 102. Roozeboom, F., Rapid Thermal Processing, R. A. Fair, ed.), pp. 349-417, Academic Press, Boston (1993) 103. Kogelschatz, U., Pure &Appl. Chem., 62:1667-1674 (1990) 104. Kogelschartz, U., Appl. SurJ Sci., 54:410-423 (1992) 105. Bergonozo, P., Patel, P., Boyd, I. W., and Kogelschatz, U., Appl. Surf: Sci., 54:424-429 (1992) 106. Kessler, F. and Bauer, G. H., Appl. Surf: Sci., 54:430-434 (1992) 107. Singh, R., Sinha, S., Hsu, N. J., Ng, J. T. C., Chou, P. C., Ullah, H. S. Nelson, A. J. and Swartzlander, A. B., Science and Technology of Thin Film Superconductors 2, (R. D. MCCOMd and R. Noufi, eds.), Plenum Press, pp. 303-309, New York (1990) 108. Singh, R., Sinha, S., Hsu, N. J., Ng, J. T. C., and Chou, P., American Institute of Physics Conference Proceedings, (R. G. Stockbauer, S. V. Krishnaswamy, and R. L. Kurtz, eds.), 200: 149-156 (1990) 109. Damilin, A. B., Erokhin, Y. M., and Mordkovich, V. N., Nucl. Instr. Methods in Phy. Res., B59/60:985-988 (1991) 110. Damilin, A. B., Erokhin, Y. N., Mordkovich, V. N., Hatzopoulos, N., and Hemment, P. L. F., Nucl. Instr. Methods in Phy. Res., B69:268-270 (1992)
Rapid Isothermal Processing (RIP)
507
111. Lam, C. S. and Fonstad, C. G., Symposia Proc., (T. 0. Sedgwick, T. E. Seidel, and B. Y. Tsaur, eds.), 52:403, Materials Research Society, Pittsburgh ( 1986) 112. Yu, T. H., Kong, W. M., Lester, L. F., Smith, P. M., Duh, K. H. G., and Hwang, J. C. M., Symposia Proc., (T. 0. Sedgwick, T. E. Seidel, and B. Y. Tsaur, eds.), 52:417, Materials Research Society, Pittsburgh (1986) 113. Monler, B. and Dietrich, H. B., Symposia Proc., (T. 0. Sedgwick, T. E. Seidel, and B. Y. Tsaur, eds.), 52:423, Materials Research Society, Pittsburgh ( 1986) 114. Pearton, S. J., Cummings, K. D., and Vella-Coleiro, G. P., J. Appl. Phys., 58:3252-3254 (1985) 115. Sealy, B. J., Bensalem, R., and Patel, K. K., Nuclear Instruments and Methods in Physics Research, B6:325-329 (1985) 116. Barrett, N. J., Bartle, D. C., Nicholls, R., and Grange, J. D., Proc. Int. Symp. GaAs and Related Compounds, Biarritz, France (1984); Inst. Phys. Conj: ch. 2,74:77, Adams Hilger, Ltd. (1985) 117. Seo, K. S., Dhar, S., and Bhattacharya, P. K., Appl. Phys. Lett., 47:500502 (1985) 118. Kanber, H., Henderson, W. B., Rush, R C., Siracura, M., and Whelan J. M., Appl. Phys. Lett., 47:120-122 (1985) 119. Patel, K. K., Bensalem, R., Shahid, M. A., and Sealy, B. J., Nuclear Instruments andMethods in Physics Research, B7/8:418 (1985) 120. Pearton, S. J. and Cummings, K. D., J. Appl. Phys., 58: 1500-1504 (1985) 121. Chambon, P., Berth, M., and Prevot, B., Appl. Phys. Lett., 46:162-164 (1985) 122. Gill, S. S., J. Elecfrochem. Sot., 135:1027-1029 (1988) 123. Davies, D. E., Nuclear Instruments andMethods in Physics Research, B7/ 8:387 (1985) 124. Pearton, S. J. and Katz, A., Mat. Sci. and Eng., B18: 153-168 (1993) 125. Blunt, R. T., Lamb, M. S. M., and Szweda, R., Appl. Phys. Left., 47:304306 (1985) 126. Davies, D. E., Materials Research Society Symposia Proc., (F. H. Eisen, T.W. Sigmon, and B. R. Appleton, eds.), 45:261, Materials Research Society, Pittsburgh, PA (1985) 127. Shahid, M. A., Bensalem, R., and Sealy, B. J. Materials Research Society S’posia Proc., (D. K. Biegelsen, G. A. Rozgonyi, and C. V. Shank), 35:489, Materials Research Society, Pittsburgh (1985) 128. Shahid, M. A., Patel, K. K., and Sealy, B. J., Paper presented at Microsc. Semicond. Mater. Conf., Oxford, Mar. 25-27, 1985, published by Inst. Phys. ConJ 76(3): 117, Adam Hilger Ltd. (1985)
508 Compound Semiconductors 129. Davies, D. E., and McNally, P. J., Appl. Phys. Lett., 44:306-308 (1984) 130. Blunt, R. T., Szweda, R., Lamb, M. S. M., and Cullis, A. G., Electron. Lett., 20:444-446 (1984) 131. Johnson, S. T., Omnan-Rossiter, K. G., and Williams, J. S, Materials Research Society Symposia Proc., (J. C. C. Fan and N. M. Johnson, eds.), 23 :663, North-Holland, New York (1984) 132. Rosemblatt, D. H., Hitchens, W. R., Shams, S., Gat, A., and Betts, D. A., Materials Research Society Symposia Proc,, (J. C. C. Fan and N. M. Johnson, eds.), 23 :669, North-Holland, New York ( 1984) 133. Nissim, Y. I., Jot&off, B., Sapriel, J., and Henoc, P., Materials Research Society Symposia Proc., (J. C. C. Fan and N. M. Johnson, eds.), 23:675, North-Holland, New York (1984) 134. Davies, D. E., McNally, P. J., Lorenzo, J. P., and Julian, M., IEEE Electron Device Letters, EDL3:102-105 (1982) 135. Tabatabaie-Alavi, K., Masum Choudhury, A. N. M., Fonstad, C. G., and Gelpey, J. C., Appl. Phys. Lett., 43:505-507 (1985) 136. Ito, K., Yoshida, M., Otsubo, M., and Murotani, T., Jpn. J. Appl. Phys., 22:L299-L300 (1983) 137. Harrison, H. B., Johnson, S. T., Comish, B., Adams, F., Short, K. T., and Williams, J., Materials Research Society Symposia Proc., (J. Narayan, W. L. Brown and R. A. Lemons, eds.), 13:393, North-Holland, New York (1983) 138. Kuzuhara, M., Kohzu, H., and Takayama, Y., J. Appl. Phys., 54:31213124 (1983) 139. Davies, D. E., and McNally, P. J., IEEE Electron Device Letters, EDLY:356-357 (1983) 140. Chapman, R. L., Fan, J. C., Donnelly, J. P., and Tsaur, B. Y., Appl. Phys. Lett., 40:805-807 (1982) 141. Arai, M., Hishiyama, K., and Watanabe, H., Jpn. J. Appl. Phys., 2O:L214L216 (1981) 142. Rao, M. V., Appl. Phys. Lett., 48:1522-1524 (1986) 143. Kirokllou, D., Merz, J. L., Kalish, R., and Shatar, S., J. Appl. Phys., 57:53 l-536 (1985) 144. Kirillou, D. and Merz, J. L., Materials Research Society Symposia Proc., (D. K. Biegelsen, G. A. Rozgonyi, and C. V. Shank, eds.), 35:477, Materials Research Society, Pittsburgh (1985) 145. Bahir, G., Men, J. L., Abelson, J. R., and Sigmon, T. W., Materials Research Society Symposiu Proc., (F. H. Eisen, T. W. Sigmon, and B. R. Appleton, eds.), 45:297, Materials Research Society, Pittsburgh (1985) 146. Hirota, Y., Okamura, M., Hisaki, T., and Mikami, O., Electron. Lett., 21:686-688 (1985)
Rapid Isothermal Processing (RIP)
509
147. Kirillou, D., Merz, J., Kalish, R., and Ron, A., Appl. Phys. L&t., 44:609610 (1984) 148. Soda, K. J., Lorenzo, J. P., Davies, D. E., and Ryan, T. G., Materials Research Society Symposia Proc., (J. C. C. Fan and N. M. Johnson, eds.), 23:693, North-Holland, New York (1984) 149. Kirillou, D. and Merz, J. L., Materials Research Society Symposia Proc., (J. C. C. Fan and N. M. Johnson, eds.), 23:707, North-Holland, New York (1984) 150. Gill, S. S. and Sealy, B. J.,.I. Appl. Phys., 56:1189-1195 (1984) 151. Molnar, B., Kelner, G., Ranseyer, G. L., Morrison, G. H., and Shatak, S. C., Materials Research Society Symposia Proc., (G. K. Hubler, 0. W. Holland, C. R Clayton, and C. W. White, eds.), 27:329,Materials Research Society, Pittsburgh ( 1984) 152. Choudhury, A. N. M., Tabatabaie-Alavi, K., Fonstad, C. G., and Gelpey, J. C., Appl. Phys. Lett., 43:381-383 (1983) 153. Lile, D. L., Collins, D. A., and Zeisse, C. R. IEEE Electron Device Letters, EDL-4:23 l-233 (1983) 154. Gill, S. S., Scaly, B. J., Topham, P. J., Barrett, N. J., and Stephens, K. G., Electron. Lett., 17:623-624 (1981) 155. Penna, T., Tell, B., Liao, A. S. H., Bridges, T. J., and Burkhardt, G., J. Appl. Phys., 57:351-354 (1985) 156. Shah, J., Tell, B., Bridges, T. J., Burkhardt, E. G., DiGovanni, A. E., and Brown-Boebeler, K., J. Appl. Phys., 47:146 (1985) 157. Zemel, A., Tell, B., Leheny, R. F., Harrison, T., Bridges, T. J., Burkhardt, E. G., Liao, A. S. H., and Beebe, E. D., J. Appl. Phys., 56:1856-1858 (1984) 158. Tell, B., Leheny, R. F., Liao, A. S. H., Bridges, T. J., Burkhardt, E. G., Chang, T. Y., and Beege, E. D., Appl. Phys. Lett., 44:438-440 (1984) 159. Sealy, B. J., Shahid, M. A., Anjum, M., Gill, S. S., and Marsh, J. H., Nuclear Instruments and Methods in Physics Research, B7181423-428 (1985) 160. Molnar, B., Kelner, G., Ramseyer, G. L., Morrison, G. H., and Shams, S. C., Materials Research Society Symposia Proc., (0. W. Holland, C. R. Clayton, and C. W. White, eds.), 27:329, North-Holland, New York (1984) 161. Tabatabaie-Alavi, K., Masum Choudhury, A. N. M., Kanabe, H., Fonstad, C. G., and Gelpey, J. C., Appl. Phys. Lett., 73:647 (1983) 162. Maier, M., and Selders, J., J. Appl. Phys., 60:2783-2787 (1986) 163. Nadella, R. K., Vellanki, J., Rao, M. V. and Dietrich, H. B., IEEE Electron Device Lett., 131473-475 (1992) 164. He, L., and Anderson, W. A., J. ElectronicMat., 21:937-945 (1992)
510
Compound Semiconductors
165. Rao, M. V., Gulwadi, S. M., and Mulpuri, S., J. ElectronicMat., 928 (1992)
21:923-
166. Jeong, C. O., Kim, S. J., and Choe, B. D., J. Electronic Mat., 2 1:825-829 (1992) 167. Van Berlo, W. H., Ghaffari, M., and Lendgreen, G., J. Electronic Mat., 21:431435 (1992) 168. Nadella, R. K., Vellanki, J., Rao, M. V., and Holland, 0. W., J. Appl. Phys., 72:2179-2184 (1992) 169. Akano, U. G., Mitchell, V., Shepherd, F. R., andMiner, C. J., J. Vuc. Sci. Technol., A4:996-1001 (1992) 170. Kringhoj, P., Hansen, J. L., and Shiryaev, S. Y., J. Appl. Phys., 72:22492255 (1992) 171. Rao, M. V., Nadella, R. K., and Holland, 0. W., J. Appl. Phys., 71: 126132 (1992) 172. Vellanki, J., Nadella, R. K., and Rao, M. V., “Highly Conductive Burried
n+ Layers in InP: Fe Created by MeV Energy Si, S, and Si/S Implantation for Application to Microwave Devices,” J. Electron. Muter. (In Press) 173. Uelrich, H., Knecht, A., Bimberg, D., Krautle, H., and Schlaak, W., J. Appl. Phys., 72:3514-3521 (1992) 174. Chen, C. W., and Wu, M. C., J. Appl. Phys., 72:1769-1773
(1992)
175. Gulwadi, S. M., Nadella, R. K., Holland, 0. W., and Rao, M. V., J. ElectronicMuter., 20:615-619 (1991) 176. Pearton, S. J., Nucl. Instr. Meth., B59/60:970-977
(1991)
177. Tin, C. C., Barnes, P. A., Bardin, T. T., and Pronko, J. G., Nucl. Znstr. Meth., B59/60:623-626 (1991) 178. Shen, H., Yang, G., Zhou, Z., and Zou, S., Nucl. Instr. Meth., B55:798-
801(1991) 179. Wendler, E., Wesch, W., and Goiz, G., Nucl. Intr. Meth., B55:789-793 (1991)
180. Rao, M. V., Hong, W. P., Caneau, C., Chang, G. K., Papanicolaou, N., and Dietrich, H. B., J. Appl. Phys., 70:3943-3945 (1991) 181. Nadella, R. K., Rae, M. V., Simons, D. S., and Chi, P. H., J. Appl. Phys., 70:2973-2978
(1991)
182. Nadella, R. K., Rao, M. V., Simons, D. S., Chi, P. H., Fatemi, M., and Dietrich, H. B., J. Appl. Phys., 70:1750-1757 (1991)
183. Rao, M. V., Hong, W. P., Chang, G. K., Papanicolaou, N., andDietrich, H. B.,J. Appl. Phys., 69:7881-7886 (1991) 184. Gulwadi, S. M., Fao, M. V., Berry, A. K., Simons, D. S., Chi, P. H., and Dietrich, H. B., J. Appl. Phys., 69:42224227 (1991)
Rapid Isothermal Processing (RIP)
511
185. Gulwadi, S. M., Rao, M. V., Simons, D. ., Holland, 0. W., Hong, W. P., Caneau, C., and Dietrich, H. B., J. Appl. Phys., 69: 162-167 (1991) 186. Kyingloj, P., Gribkovskii, V. V., and Larsen, A. N., Appl. phy.r. Lett., 57:1514-1516 (1990) 187. Pearton, S. J., Hobson, W. S., Kin&la, A. P., Kovalchick, J., Chakrabarti, U. K., and Abernathy, C. R., Appl. phyx Lett., 56:1263-1265 (1990) 188. Rao, M. V., and Nadella, R. K. J. Appl. Phys, 67:1761-1766 (1990) 189. Rao, M. V., Gulwadi, S. M., Thompson, P. E., Fathimulla, A., and Aina, 0. A., J. Electron. Muter., 18:131-136 (1989) 190. Rao, M. V., Keating, M. P., and Thompson, P. E., J. Electron. Muter., 17:315-320 (1988) 191. Rao, M. V., Babu, R. S., Dietrich, H. B., and Thompson, P. E. J. Appl. phys, 64:4755-4759 (1988) 192. Rao, M. V., Aina, 0. A., Fathimulla, A., and Thompson, P. E., J. Appl. phys., 64:2426-2433 (1988) 193. Xiao, G., Yin, S., Zhang, J., Dong, A., Zhu, P., and Liu, J., J. Appl. Phys., 71:4843-4847 (1992). 194. Wilson, R. J., Sealy, B. J., and William, R. M., Nucl. Instr. Meth., B62:361-363 (1992) 195. Thompson, P. E., Nucl. Instr. Meth., B59/60:592-599 (1991) 196. Trudeau, Y. B., Kajrys, G. E., Gagnon, G., and Brebner, J. L., Nucl. Instr. Meth., B59/60:609-613 (1991) 197. Huang, F. S., Chen, W. S., Hsu, T. M., and Lee, C. W., Nucl. Instr. Meth., B59/60:1003-1006 (1991) 198. Braunstein, G., Chen, S., Lee, S. T., Zheng, L. R., Ko, K. Y., andpeterson, D. L., Nucl. Instr. Meth., B59/60:1032-1036 (1991) 199. Palmetshofer, L., Kastner, J., and Lubke, K., Nucl. Znstr. Meth., B591 60:1081-1085 (1991) 200. Fan, W. D. and Wang, W. Y., Nucl. In&r. Meth., B59/60:1086-1089 (1991) 201. Soares, J. C., Melo, A. A., Alves, E., daSilva, M. F., Gillin, W. P., and Scaly, B. J., Nucl. Znstr. Meth., B59/60:1090-1093 (1991) 202. Tang, A. C. T., Scaly, B. J., and Rezazadeh, A. A., Nucl. Instr. Meth., B53:289-293 (1991) 203. Xie, K. and Wie, C. R., Nucl. Znstr. Meth., B53:294-300 (1991) 204. Rao, M. V., Thompson, P. E., Echard, R., Mulpuri, S., Berry, A. K., and Dietrich, H. B., J. Appl. Phys., 69:4228-4233 (1991) 205.
Rao, M. V., Babu, R. S., Berry, A. K., Dietrich, H. B., and Bottka, N., J. Electrom. Muter., 19:789-794 (1990)
512 206.
Compound Semiconductors Lee, J. L., Vedono, A., Tanigawa, S., and Lee, D. Y., J. Appl. Phys., 67:6153-6158 (1990)
207. Rao, M. V., Thompson, P. E., Dietrich, H. B., and Simons, D. S., J. Appl. Phys., 67:6165-6170 (1990) 208. Tews, H., Neumann, R., Hoepiner, A., and Gisdakis, S., J. Appl. Phys., 67:2857-2861 209.
(1990)
Sugitani, S., Hyuga, F., and Yamasaki, K., J. Appl. Phys., 67552-554 (1990)
210.
de Souza, J. P., Sadana, D. K., Baratte, H., and Cardone, F., Appl. Phys. Lett., 57:1129-1131
211.
(1990)
Srinivasan, G. and Sealy, B. J., Appl. Phys. Lett., 57:378-379
212. Lee, S. and Gopinath, A., J. Vuc. Sci. Technol., B8:402406
(1990) (1990)
213.
Shen, H., Zhou, Z., Xu, H., Xia, G., and Zou, S., Appl. Phys. Lett., 6 1:2093-2095 (1992)
214.
Harriott, L. R., Nucl. Instr. Meth., B53:802-810 (1991)
215. Miyauchi, E., CriticalReviews (1991)
in SolidState
andMater.
Sci., 17:107-13 1
216. Petroff, P. M., Li, Y. J., Xu, Z., Beinstingl, W., Sasa, S., and Ensslin, K., J. Vat. Sci. Technol., B9:3074-3078 (1991) 217.
Chu, C. H., Hsieh, Y. F., Harriott, L. R., and Wadl, H. H., J. Vat. Sci. Technol., B9:3451-3455
(1991)
218. Beinstinge, W., Li, Y. J., Weiman, H., Merz, J., and Petroff, P. M., J. Vuc. Sci. Technol., B9:3479-3482 (1991) 219.
Chand, N., People, R., Baiocchi, F. A., Wecht, K. W., and Cho, A. Y., Appl. Phys. Lett., 49:815-817 (1986)
220. Risez, F., Rakennus, K., Hakkarainen, T., and Pessa, M., J. Vuc. Sci. Technol., B9:176-177
(1991)
22 1. Watson, G. P., Ast, D. G., Anderson, T. J., Hayakawa, Y., and Pathangey, B., J. Electrom. Muter., 20:703-708 (1991). 222.
Ismail, K., Legoues, F., Karam, N. H., Carter, J., and Smith, H. I. Appl. Phys. Lett., 59:2418-2420
(1991)
223. Katz, A., Feingold, A., Pearton, S. J., Abernathy, C. R., Geva, M., and Jones, K. S., J. Vuc. Sci. Technol., B9:2466-2472 (1991) 224. Kunzel, H., Gibis, Schlaak, W., Su, L. M., and Grote, N., J. Crystal Growth, 111:461-465 (1991)
225. Burns, G. F. and Fonstad, G. F., Appl. Phy. Lett., 61:2199-2201 226.
(1992)
Myers, D. R, Vawter, G. A., and Jones, E. D., IEEE Trans. Electron Device, ED-39:41-49 (1992)
Rapid Isothermal Processing
(RIP)
513
227. Sadwick, L. P., Streit, D. C., Jones, W. L., Kim, C. W., and Hwu, R. J., IEEE Trans. Electron Devices, ED-3950-55 (1992) 228
Yano, M., Yoh, K., Iwawaki, T., Iwai, Y., and Inoue, M., J. Crystal Growth, 111:397-401 (1991)
229. Xia, W., Han, C. C., Pappert, S. A., Hsu, S. N., Guan, Z. F., Yu, P. K. L., and Lau, S. S., Appl. Phys., 58:625-627 (1991) 230.
Lee, S. T., Chen, S., Braunstein, G., Ko, K. Y., Ott, M. L., and Tan, T. Y., Appl. Phys. Lett., 57:389-391
231.
(1990)
O’Brien, S., Shealy, J. R., Bour, D. P., and Elbaum, L., and Chi, J. Y., Appl. Phys. Lett., 56: 1365-1367
232.
(1990)
Hu, Y. P., Petroff, P. M., Qian, X., and Brown, A. S., Appl. Phys. Lett., 53:2194-2196
(1988)
233.
Seo, K. S., Bhattacharya, P. K., Kothiyal, G. P., and Hong, S., Appl. Phys. Lett., 49:966-968 (1980)
234.
Kalish, R., Kramer, L. Y., Law, K. K., Merz, J. L., Feldman, L. C., Jacobson, D. C., and Weir, B. E., Appl. Phys. Lett., 61:2589-2591 (1992)
235.
DeLa Rue, R. M., and Marsh, J. H. Integrated Optics and Optoelectronics, (K. K. Wong and M. Razeghi, eds.), pp. 259-288, CSPIE, Bellingham, Washington (1993)
236. Yasuami, S., Saito, Y., and Hojo, A., Jpn. J. Appl. Phys., 23:379 (1984) 237.
Gill, S. S., Dawsey, J. R., and Cullis, A. G., Electron. Lett., 20:944 (1984)
238.
Tiku, S. K., and Duncan, W. M., J. Electrochem.
Sot.,
132:237-239
(1985) 239.
Katz, A., Chu, S. N. G., Weir, B. E., Abernathy, C. R., Hobson, W. S., Pearton, S. J., and Savin, W., IEEE Trans. Electron Devices, ED-39:184192 (1992)
240. Dunn, J. and Stinglellow, G. B., J. Electron. Mater., 19:Ll-L3 241.
(1990)
Kanack, B., Carter, R. L., Applebaum, A., and Woef, D., Appl. Phys. Lett., 55:2325-2327
(1989)
242. Katz, A., “Physical and Chemical Deposition of Metals as Ohmic Constants
to InP and Related Materials,” Ch. 4, this book. 243. Shen, T. C., Gad, G. B., and Morkoc, H., J. Vat. Sci. Technol. B, 10:2113-2132 244.
(1992)
Mui, D. S. L., Biswas, D., Reed, J., Demirel, S., St&e, and Morkoc, H., Appl. Phys. Lett., 60:2511-2513
245.
(1992)
Joshi, P. C., and Krupanidhi, S. B., Appl. Phys. Lett., 61:1525-1527 (1992)
246. Barlingay, C. B., and Dey, S. K., Appl. Phys. Lett., 61:1278-1290 247.
(1992)
Chen, J., Udaykumar, K. R., Brooks, K. G., and Gross, L. E., J. Appl. Phys., 71:4465-4469 (1992)
514 Compound Semiconductors 248. Joshi, P. C. and Krupanikhi, S. B., J. App. Phys., 725827-5833 249.
Weijtens, C. H. L., J. Electrochem.
250.
Weijtens, C. H. L., IEEE Trans. Electron Devices, 39:1889-1894
251.
Sot., 138:3432-3434
(1992)
(1991) (1992)
Ohinishi, T., Yamaguchi, Y., Inada, T., Yokoyama, N., and Nishi, H., (1984)
IEEE Electron Device Letters, EDL-5:403405
252. Greiner, M. E. and Gibbons, J. F., Appl. Phys. Lett., 44:750 (1984) 253.
Dobkin, D. M. and Gibbons, J. F., Appl. Phys. Lett., 44:884-886,
254. Dobkin, D. and Gibbons, J. F., .J. Electrochem, (1984) 255.
(1986)
Gualtieri, G. J., Schwartz, B. P., Zydzik, G. J., and Van Uitert, L. G., J. Electrochem.
Sot., 133:1425-1429,
257.
Singh, R., Electronics, (49)58:9-19,
258.
Singh, R., Semicond. Int., 9(1):28-29
259.
131:1699-1702
Ghandi, S. K., Huang, R. T., and Borrego, J. M., Appl. Phys. Lett., 48:415-416,
256.
Sot.,
(1984)
(1986) (1985) (1986)
Singh, R., Bokhari, A. A., and Joshi, S. P.,J. Electrochem. Sot., 133:177C (1986)
260.
Singh, R., Radpour, F., Chu, P. Nguyen, Q., Joshi, S. P., Ullal, H. S., Matson, R. J., Asher, S. J., Vuc. Sci. Z’echnol., A5:1819-1823, (1987)
261. Rajeswaran, G., Kahen, K. B., and Lawrence, D. J., J. Appl. Phys., 69:1359-1365 262.
(1991)
Sadana, D. K., de Souza, J. P., and Cardone, F., Appl. Phys., Lett., 57:1681-1683
(1990)
263. Kepler, N. M., Cheung, H. W., and Chu, P. K., MaterialsResearch Society Symposia Proceedings, (T. 0. Sedgwick, T. E. Seidel, and B. Y. Tsaur,
eds.), 52:383, Materials Research Society, Pittsburgh (1986) 264. Licoppe, C., Debauche, C., Houzay, F., Flicstein, J., Nissim, Y. I., and Moison, J. M., Appl. Surf: Sci., 56-58:789-794 (1992) 265.
Licoppe, C., Meriadec, C., Nissim, Y. I., and Moison, J. M., Appl. SurSci., 54:445-452
266.
(1992)
Licoppe, C., Meriadec, C., Flicstein, J., Nissim, Y. I., Petit, E., and Moison, J. M., J. de Physique, IV, C2:357-364 (1991)
267. Katz, A., Feingold, A., Chakrabarti, U. K., Pearton, S. J., and Jones, K. S., Appl. Phys. Lett., 59~2552-2554 (1991) 268. Katz, A., Feingold, A., Chakrabarti, U. K., and Pearton, S. J., Appl. Phys. Lett., 59:579-581 (1991) 269.
Nissim, Y. I., Moison, J. M., Houzay, F., Leblad, F., Licoppe, C., and Bensoussan, M., Appl. Surf: Sci., 46: 175-188 (1990)
Rapid Isothermal Processing (RIP)
515
270. Licoppe, C., Wattine, F., Meriadec, C., Flicstein, J., and Nissim, Y. I., J. Appl. Phys., 68:5636-5640 (1990) 271. Lebland, F., Licoppe, C., and Nissim, Y. I., J. Appl. Phys., 72:2802-2805 (1992) 272.
Katz, A., Feingold, A. Nakahara, S., Pearton, S. J., and Lane, E., Appl. Phys. Lett., 61525-527 (1992)
273. Nissim, Y. I., Sapriel, J., Gao, Y. d’Anterroches, C., Regolini, J. L., and Bensahel, D. Appf. Phys. Left., 59:656458 (1991) 274. Katz, A., Feingold, A. Nakahara, S. Pearton, S. J., Lane, E., Gva, M, Stevie, F. A. and Jones, K., J. Appl. Phys., 71:993-1000. (1992) 275.
Katz, A., J. Electron. Mater., 20:1069-1073
276.
Singh, R, Ng, J. T. C., Singh, R. K., Qian, F., Hsu, N. J., and Krueger, D. J., IEEE Trans. on Appl. Supcon., 3: l-7 (1993)
277.
(1991)
Singh, R., Sinha, S., Hsu, N. J., Ng, J. T. C., Chou, P. and Narayan, J., J. Appl. Phys., 69:2418-2422 (1991)
278. Singh, R., Sinha, S., Hsu, N. J., Ng, J. T. C., ThaKur, R. P. S. andNarayan, J., J. Vat. Sci. Technol., A, 9:401-404 (1991) 279.
Brauers, A., Progress Crystal Growth and Characterization 22:1-18
ofkfaterials,
(1991)
280.
Jensen, K. F., Annapragada, A., Hu, K. L., Huh, J. S., Patnai, K. S., and Salim, S., J. de Physique IV, C2:243-252 (1991)
281.
Jones, A. C., J. de Physique IV, C2:253-261
282.
Hatano, A., Zumiya, L., and Ohba, Y., Appl. Phys. Lett., 58:1488-1490 (1991)
(1991)
283. Goldstein, A. N., Ecker, C. M., and Alvisatioo, A. P., Science, 256:14251427 (1992) 284. Tonucci, R. J., Justus, B. L., Campillo, A. J., and Ford, C. E., Science, 258:783-785 (1992) 285. Singh, R., ThaKur, R. P. S., Kumar, A., Chou, P., and Narayan, J., Appl. Phys. Lett., 56:247-249 and 56: 1808 (1990) 286. Singh, R., Thakur, R. P. S., Katz, A., Nelson, A. J., Gebherd, S. C., and Swartzland, A. B., “Relationship Between Thermal Strength and Structural Properties of SrF, Films on InP,” Appl. Phys. Left., 57:1239-1241 (1990) 287.
Singh, R. and Thakur, R. P. S., J. Inst. Electronicsand Eng., 37:219-223 (1991)
Telecommunications
288. Thakur, R. P. S., Singh, R., Nelson, A. J., and Swartzlander, A. W., J. Appl. Phys., 70:401-404 (1991) 289. Hayashi, I., Jpn. J. Appl. Phys., 32:266-271 (1993) 290. Harriott, L. R., Mat. Sci. Eng., 314:336-345
(1992)
516 Compound Semiconductors 291. Sundaram, M., Chalmers, S. A., Hopkins, P. F., and Gossard, A. C., Science, 254:1326-1335 (1991) 292. Efiekhari, G., Phys. Stat. Sol., (a), 122:75 l-7656 (1990) 293. Hizloglu, K., Hashemi, M. M., Yin, L. W., Li, Y. J., Petrott, P. M., Misra, U. K., and Brown, A. S., J. Appl. Phys., 72:3798-3802 (1992) 294. Pearton, S. J., Ren, F., Lothian, J. R., Fullowan, T. R., Katz, A., Wisk, P. W., Abernathy, C. R., Kophf, R F., Elliman, R. G., Ridgeway, M. C., Jagdish, C., and William, J. S., J. Appl. Phys., 71:4949-4954 (1992) 295. Pearton, S. J., Ren, F., Katz, A., Fullowan, T. R., Abernathy, C. R., Hobson, W. S., and Kopf, R. F., IEEE Trans. Electron Devices, Ed39:154-159 (1992) 296. de Souza, J. P., and Sadana, D. K., IEEE Trans. Electron Device, ED39:166-175 (1991) 297. Marten, A., Schneider, N., Schweizer, N., Nickel, H., Schlapp, W., Lusch, R., Dambkes, H., and Marschall, P., J. Vat. Sci. Technol., B9:2861-2865 (1991) 298. Gillin, W., Tang, Y. S., Whitehead, N. J., Homewood, K. P., Sealy, B. J., Emery, M. T., and Whitehouse, C. R., Appl. Phys. Lett., 56:1116-l 118 (1990) 299. Peercy, P. S., Dodson, B. W., Tsao, J. Y., Jones, E. D., Myers, D. R., Zipperian, T. E., Dawson, L. R., Biefeld, R. M., Klem, J. F., and Hills, C. R., IEEE Electron Device Lett., 9:621623 (1998) 300. Streit, D. C., Jones, W. L., Sadwick, L. P., Kim, C. W., and Hwu, R. J., Appl. Phys. Lett., 5812273-2275 (1991) 301. Zhang, G., Nappi, J., Gvtchinnikov, A., Asonem, H., and Pessaj, M., J. Appl. Phys., 72:3788-3791 (1992) 302. Xia, W., Yu, L. S., Guan, Z. F., Pappert, S. A., Xu, P. K. L., Lau, S. S., Schwartz, S. A., Pudensi, A. A., Florez, L. T., and Harbisen, J. P., Appl. Phys. Lett., 61:1269-1271 (1992. 303.
Offsey, S. D., Schatt, W. J., Lester, L. F., Eastman, L. F., and McKerman, S. K., IEEEJ. Quantum Electron., 2711455-1462 (1991)
304. Bour, D. P., Martinelli, R. V., Hawrylo, F. Z., Evans, G. A., Carlson, N. W., and Gilbert, D. B., Appl. Phys. Lett., 56:318-320 (1990) 305.
Yameda, N., Rous, G., and Harris, J. S., Jr., Appl. Phys. Lett., 59:10401042 (1991)
306. Ren, F., Pearton, S. J., Abernathy, C. R., Wu, C. S., Hu, J., Pao, C. K., Wang, D. C., and Wen, C. P., IEEE Trans. Electron Devices, 39:27012706 (1992) 307.
Maurei, P., Nagle, J., and Hirtz, J. P., Jpn. J. App. Phys. 2:1056-1059 (1993)
308. Likharev, K. K., IBMJ. Rex Develop., 32:144-158 (1988)
Rapid Isothermal Processing (RIP)
517
309. Flam, F., Science, 255:289 (1992) 310. Miyasaka, T., Koyama, K., and Itoh, I., Science, 255:342-344 (1992) 311. Schalkoff, R. J., Poole, K. F., Singh, R., Owens, R. E., Gowdy, J. N., and Turner, A. E., Proc. of the Government Neural Network Applications Workshop, 1:49-53, GACIAC, IIT Research Institute, Chicago (1992)
10 Epitaxial Lift-Off for Thin Film Compound Semiconductor Devices Nan Marie Jokerst
1.0
INTRODUCTION
To achieve high-performance low-cost hardware for information applications ranging from telecommunications to computers to cable television, no one material optimally satisfies all system requirements. In fact, most information transmission and processing hardware today is comprised of a variety of materials, including silicon for circuitry, compound semiconductors for light emission, modulation and detection, glass for transmission, metals for electrical interconnection, and a host of dielectrics and polymers for functions such as insulation, isolation, and planarization. The challenge facing hardware designers is in the choice and integration of materials for optimal system function, given that there are limited fabrication and integration process options available to produce manufacturable, low cost, high performance integrated systems. This goal of materials integration, particularly the near-monolithic integration of single crystal materials which do not have equivalent lattice constants, has led researchers in the compound semiconductor area to explore a range of techniques for depositing or bonding compound semiconductor devices to host substrates. These integration schemes strive to 518
Epitaxial Lift-Off for Thin Films
519
produce high performance integrated assemblies at a low cost. To achieve high performance, these integration schemes must utilize high quality single crystal compound semiconductor material that is not degraded by the fabrication or integration process. For low cost, manufacturable integration, standard materials and processes should be maximally utilized and process steps minimized for high yield. Current integration techniques include direct nucleation and growth of compound semiconductor materials onto non-lattice-matched substrates,tll flip chip bonding of compound semiconductor devices and arrays of devices onto silicon circuits,t*) wafer bonding of compound semiconductor devices to host substrates,131 and bonding of single crystal thin film compound semiconductor devices onto arbitrary host substrates.t41 The separation of single crystal thin film epitaxial compound semiconductor layers from a lattice-matched growth substrate, through selective etching, with subsequent bonding of the epilayers onto host substrates, is a new tool for materials integration which has the potential to produce high performance, low cost integrated assemblies. This separation process, named epitaxial lift-off (ELO), utilizes single crystal device cpilaycrs which are grown lattice-matched (or near lattice-matched) to a sacrificial etch layer which has been grown lattice-matched to the growth substrate. The sacrificial layer is selectively etched, and the cpitaxial layers arc thus separated from the substrate. An alternative method of separation is to use stop-etch epilayers to completely etch the substrate away from the epilayers. Using handling layers and transfer techniques, these thin film materials can be handled with tweezers after separation, processed on both sides of the device, aligned, and bonded onto arbitrary host substrates. The quality of these thin film materials is not affected by the separation and bonding process, and the performance advantages associated with mutli-material integration is exciting. A number of investigations have examined the quality of these thin film materials and devices after separation and processing, and the material quality remains high, Lvhich is consistent with the need for high performance operation. There arc no lattice constant constraints on the host substrate, which can bc, for cxample, an amorphous glass or a polymer. There arc a significant performance advantages in multi-material systems, since the individual materials and components can be individually optimized. Epitaxial lift-off is particularly attractive from this perspective, since the host substrate and the thin film devices do not interact until the thin film has been bonded to the host substrate. This also increases the yield of systems if the host substrates and
520 Compound Semiconductors thin film devices can be tested for specification before integration. Another interesting performance enhancement is the fact that thin film devices, comprised of different materials and performing different functions, can be independently optimized and integrated onto a single host substrate. Removal of the substrate from these epitaxial layers also opens new possibilities in device design. Higher performance can often be achieved by removing the substrate. Metal-semiconductor-metal detectors, for example, can be designed with the contact fingers on the bottom of the device, where they no longer reduce the efficiency through shadowing of the incident signal. Another example of enhanced performance applies to resonant cavity devices. Lasers, light emitting diodes, detectors, and modulators can all achieve high performance through use of a resonant cavity. Current high finesse cavities are formed using compound semiconductor distributed Bragg reflectors, which are grown between the substrate and the active medium. In contrast, since both sides of thin film devices can be coated, inexpensive, more manufacturing-tolerant vacuum-deposited high- or anti-reflection coatings can replace the grown mirrors. Another potential advantage of substrate removal is efficient heat dissipation from thin film devices. An advantage for airborne applications is the weight reduction of the integrated system, due to the elimination of the growth substrate. The integration of these thin film materials also has the potential to realize manufacturable, low cost integrated assemblies. These epitaxial films are so thin that, once deposited, the thin film/host substrate stack looks essentially monolithic. Standard, low cost microelectronic processes, such as vacuum metallization and photolithography can be employed to complete the device and integration processing. In the area of reliability, vacuum-deposited metal interconnect compares favorably to bump bonding. To increase yield, devices and host substrates can be pretested before integration. Finally, researchers have demonstrated that these thin film devices can be repaired: a faulty thin film device can be removed from the host substrate and replaced with a fully functional device to increase the yield of the integrated system. The integrations which have been demonstrated include GaAs- and InP-based materials and devices bonded to host substrates such as silicon, lithium niobate, glass, and polymers. These compound semiconductor devices include detectors, light emitting diodes, lasers, modulators, passive optical components, and circuits. The advent of thin film device fabrication and integration has also led to some interesting three-dimensional integration schemes, in which layers
Epitaxial Lift-Off for Thin Films
521
of processing circuitry are interconnected not only in the plane of the devices, but also perpendicular to this plane. Two such three-dimensional integration schemes have been demonstrated: the vertical electrical interconnection and the vertical optical interconnection of device layers. The vertical electrical integration utilizes thin film devices which lie on top of a polyimide-planar&d silicon circuit. Electrical interconnection between the thin film device and the silicon circuit is made through a metallized via in the polyimide. The vertical optical interconnection demonstrates optical communication between two silicon wafers, using a thin film emitter/ detector pair. These h&based thin film devices operate at a wavelength to which the silicon is transparent. Thus, the signal from the emitter on the first substrate passes through the second silicon substrate to the detector on top of the second substrate. These three dimensional integration schemes are potentially useful for computational cubes with vertical interconnect passing from one layer to the next, which may alleviate the current interconnection bottleneck that plagues many dense integrated circuits.
2.0
THIN FILM DEVICE FORMATION
AND BONDING
Single crystal thin films can be formed using selective etches, which separate epitaxial layers from the growth substrate. These thin films, usually on the order of a micron thick, can then be bonded to arbitrary, relatively smooth host substrates. The goal of this process is highperformance low-cost thin film device formation and integration. Epitaxial lift-off (ELO) is a process in which high quality, single crystal epitaxial device layers are separated from the growth substrate. These thin film materials and devices can be processed into a thin film device without degrading the quality of the material, and bonded to a host substrate with final processing to integrate the device onto the host. Epitaxial lift-off has been demonstrated using two approaches, namely, that of selective lateral etching of a sacrificial layer which lies between the epilayers of interest and the growth substrate, and that of selectively etching the growth substrate from the epilayers by utilizing a stop-etch layer. The composition-dependent selective etching of Al,Ga,_,As-based epilayers on GaAs substrates141-I71 and In,Ga,,As,P,_,,-based epilayers on InP,l*l have been those most widely explored to date, and although a similar process has been described for silicon, this review is limited to compound semiconductors.
522
Compound Semiconductors
2.1
Gallium Arsenide Based Thin Films
The physical separation of single crystal epitaxial layers of GaAsbased material from a GaAs growth substrate using lateral selective etching of a sacrificial layer, was first reported by Konagai et al. in 1974.t51 Another epilayer separation technique, using a stop-etch layer, was reported by Antypas et al. in 1975,[*1in which the epilayer was bonded to a glass host substrate and the GaAs substrate etched away, leaving the epilayers bonded to the glass. Nearly twelve years later, Yablonovitch et al. reported lateral selective etching of a sacrificial layer to separate highquality GaAs epitaxial films from the growth substrate, using a process he named epitaxial lift-off (ELO).t41 The creation and integration of thin film compound semiconductor devices with arbitrary host substrates has since been an area of intensive research for low-cost, high-performance integrated systems. Konagai et al. reported epilayer separation for thick GaAs epilayers, which were used to form solar cells.t51 Epitaxial films of GaAs 30 urn thick were grown on a GaAs substrate with a sacrificial etch layer of Al,,Ga,,,As grown between the substrate and GaAs epilayer. After surface contacting the epitaxial layers, the surface was protected with a black wax coating, and the sample subsequently immersed in an I-IF solution to selectively etch the Al,,,G%.,As layer, thereby releasing the epitaxial layers from the GaAs growth substrate. This process is very similar to the epitaxial lift-off process demonstrated by Yablonovitch,t41 with the exception that the layers separated by Konagai were much thicker than those reported by Yablonovitch. It is unclear why this process was not pursued fm-ther by Konagai; the material quality in these thick films may have been damaged by strain-induced cracks in the film. Antypas et al. reported the first epilayer separation using stop-etch layers to preferentially dissolve the substrate, thereby releasing the epilayers.t*l Instead of a sacrificial etch layer grown between the epilayers of interest and growth substrate, stop-etch layers were grown between the epilayers of interest and the growth substrate. After growth, the epitaxial layers were fusion-bonded to a glass host substrate. This assembly was then immersed in a H,O,:NH,OH (19: 1) solution, which preferentially etched the GaAs substrate at a rate of 5 urn/minute, stopping at the first stop-etch layer, Al,,G%,,As. The Al,.,Ga,,,As stop-etch layer was then removed using an HF solution. The final structure which remained was GaAs (1.5 urn thick)/AlGaAs (6.5 urn thick)/glass.
Epitaxial Lift-Offfor
Thin Film
523
In 1987, Yablonovitch et al. reported the separation of epitaxial Al,Gai,As (x < 0.4) from the lattice-matched GaAs substrates upon which they were grown. 141 This EL0 process uses a sacrificial layer of AlAs, which lies between the epilayers of interest and the GaAs growth substrate. The key to the success of the EL0 process is the use of epilayers only microns thick coupled with an Apiezon W (black wax) coating, which supports the thin film for handling purposes and places the epilayers under tension. Placing the epilayers under tension causes the epilayers to curl away from the growth slightly, thereby allowing the exchange of etching solution and reaction products to take place without damaging the thin film. The Epitaxial Lift-Off Process. An EL0 sample is, before EL0 processing, an epitaxial sample which can be fabricated using any standard growth technique. For the GaAs material system, a sacrificial etch layer of Al,Ga,,As (x > 0.6) must be grown between the epilayers which will form the thin film device and the growth substrate. Sacrificial etch layers ranging in thickness from 20 a.ngstroms141to 0.5 umlgl have been used. The first step in the EL0 process is to apply an Apiezon W handling layer to the epitaxial sample. There are a number of approaches to the application of the Apiezon W. One process uses a solution of 2.5 g of Apiezon W dissolved in 100 ml of trichloroethylene. Trichloroethane is now commonly used as the solvent as well. Several coats of this mixture are sprayed (using an atomizer) onto the sample to achieve a thickness of about 100 pm. The sample is then air-cured for 30 minutes and then baked at 100°C for 30 minutes. A second technique utilizes a hotplate or oven which is heated to about 125°C to melt the Apiezon W. The sample is placed on a glass slide on the hotplate or placed in the oven and heated, and a pellet of Apiezon W is placed upon the semiconductor sample. The Apiezon W melts and spreads across the sample. At this point, a Teflon press heated to 75°C can be used to flatten the wax,11oland/or the sample can be cured in an oven at about 120°C for 30 minutes. Variations on this process also give satisfactory results. For the sacrificial layer to be successfully etched, it must be exposed to the etch solution. There are a number of methods to expose the sacrificial etch layer. After the Apiezon W is cured, the edges of these samples can be cleaned with trichloroethane or trichloroethylene to expose the sacrificial etch layer. Alternatively, the sample can be cleaved on all four sides or the edges can be mechanically ground. After exposure of the sacrificial etch layer, the sample is ready to be immersed in the acid etch to selectively dissolve the sacrificial etch layer.
524
Compound Semiconductors
When the GaAs-based sample is immersed in a 10% HF etch, the sacrificial Al,Ga,_.& (x > 0.6) epilayer is selectively etched laterally underneath the epilayers of interest, thereby separating the epilayers from the GaAs growth substrate. The Apiezon W layer places the epitaxial layers under tension and, while the sacrificial layer etches, the epitaxial layers curl slightly away from the growth substrate, exposing the sacrificial layer to the etchant and allowing the reaction products to escape from the etch interface. Heating the HF acid will increase and cooling will decrease the rate of reaction. Diluting the HF solution will decrease and concentrating the HF solution will increase the rate of reaction as well. The etch rate at 0°C for undercutting epitaxial films with a surface stripe width of 1 cm or less, for example, is approximately 0.3 pmIhour.[lol When the sacrificial layer has been etched through, the epilayers may float to the top of the acid solution and the GaAs substrate sink to the bottom, but more commonly, the epilayers and substrate will slide slightly relative to one another and a slight nudge will separate the epilayers from the substrate. The separated epilayers can now be handled with tweezers, due to the support of the Apiezon W. High quality EL0 thin films as large as 2 x 4 crn[‘Oland films as thin as 200 angstroms [loI and as thick as 4.5 umtlll have been reported. The EL0 process reported by Yablonovitcht41t101is limited to the separation of Al,Ga,_,As (x < 0.4) epilayers from the substrate, but further process refinements have removed this epilayer composition restriction. This composition limitation arises because all Al,Ga,_,As (x > 0.6) epitaxial layers will be etched by the HF solution. Some device structures, however, optimally contain epitaxial layers with these high Al composition layers. A simple solution to this problem is to mesa-etch the outer edges of the epitaxial layers of interest down to, but not through, the AlAs sacrificial etch layer. The Apiezon W then protects these high Al composition layers from the HF etch, while still allowing the separation of the epilayers from the growth substrate to take place. Bonding. After the epitaxial thin film has been separated from the growth substrate, it is bonded to a host substrate. Once bonded, the Apiezon W coating is removed using trichloroethane or trichloroethylene. The films are most simply bonded to a smooth host substrate through contact, or van der Waals’ bonding. Alternatively, the thin films can be glued to the host substrate using adhesives such as ultraviolet curable cement, or the films can be bonded with the aid of an interface metal such as palladium.
Epitaxial Lift-Off for Thin Films
525
Water significantly aids in the bonding of the thin film material to the host substrate. A droplet of water, optimally deionized to reduce charge, filtered to reduce particulate, and boiled to reduce dissolved gases, is placed between the thin film and the host substrate. Once the thin film has been positioned with tweezers to the desired location on the host substrate, slight pressure will engage the surface tension ofthe water and pull the film down onto the surface. The remaining water which lies between the thin film and the host substrate can be forced out through the application of further pressure to the surface ofthe thin film. Pressures less than 1.5 x lo4 dynes/ mm2t101will leave approximately 1000 angstroms of water, which will diffise out if the assembly is left to dry for several hours. Particles such as dust encapsulated between the thin film and the host substrate produce bumps in the thin film (potentially capturing water) which blossom into blisters if the assembly is subjected to thermal cycling which liberates gases in the water or boils the water. Eventually, these blistered areas will flake off, so it is essential to minimize their number and areas. A study of the contact interface of these contact-bonded thin films to host substrates such as silicon, GaAs, and lithium niobate was conducted using transmission electron microscopy. [loI In each case, an amorphous bonding interlayer 20 to 100 angstroms thick, which is hypothesized to be surface oxides, is evident. The strength of contact-bonded thin film EL0 material has been investigated, using x-ray diffraction measurements of the lattice constant of AlGaAs/InGaAs/GaAs films bonded to silicon dioxide-coated silicon substrates, as a function of temperature. tl*l These measurements utilized the difference between the thermal coefficients of expansion of the GaAs-based thin film and SiO,/Si to apply stress to the thin film. Increasing temperature placed the thin film under in-plane compressive stress, and decreasing temperature-caused tensile stress. The measured change in lattice constant, perpendicular to the plane of the interface, as a function of temperature indicates that the bonding is weak shear bonding and that there is a critical shear stress at which slip occurs between the GaAs/SiO, interface. For temperature changes less than 5O”C, the film remains rigidly bonded to the SiO,, but for temperatures outside this range, the film slips.t’*l One of the serious drawbacks to the EL0 process, as first reported by Konagai and Yablonovitch, was the inability to deposit an electrical contact between the thin film material and the host substrate. One solution to this problem is the use of palladium (Pd) as an interface metal, which is deposited onto the host substrate before the compound semiconductor thin
526
Compound Semiconductors
film is bonded.t131 Palladium reacts with both silicon and compound semiconductors at temperatures less than 2OO”C, and also exhibits penetration of native oxides such as those that have been hypothesized to lie between the EL0 layers and the host substrate. Experimental structures were fabricated with Pd used as an interfacial layer between the EL0 material and a silicon host substrate. Adhesion was demonstrated to qualitatively pass the scotch tape test and resistance measurements revealed an upper limit on the contact resistance of lo4 ncm2 for heavily doped p or n type GaAs thin films.t131 This epitaxial lift-off process is schematically depicted in Fig. 1. Figure 2 is a photograph of a GaAs metal-semiconductor-metal (MSM) thin film photodetector which has been processed using epitaxial lift-off. The epitaxial GaAs was separated from the growth substrate using sacrificial etching, was subsequently bonded to a silicon dioxide coated silicon host substrate, metal Schottky contacts were vacuum deposited, and interdigitated electrodes were photolithographically defined in the Schottky metal using standard microelectronic processes.
Epilayers Sacrificial
Etch
Layer
Figure 1.
Schematic of the epitaxial lift-off etching process.
(a) The epitaxial device
layers of interest are grown on a sacrificial etch layer which is grown on the growth substrate.
The top layer is the Apiezon
W handling layer.
(5) A post-etch diagram,
illustrates that the sacrificial layer has been selectively etched, thereby separating the thin film epitaxial layers from the growth substrate. host substrate.
(c) The thin film layers are bonded to a
EpitaxialLift-Offfor
Figure epitaxial
2.
Microphotograph
lift-off
detector
of a thin film InGaAsP
integrated
onto a silicon
Thin Films
metal-semiconductor-metal
dioxide
coated silicon
527
(MSM)
host substrate.
This detector is 100 microns in diameter and one micron thick.
2.2
Indium Phosphide Based Thin Films
The fabrication of InP-based epitaxial lift-off thin film material has developed along two paths; first, that of using a thin AlAs layer as a sacrificial etch layer to separate the epitaxial layers from the growth substrate, and second, completely dissolving the substrate using stop-etch layers and selectiveetches. The first processis essentially the sameprocess as that used for GaAs-based materials: a thin AlAs sacrificial etch layer is selectively laterally etched to separate the lnP-based epitaxial layers of interest from the InP substrate. The second approach is similar to that reported by Antypas et al.:[7] a stop-etch layer is grown between the epilayers of interest and the InP substrate, an Apiezon handling layer is applied to the epilayers, and the substrate is selectively etched away, stopping at the stop-etch epilayer. An AlAs sacrificial etch layer, which lies between the epilayers of interest and the InP growth substrate, can also be used for ELO of 1nPbased epilayers.[14] The epilayers used to demonstratethis technique were
528
Compound Semiconductors
InP (buffer)/GaInAs (buffer)/AlAs (5 nm thick)/InP (buffer)/GaInAs. The AlAs is not lattice-matched to the InP layers, so buffer layers were used to improve the quality of the epilayers. The dark current of the photodiode fabricated from these EL0 thin films was degraded in comparison to onwafer diodes without the AlAs layer, however, and the authors hypothesize that this degradation is the result of the dislocations induced by the lattice mismatch.l141 In contrast, the use of lattice-matched stop-etch layers, coupled with dissolution of the InP growth substrate, does not introduce the misfit dislocation problems associated with the AlAs sacrificial etch layer in the InP material system. Either InGaA~l~~l or InGaAsP1161 can be used as stopetch layers for InP substrate removal. In this technique, a lattice-matched stop-etch layer of InGaAs or InGaAsP is grown between the epilayers of interest and the InP growth substrate. In both cases, the epilayers of interest are coated with Apiezon W (with mesa etching, if InP epilayers are to be separated), and immersed in an HCll151 or HCL:H,PO, (3: 1)[161solution for approximately 2 hours (for a 350 urn thick InP substrate) to etch away the substrate from the epilayers. After substrate removal, the stop-etch layer can also be removed using a second selective etch. For example, to fabricate an InP thin film, an InP (substrate)/InGaAsP (stop-etch layer)/InP sample was used.l161 After mesa etching to the InGaAsP layer, the sample was immersed in HCl:H,PO, (3: 1) to remove the InP substrate. The sample was then immersed in HF:H,O,:H,O (1: 1: 10) to selectively remove the InGaAsP layer from the InP epilayer, leaving the InP thin film attached to the Apiezon W. For these InP-based thin films, the bonding techniques are the same as those for GaAs-based thin films. 2.3
Modified Epitaxial Lift-Off Processes
The epitaxial lift-off processes detailed in Sets. 2.1 and 2.2 suffer from some serious limitations. First, it would be advantageous to have the capability to coat and/or process both sides of the thin film while under substrate support, The ability to process both sides of the device also obviates the need for contact bonding, since metal/metal bonding with rapid thermal annealing can be used if both the thin film to be bonded and the host substrate are metal coated. The advantage of coating both sides of EL0 thin film devices was first explored through vacuum deposition of metallic coating onto thin film devices while they were supported by the Apiezon W
Epitaxial Lift-Off for Thin Films
529
handling layer.ll’l The Apiezon W, however, melts under standard vacuum deposition thermal conditions, and is thus not suitable as a support layer during process steps which involve temperatures over approximately 100°C. A second drawback to the aforementioned EL0 process is that Apiezon W is optically opaque to visible wavelengths. Thus, thin film devices cannot be aligned with respect to features on the host substrate. Alignment of the thin film devices is accomplished by depositing the material as a sheet onto the host substrate and etching the sheet into individual devices. A modified EL0 process, the transfer diaphragm process, enables the user to process both sides of the thin film material while under substrate support. In addition, this process allows the alignment and selective bonding of single devices from an array of devices or simultaneous alignment and bonding of an entire array of devices.ll*l This transfer diaphragm technique also utilizes the thin film material in a more cost effective manner, since many host substrates can be serviced with one thin film array of devices. The transfer diaphragm EL0 process uses epitaxial layers that are the same as those used for the GaAs and InP EL0 processes described previously. After growth of the epilayers, the thin film is mesa-etched into an array of devices. In GaAs, the mesa etch is H,SO,:H,O,:H,O (1:8: 160), used as a fast, timed etch to quickly remove the material between the devices followed by a NH,OH:H,O, (1: 100) selective etch, which stops at the AlAs epilayer. In a similar manner, for InP based materials, the mesa etch removes the material between the devices down to, but not through, the stop-etch layer. The Apiezon W is then applied and the sample is immersed in the selective etchant to separate the epilayers from the substrate. The array of thin film devices, embedded in the Apiezon W, is then contact bonded to a transparent transfer diaphragm. This transfer diaphragm is commonly polyimide or Mylar. The polyimide diaphragms are formed by spin-casting 4 urn of polyimide onto a silicon wafer. The wafer is then baked at 150°C in air for 30 minutes and cured at 400°C in nitrogen for 1 hour. The diaphragm is fabricated by etching the center of the silicon wafer. The silicon wafer is etched from the backsidellgl in aHF:HNO,:H,O (6: 1: 1) etching solution. This procedure produces a 4 pm thick polyimide diaphragm 3 to 25 mm in diameter, supported at the perimeter by the remaining silicon ring. The Mylar diaphragm is formed by gluing a piece of taut Mylar to the edges of a silicon ring. The thin film devices in the Apiezon W are contact bonded to the diaphragm, and the Apiezon W is
530
Compound
Semiconductors
removed, leaving an array of thin film devices bonded to the transparent, slightly pliable diaphragm. Figure 3 is a pair of photographs of an array of thin film devices on a poly imide diaphragm. Figure 3a shows the diaphragm under illumination from the top, and Fig. 3b, the samediaphragm in the same view illuminated from the bottom. Clearly, the diaphragm is transparent, which allows the user to align and bond the thin film devices with respect to features on the host substrate.
(a)
(b) Figure
3.
polyimide
Microphotographs transfer diaphragm.
the same diaphragm diaphragm
of an array of thin (a) The diaphragm
in the same view
film
epitaxial
under illumination
illuminated
from
lift-off
devices on the
from the top, and (b)
the bottom.
The transparent
allows the user to align and bond the thin film devices with respect to features
on the host substrate.
Epitaxial Lift-Off for Thin Films
531
The devices on the transparent transfer diaphragm are then aligned and bonded to the host substrate, followed by additional processing, if necessary, to complete the device integration. To bond the thin film devices to the host substrate, the transparent diaphragm is inverted so that the devices face the host substrate. The diaphragm is placed into the mask portion of a mask aligner, and the host substrate is placed in the substrate portion of the aligner. The thin film devices are visually aligned and then contacted to the host substrate through the contact alignment function of the mask aligner. A pressure probe is applied to the diaphragm to bond either an individual device from the array, a subarray, or the entire array of devices from the diaphragm onto the host substrate. This process inverts the devices so that the side of the device that was processed before separation is now bonded to the host substrate. This is particularly useful for the bonding process, since a metal contact on the host substrate and a metallized thin film device will form a stable electrical and mechanical bond when the metal/metal contact is rapid thermal annealed after bonding. Annealed metal bonds are, generally, better mechanical bonds than contact bonds,l20l and a metal/metal bond, generally, provides a good conductive electrical path. In this way, some of the problems associated with weak bonding, particularly as a function of temperature, can be addressed. After bonding, the exposed side of the thin film device can be processed using conventional photolithographic and coating techniques to form electrical contacts and/or optical coatings. The support of the thin film device during processing steps avoids difficulties associated with degradation of unsupported films during processing, and in particular, during thermal processes. This transfer diaphragm process is illustrated in Fig. 4. Figure 5 is an infrared photograph of a 3 x 3 array of double heterostructure AlGaAs/ GaAs/AlGaAs thin film light emitting diodes that have been integrated onto a silicon host substrate. The center device in the array is being electrically probed. Figure 5a is a photograph with no current applied, and 5b with current applied, clearly showing the infrared emission from the center diode. A useful analogy for the transfer diaphragm technique is that of the rub-on letters that were used to insert nonstandard symbols into standard text (before the advent of typeset changeable typewriters and word processors). The standard text was written using an inexpensive typewriter, which parallels the low cost mass production of complex silicon integrated circuitry in foundries today. The less often used mathematical symbols (represented by compound semiconductor devices), which were too
532
Compound Semiconductors
expensive for dedicated standard typewriter keys were applied selectively from a sheet of rub-on letters. Using this technique, a number of different symbols could be applied to a single page of text by aligning and bonding the desired symbols onto the page. In the same manner, multiple material and multiple function thin film devices can be integrated onto a single host substrate, such as a silicon circuit, using the transfer diaphragm technique. A single epitaxial growth of thin film devices can be fabricated into an array of devices, and a large number of host substrates can be integrated through selectively bonding a single device from the array of devices, thereby distributing the cost of the epitaxial growth across a large number of integrated systems.
rl (8)
If? (d
A (4
LFTJ (9
Figure 4. Illustration of the epitaxial Ii&off transfer diaphragm process: (a) starting substrate with grown epitaxial layers of interest; (ZJ)after mesa etching, (c) after contacting; (d) aRer Apiezon W handling layer application; (e) after selective etch of the sacrificial etch layer, fl atIer adhesion to silicon supported polyimide diaphragm and removal of Apiezon W, (g) after selective bonding onto a host substrate. Individual devices or the entire array can be aligned and bonded to host substrates.
EpitaxialLift-Offfor
Thin Films
533
(a)
(b) Figure emitting
5.
Infrared
microphotographs
of a 3 x 3 array of thin
diodes bonded to a silicon host substrate.
film
GaAs-based
light
The center device in the array is being
electrically probed. These are microphotographs of a device (a) with no current applied, and (b) with current applied, clearly showing the infrared emission from the center diode.
534
Compound Semiconductors
High yield and ease of wafer scale integration are two other advantages of the transfer diaphragm EL0 process. High yield can be achieved through pretest of the thin film devices and the host substrate before integration. Thin film devices bonded to a host substrate can also be repaired. If a bonded device fails or does not meet specification, it can be removed and replaced with a functional device.1211High device uniformity wafer scale integration can be achieved by aligning and bonding high uniformity, smaller subarrays of thin film devices, thereby eliminating the need for wafer scale growth uniformity to achieve wafer scale integration of compound semiconductor devices. Another interesting modification of EL0 has been reported which serves to integrate thin film GaAs material onto silicon substrates using direct growth of GaAs-based material onto Si with an AlAs sacrificial etch layer grown between the GaAs and Si. A photoresist strip or clamp is attached to the top GaAs epilayer on one end and to the silicon substrate on the other end. This photoresist clamp pulls the GaAs structure down to the silicon substrate after the sacrificial etch layer is removed.[221 After a final rapid thermal anneal of the bonded structures, the separated and bonded thin film GaAs material exhibits a nearly identical photoluminescence spectrum to a GaAs reference epilayer grown lattice-matched to a GaAs substrate. Devices which are smaller than 300 urn x 300 urn have been successfully processed using this technique.l22l
3.0
CHARACTERIZATION OF EL0 THIN FILMS
Integrated systems using thin film devices will achieve high performance only if the single crystal thin film material is not degraded by the thin film device processing. This critical issue has been investigated using a variety of material and device characterization techniques. These experiments indicate that the material is not degraded by the thin film processing, but in some instances, when processed into specific devices, the device performance is degraded. Although extensive experiments are currently underway to identify the cause of this degradation, it has been hypothesized that the separation of the thin film devices from the growth substrate may introduce an increase in the surface recombination velocity of the newly exposed surface, in comparison to the devices which remain on the latticematched growth substrate. Passivation coupled with epilayer and device
Epitaxial LijSOff for Thin Films
535
design to overcome this problem has yielded performance that is comparable to that of on-wafer devices. 3.1
Photoluminescence, Lifetime
Hall Measurements,
and Minority Carrier
Standard materials characterization techniques, including photoluminescence, Hall measurements, and minority carrier lifetime have been applied to epitaxial lift-off thin film materials to ascertain if the samples are degraded by the separation and bonding process. Photoluminescence and Hall measurements have been performed on AlGaAs/InGaAs single-strained quantum well EL0 thin film structures on glass,t121 photoluminescence measurements on InP thin films on glass,1161and minority carrier lifetime measurements on AlGaAs/GaAs/AlGaAs double heterostructure thin films on glass.t41 All of these experiments indicated that the quality of the thin film material is not degraded by the process used to separate the epitaxial layers from the host substrate. Photoluminescence Measurements. Photoluminescence measurements were performed on thin film A1,.,,Ga,s,As (50 nm thick)/ IQ,,,G%.,,As (12.5 nm thick)/GaAs (35 to 2000 nm thick) material that was separated from the GaAs growth substrate using the standard EL0 technique described in Sec. 2. 11121 and on thin film InP (1.14 urn thick) samples fabricated using the InGaAsP stop-etch EL0 process described in Sec. 2.2.1i61The GaAs-based thin film was contact bonded to SiO,-coated Si, the InP to a glass host substrate, and low temperature photoluminescence measurements were performed on the samples. The GaAs-based quantum well EL0 structures exhibited a 4-5 meV shift to lower energy in the photoluminescence peak, with the same peak intensity and full width at half maximum, all indicative of high quality material. Sample nonuniformity may also play a role in the spectral shift observed, since separate portions of the same wafer were compared. The InP photoluminescence spectra showed a shift of 2 meV to higher energy, a 2.8 meV change in full width at half maximum, and was not calibrated for a comparison of peak intensity since the same samples were measured before and after thin film processing. These shifts are consistent with the stresses placed on the thin film samples due to the differences in coefficient of thermal expansion between the thin film and the host substrate at the photoluminescence measurement temperature, and indicate that the material retains the high
536
Compound Semiconductors
quality of as-grown lattice matched material without degrading dislocation formation due to the thin film fabrication and bonding processes. Hall Measurements. The AlGaAs/InGaAs/GaAs single quantum well samples used to characterize EL0 thin films using photoluminescence were also used in Hall measurements to measure the mobility of the thin films before and after separation from the host substrate.l’*l The carrier concentrations and mobilities of samples with AuGe ohmic contacts applied before separation and bonding to glass host substrates were compared to samples from the same wafer which were not separated from the growth substrate. The carrier concentrations, measured at 77 and 300 K, were unchanged by the EL0 process, again indicating the high quality of the sample after EL0 processing. The mobilities of the samples at 300 K and were 54,000 cm*Ns and 52,000 cm*Ns before and after separation, respectively, once again indicating high quality thin film material. Minority Carrier Lifetime Measurements. Minority carrier lifetime measurements were performed on double heterostructure AlGaAs/ GaAs/AlGaAs EL0 samples.141 A contactless lifetime probe was used to measure the minority carrier lifetime before and after ELO. The minority carrier lifetime decay curves, measured before and after ELO, showed no discernible difference between the two measurements. 3.2
Laser Threshold Current and Dark Current
Thin film EL0 compound semiconductor devices have been tested in experiments before and after separation and bonding to ascertain whether the device performance is degraded by the EL0 process. Double heterostructure laser light output-current (L-I) characteristics have been measured in EL0 devices, with no measurable change in either the L-I curve or in the laser threshold current after EL0 processing.[241 Thin film p-i-n detectors, in contrast, have exhibited some degradation in the dark current of the devices after separation from the growth substrate.[i51 A similar increase in the dark current in thin film metal-semiconductor-metal (MSM) detectors has been noted. This degradation may result from an increase in surface recombination velocity at the separated surface. This hypothesis has been reinforced through preliminary experiments which demonstrate that passivation of the back surface of these devices, after separation, substantially reduces the dark current of these thin film MSM detectors.l25l
Epitaxial Lift-Off for Thin Film
53 7
Semiconductor Laser Measurements. Light output-current (L-I) measurements on a double heterostructure GaAs-based laser structure were compared before and after EL0 processing. A standard laser test structure was grown on top of an AlAs sacrificial etch layer and a heavily doped GaAs layer to provide a high conductivity bottom contact, resulting in the as-grown structure GaAs (insulating substrate)/AlAs (n’, 50 nm thick)/ GaAs (n+, 1.5 um)/Al,,3Ga,,,As (n, 1.5 um)/GaAs (active layer, 0.2 urn)/ Al,,,G%.,As (p, 1.5 um)/GaAs (p+, 0.2 urn). Before ELO, both the n+ and p+ contact layers were contacted from the top of the structure, thus enabling a clear performance comparison before and after ELO. The n+ contact (on the bottom of the structure) was formed by etching through the laser structure to expose the n+ layer, as shown schematically in Fig. 6. No change in the threshold current or L-I characteristic was noted after ELO. Using this contact structure, the same devices could be used to compare before and after EL0 performance without contacting the other side of the devices. Both the L-I measurement and the current threshold density (about 1000 A/cm2) were unchanged before and after EL0 was performed on the devices, indicating that the high quality of the thin film epitaxial material was not degraded by the EL0 process.124l
etch layer
I
I
Figure 6. Schematic of a thin film laser diode with both p and n-type contacts on the top of the device.
Detector Dark Current. Dark current in EL0 thin film photodetectors can also be used to compare thin film device performance before and after separation from the growth substrate. The interpretation of these measurements can be complicated by (and improvements demonstrated by) differences in device design which may include layer thickness, layer composition, separation layer, and passivation. Although the interpretation
538
Compound Semiconductors
and comparison of measurements are complicated by these factors, performance of thin film devices is a prime concern. Detector performance is an area in which recent research has shown a marked improvement in EL0 thin film devices, and further research is anticipated to produce equivalent, if not superior, performance to on-wafer counterparts. Detector dark current is discussed in this section, and other integrated detector performance parameters are addressed in Sec. 5.1. Research into dark current in GaAs based metal-semiconductormetal (MSM) EL0 detectors has demonstrated that passivation of the uncontacted side of the photodetector can significantly reduce the dark current of the device. Three MSM detectors were compared in these experiments: a detector on the growth substrate, an EL0 detector bonded to a nitride coated silicon wafer, and an back-passivated EL0 detector bonded to a nitride coated silicon wafer. The detectors consisted of Al,,,G%,,As (30 nm)/Al,Ga,,As (graded over 20 nm from x = 0.3 to O)/GaAs (2 pm)/ Al,Ga,_,As (graded over 20 nm from x = 0 to 0.3). All of the layers were undoped. Mesas 200 microns in diameter were defined for all devices. One of the two EL0 samples had 20 nm of plasma enhanced chemical vapor deposited silicon nitride (passivation) applied to the side of the detector which was bonded to the silicon wafer. The two EL0 devices were then inverted and bonded to the host substrate using the transfer diaphragm EL0 technique. The planarizing polyimide and interdigitated Ti/Au Schottky contacts with finger widths of 2 pm separated by 3 urn were deposited onto the detectors. At a 5 V bias, the unpassivated thin film device dark current was 40 nA, the passivated thin film device dark current was 9 nA, and the on-wafer detector dark current was 5 nA. The 3 dB detector bandwidths at a 5 V bias were 800 MHz for the unpassivated thin film device, 1.2 GHz for the passivated thin film device, and 1.3 GHz for the on-wafer detector.l25l These experiments clearly indicate that device design and processing significantly impacts the performance of the thin film devices. Measurements of detector dark currents have been performed on both InP and GaAs based p-i-n detector configurations. Device design can significantly influence the dark current of the detectors, and further research into these design factors will improve the dark current performance of thin film detectors. The InP/InGaAs p-i-n EL0 thin film detector dark current, before and after separation from the growth substrate, showed no degradation in the dark current after separation. However, an AlAs sacrificial etch layer was used in this device, and the high dark current both before and after separation (0.5 to 2 uA at a -5 V bias) was attributed to the
Epitaxial Lift-Offfor
Thin Films
539
high dislocation density, since the lattice mismatched AlAs layer was thicker than the critical thickness for dislocation creation.l141 GaInAsAnP p-i-n EL0 photodetectors have also been demonstrated, using a lattice-matched InP growth substrate coupled with the selective etches in Sec. 2.3 to remove the InP growth substrate. These detectors had a 10 nA dark current before EL0 and a 70 nA dark current after ELO, both at a -3 V bias, for both pre-EL0 and post-EL0 contacted devices.l151 Both cases had the contacts applied to the top surface of the device, with the bottom contact layer accessed through trench or mesa etching, as illustrated in the laser structure discussed in this section. Thin film p-i-n detectors, with contacts applied to both the top and the bottom of the devices, have also been demonstrated using the transfer diaphragm technique. Unfortunately, this configuration makes comparison between on and off wafer devices more difficult. InP/InGaAsP/InP p-i-n EL0 detectors used for through silicon wafer optical communication (Sec. 5.4) have been reported with a 0.9 nA dark current at the operating point, at 0 V bias, with no comparison to the on-wafer value.t”l AlGaAs/GaAs/ AlGaAs p-i-n EL0 detectors have dark currents measured to be 29 pA at 0 V bias and 40 pA at a 5 V bias.126l
4.0
NEW THIN FILM CHARACTERIZATION AND DEVICES
TECHNIQUES
The formation of high quality, single crystal, thin semiconductor films using EL0 has enabled new characterization techniques and device designs. The new characterization techniques arise from the small thickness of the EL0 films, and the new device formulations result from the ability to process both sides of a thin film device. Characterization techniques such as photoabsorption measurements at high photon energies necessitate the use of thin films, since the absorption coefficients of the thin films are high. Likewise, nonlinear refraction measurements close to the band edge with high absorption coefficients can be measured using sufficiently thin samples. New thin film device designs such as high efficiency light emitting diodes using high reflectivity back contacts have already demonstrated enhanced performance. A second thin film device, a metalsemiconductor-metal (MSM) photodetector with interdigitated finger contacts on the bottom to eliminate finger shadowing, is also expected to produce improved device performance. In addition, resonant cavity de-
540
Compound Semiconductors
vices, such as vertical cavity surface emitting lasers, resonant cavity photodetectors, and resonant cavity light emitting diodes, can be manufacturably formed using vacuum deposited multilayer dielectric and/ or metallic coatings on both sides of the thin film devices, thereby eliminating costly semiconductor Bragg reflectors. 4.1
Characterization
Techniques: Absorption Nonlinear Refraction
Coeffkients
and
Absorption coefficients are important for the optimization and modeling of devices such as photodetectors and solar cells, and can be used to derive gain spectra for emitters. Absorption coefficients can be calculated from a variety of characterization techniques such as spectroscopic ellipsometry and reflection spectra, but the most direct measurement of absorption coefficients is through phototransmission spectra. At photon energies above the bandgap energy, however, absorption coefficients in semiconductors are high, and a thin semiconductor sample must be used to detect the transmission through the sample. The transmission through the sample, in simplest form, is T = emal,where CLis the absorption coefficient, and 1 is the thickness of the sample. Absorption coefficients above the band edge are on the order of lo4 cm-l, so semiconductor samples with thicknesses on the order of 1 urn are needed for these transmission measurements. A single crystal thin film of high quality semiconductor is needed to perform transmission measurements at photon energies above the bandgap energy. Absorption coefficients as a function of doping in GaAs have been measured by etching a hole in a GaAs substrate to expose an AlGaAs/ GaAs/AlGaAs epitaxial structure. 12’1 This double heterostructure technique could not be used for InP, since InGaAsP compounds have smaller bandgap energies than InP. A series of EL0 thin film single crystal InP samples (fabricated using the selective etching techniques outlined in Sec. 2.3) with a variety of doping densities have been used in transmission measurements to detemine absorption coefficients in InP as a function of doping.l161 These results showed that the absorption coefficients are higher for more lightly doped samples than for heavily doped samples, which is consistent with the measurements performed in GaAs. Nonlinear Refraction. Changes in index of refraction as a function of stimuli, such as electric fields near the semiconductor band edge (called the Franz-Keldysh effect, or electrorefraction) or in multiple quantum Absorption
Coeffkients.
Epitaxial Lift-Off for Thin Film
541
wells (MQWs) (due to the quantum confined Stark effect), are of interest for optical modulators. Thin film EL0 devices can address some of the problems associated with the characterization of these effects and the modulators that are based upon these effects. In the GaAs materials system, for example, absorbing substrates limit MQW modulators to reflection-based modulators rather than transmission modulators. In bulk semiconductors, electrorefraction near the band edge is difficult to measure due to large absorption coefficients and because high voltages must be applied to thick (350-500 pm) samples to achieve the electric fields which will cause significant electrorefraction. As a result, electrorefiaction is usually calculated, using measured changes in the absorption coefficients (as a function of energy and electric field) and the Kramers-Kronig integral, rather than directly measured. Thin film EL0 structures have been used to demonstrate MQW modulators without absorbing substrates and to characterize electrorefiaction in bulk GaAs. These thin film epilayers form a Fabry-Perot resonant structure that can be enhanced with dielectric or metal vacuum-deposited mirrors. A particularly utilitarian aspect of this thin film structure is that the Fabry-Perot resonant structure is so thin that the coherence length of light from a standard monochromator is long enough to probe the resonances of this thin film device; a sharp contrast to the tunable lasers usually necessary to perform these measurements on thicker samples! Thin film EL0 Fabry-Perot measurements were first performed on GaAs-based EL0 multiple quantum well modulators bonded to glass.[231 The transmission measurements on these devices show a clear shift in the exciton peak toward longer wavelengths with increasing electric fields, which is consistent with measurements performed on MQWs on bulk substrates (in reflection mode) to characterize the quantum confined Stark effect.t28l The EL0 MQW modulator had a 2.9 dB maximum contrast ratio at a 12 V bias at a wavelength of 83 1 nm. Another interesting aspect of these EL0 thin film structures is the resonant peaks of the transmission characteristic of the Fabry-Perot. The resonant peaks of this thin film structure shift as a function of change in index of refraction in the sample, and can be used to quantitatively measure electrorefiaction in the thin film sample. To measure electrorefraction near the band edge in GaAs, a double heterostructure AlGaAs/GaAs/AlGaAs pi-n epitaxial structure was separated from the growth substrate and bonded to glass. Both sides of the thin film structure were coated using the transfer diaphragm EL0 process, described in Sec. 2.3, to enhance the reflectivities
542
Compound Semiconductors
of the surfaces, and hence, increase the finesse of the Fabry-Perot device. Using a monochromator and a pulsed (to minimize heating) voltage source to apply the electric field, resonance shifts as a function of applied voltage were measured. Figure 7 shows the shift in peak resonance as a function of wavelength for a variety of applied biases.t291 These are the closest to the bandgap and highest electric field measurements of Franz-Keldysh electrorefraction in GaAs to date. The change in height of the peaks is due to electroabsorption (the electric field dependence of the absorption). Noteworthy are the positive shifts in index of refraction relatively far from the band edge, which switch polarity to a negative shift as the photon energy approaches the band edge, which were theoretically predicted and directly measured for the first time. Modulators fabricated using these double heterostructure EL0 samples had a 3.3 dB maximum contrast ratio at a 10 V applied bias at 878 nn1.t3~1
g
16
‘g
14
‘g
12
z $
%
v
4
3
; &?2
874
876
8%
W30
SBt
884
Wavelength (nm) Figure 7. Data from the electroabsorption and electrorefraction characterization of thin film GaAs-based double heterostructure materials. This data shows the shift in peak resonance as a function of wavelength for a variety of applied biases. From top to bottom, traces correspond to 0 V, -2 V, -4 V, -6 V, -8 V, -10 V.
4.2
New Device Formulations: Resonant Cavity and Bottom Contacted
The capability to coat and/or contact both sides of EL0 thin films or bond these thin films to high reflectivity host substrates enables new device formulations. Bottom-contacted devices can reflect light back into the device for higher efficiency, and bottom contacts do not shadow light
Epitaxial Lift-Off for Thin Films
543
incident on detectors. Devices demonstrated to date include resonant enhanced cavity detectors, high efficiency light emitting diodes, and bottom-contacted MSM detectors. It is anticipated that low cost, high performance emitters, such as cavity enhanced light emitting diodes and vertical cavity surface emitting lasers using vacuum-deposited multilayer coatings for both front and back mirrors (in contrast to grown semiconductor Bragg mirrors), will soon be reported. Resonant Cavity Devices. The thin film resonant cavity FabryPerot structures, such as those used for characterization in Sec. 4.1, can also be used to enhance the performance of devices such as emitters and detectors. Since both sides of the EL0 epitaxial layers can be coated and/ or contacted and the substrate absorption losses have been eliminated, low cost formulations of high performance emitters and detectors are enabled, using vacuum-deposited multilayer dielectric and/or metallic coatings. Thin film resonant cavity detectors have already been demonstrated which exhibit higher quantum efficiency than is theoretically possible for conventional detectors still attached to the growth substrate. Resonant cavity detectors were fabricated using a double heterostructure p-i-n detector structure, high reflectivity coatings, and etching to tune the Fabry-Perot cavity resonance. The p-i-n thin film Al,,,,G~,,,As (p, 0.5 pm thick)/GaAs (i, 1.1 pm thick)/A1,,,,Ga,,65As (n, 0.42 pm thick) detector had metallic mirrors vacuum-deposited onto the back side of the thin film using the transfer diaphragm EL0 process. The bottom contact/ mirror was broad area and the top contact had a window defined in the metal. The cavity resonance was tuned by wet etching the thickness of the AlGaAs layer exposed through the window. The optimal depth of the controlled wet etch is determined by measurement of the total device thickness using a profilometer, and by measurement of the resonant peak photocurrent from the cavity. Conventional detectors of this design which were not optimized for resonance had a maximum theoretical quantum efficiency of 5 1%. These resonant cavity detectors had a theoretical maximum quantum efficiency of 78% and a measured quantum efficiency within 1.5% of the theoretical maximum.[311 Bottom-Contacted Devices. A thin film device can be bonded to a reflecting substrate to reflect light back into the active or absorbing region of a device. Alternatively, a high reflectivity or anti-reflection coating directly can be applied to the buck side of the thin film device, or the surface of the device which is bonded to the host substrate. A high quantum efficiency thin film light emitting diode has been reported that utilizes a high
544
Compound Semiconductors
reflectivity host substrate to reduce reflection losses.l32l Back contacts have also been used to reduce contact shadowing in metal-semiconductormetal (MSM) photodetectors and solar cell~.[~~l These interdigitated fingers can be placed on the bottom of an EL0 MSM detector or solar cell, thereby eliminating the loss due to shadowing, and potentially, increasing the overall quantum efficiency of the device. Additional efficiency enhancement can also be obtained in detectors through reflection of unabsorbed light back through the absorbing region of the device using a reflective back contact. High efficiency AlGaAs/GaAs/AlGaAs thin film light emitting diodes have been fabricated by bonding the thin film devices to high reflectivity host substrates. These double heterostructure diodes exhibited internal quantum efficiencies of 99.7% and external quantum efficiencies of 72% when optically pumped. 1321 This conversion of high internal quantum efficiency to high external quantum efficiency is achieved through the reflection, absorption and reemission of photons inside the light emitting diode, since only a small angle of emission exists for this device configuration. Back-contacted MSM detectors have been demonstrated, but not yet optimized.133l The devices reported to date were unique from the manufacturing perspective that the Schottky contacts were not deposited directly onto the thin film GaAs epitaxial layer; the epilayer was bonded to interdigitated fingers, which were vacuum deposited onto the host substrate. These fingers were 2 pm wide separated by 2 pm over a 100 pm x 100 urn area. A 100 pm x 100 pm GaAs film 1 l.trnthick was bonded onto these fingers to form the MSM detectors. Rapid thermal annealing of the devices after bonding improved both the dark current and the responsivity. The dark current at a 5 V bias before annealing was 3 nA, and after annealing was 1.3 nA. The responsivity of the MSMs before annealing was 0.0 1 A/W, and after annealing was 0.10 A/w.1331 These detectors were not optimized for responsivity; with proper device design, the responsivity of these detectors is expected to significantly increase.
5.0
NEW INTEGRATION FILMS
FORMULATIONS
USING THIN
One of the most exciting aspects of EL0 thin film devices is the potential for materials integration. Using materials integration, the key
Epitaxial Lift-Off for Thin Films 545 attributes of a particular material can be exploited in a specific device, without using that material exclusively for all electronic and optical fknctions. For example, GaAs and/or InP optoelectronic devices can be integrated with silicon integrated circuits, taking advantage of the optical properties of GaAs and/or InP and the processing capability of silicon. Materials integration has been pursued vigorously, using techniques such as GaAs-on-silicon direct growth. These growths often suffer from high dislocation densities due to lattice mismatch as well as a difference in coefficient of thermal expansion between GaAs and silicon. Related to this, an interesting use of EL0 thin films is to provide a lattice-matched nucleation area (which can be placed onto any host substrate) for subsequent growth. This procedure has been demonstrated by growing GaAsbased quantum wells on top of a GaAs EL0 thin film, which was bonded to a silicon host substrate.1341 Sharp photoluminescence peaks indicated the high quality of the quantum wells grown on the EL0 GaAs-on-silicon, demonstrating the high quality of both the grown quantum wells and the EL0 nucleation layer. For some highly desirable integration schemes, such as GaAs on glass, the host substrate does not even have a lattice constant for growth nucleation! Thin film separation and bonding to arbitrary host substrates can eliminate the need for nucleation on the host substrate. Thin film EL0 materials integration has also led to two interesting three-dimensional structures. These three-dimensional structures are multiple layers of devices connected perpendicular to the device plane, electrically and/or optically. These integration schemes enable massively parallel processing schemes and computational architectures, for applications such as imaging as well as highly efficient optical input/output paths for silicon integrated circuits. Thin film materials integration can also enhance the performance of systems through heat dissipation from thin film devices, which output a high thermal load. The performance of these devices, which include emitters and power transistors, is often degraded by thermal loading. In thin film form, these devices can be integrated onto a high thermal conductivity heat sink, thereby improving the performance of the devices. 5.1
Thin Film Optoelectronic and Optical Devices on Host Substrates
GaAs and InP based optical and optoelectronic thin fihn single crystal devices can be bonded to any relatively smooth host substrate using
546
Compound Semiconductors
ELO. Emitters, detectors, solar cells, modulators, and passive optical components have been integrated with host substrates such as glass, silicon, lithium niobate, and polymers. To achieve optimal optical coupling of the emitted or detected light when the thin film device is integrated into a waveguide structure, research into embedding thin film emitters and detectors into waveguide structures is particularly pertinent. Emitters. High efficiency light emission does not occur in silicon, glass, lithium niobate, polymers, and many other materials which may serve as host substrates for light emitting thin film InP and GaAs based devices. The capability to emit light from a thin film device that is bonded to such a host substrate is attractive for applications, including input/output from the integrated system and data transfer within the system. To date, thin film light emitting diodes in the GaAs[321[351and hW1l materials systems have been integrated onto silicon host substrates, thin film double heterostructure GaAs-based edge emitting lasers integrated onto glass,[241 and thin film graded index separate confinement heterostructure (GFUNSCH) GaAs-based edge emitting lasers integrated onto silicon.[171 Thin film GaAs-based light emitting diodes have been integrated onto silicon host substrates using ELO. The latest such demonstration was the high efficiency diode described in Sec. 4.2. The first report of GaAs light emitting diodes bonded to silicon included one of the first demonstrations that thin film devices could be contacted on both sides.[351 These light emitting diodes demonstrated output power as a function of input current which was slightly larger than that of devices which had not been separated from the growth substrate.[351 The lifetime measurements of separated and on-wafer diodes was comparable. These performance characteristics were substantially better than GaAs-based light emitting diodes which were grown directly onto silicon substrates, indicating that the material quality of the EL0 thin film devices deposited onto silicon is better than that of the GaAs material grown directly onto silicon.t351 Double heterostructure AlGaAs/GaAs/AlGaAs laser diodes were some of the first devices to be separated from the growth substrate using EL0 and bonded to glass host substrates. The laser light output-current characteristic and lasing threshold current, before and after ELO, as discussed in Sec. 3.2, were used to characterize the quality of the thin film devices, showing through these two measures of device quality that the lasers were not degraded by the EL0 separation and bonding process. Both of the contacts for these laser diodes were deposited onto the surface of the device to facilitate comparison of before and after EL0 performance. The
Epitaxial Lift-Off for Thin Films
547
epilayers were cleaved into bars of 20 lasers before separation from the growth substrate, resulting in a cavity length of 0.55 mm per laser and 20 lasers in a 5 mm bar length. Under a 1 kHz repetition rate, 400 ns duration pulsed operation, the laser threshold current was 1000 A/cm*, and differential quantum efficiency from a single laser facet was on the order of 20%.[241 The demonstration of a thin film GaAs-based graded index separate confinement heterostructure (GRIN-XII) single quantum well (SQW) laser bonded to silicon used a micromachining-type technique to cleave the thin film samples into individual lasers after separation of the epilayers from the growth substrate. A standard GRIN-SCH structure was grown with some modifications which optimized the structure for the EL0 process, which included a 10 nm AlAs sacrificial etch layer, a 1.8 pm thick Al,,,G%,,,As buffer layer grown between the n-type GaAs bottom-contact layer and the n-type cladding layer, and a 1 pm thick p-type GaAs cap layer. The buffer and cap layers were designed to compensate for the compressive AlGaAs layers used as cladding for the gain region, which could cause excessive bowing of the thin film structure after separation from the growth substrate. The devices were then stripe-contacted and mesa-etched to protect the high Al composition device layers from the I-IF substrate separation etch. The bars were separated from the growth substrate and bonded to a wax-coated GaAs intermediate carrier. Indentations, or wedges, were photolithographically defined at the edges of the thin film to define where cleave propagation would initiate when the thin film was properly stressed. These triangular wedges, 7 pm at the base and 20 pm in length, were etched down through the entire thin film GRIN-SCH structure while mounted on this GaAs carrier substrate. The sample was then removed from the GaAs carrier and mounted on a wax-coated metal carrier that was slightly flexible. This wedge-induced facet cleaving (WFC) technique was completed when the metal carrier was flexed so that the wedges induce crack-propagated cleaves in the GRIN-SCH structure, as shown in Fig. 8. The lasers were then removed from the metal intermediate carrier and mounted on a indium coated silicon wafer. Thin film laser cavity lengths as short as 50 pm were demonstrated using the WFC technique.l17l The EL0 WFC laser was tested at a duty cycle of 20 kHz and pulse duration of 1 ms. The external quantum efficiency was about 15% per facet, and the threshold current density 640 A/cm*. This structure was compared to a conventional GRIN-SCH SQW structure, namely, a structure without
548
Compound Semiconductors
the sacrificial etch, buffer and thick p-type contact layers. These lasers exhibited approximately the same single facet quantum efficiency, and a lower (460 A/cm*) threshold current, which may be attributable to structural differences.1’7 cleaves
Figure 8. Schematic of the thin tilm GRIN-SCH laser structure used for wedge-induced facet cleaving (WFC). These thin film devices were cleaved when the metal carrier was flexed so that the wedges induced crack-propagated cleaves in the laser material.
Thin film light emitting diodes in the InP materials system have been bonded to silicon host substrates, to demonstrate optical through-silicon wafer interconnectll ll as described in Sec. 5.3. These light emitting diodes were homojunction p-n ~.7,-,G~.,,As,,,P,,~~ diodes, which emit at a wavelength of 1.3 pm, to which silicon is transparent. These diodes were separated from the lattice-matched InP growth substrate using the InGaAsP stop-etch layer technique described in Sec. 2.2, contacted on both sides and bonded using the transfer diaphragm technique discussed in Sec. 2.3. Since the total thickness of the thin film device was 4.5 pm, a layer of polyimide was used to planarize the integration prior to deposition of the top electrical contact. These light emitting diodes exhibit current-voltage and light output-current characteristics which are consistent with those of their onwafer counterparts. Detectors and Solar Cells. The incorporation of thin film detectors and solar cells into processing, waveguiding and switching optoelectronic subassemblies can provide signal detection and power for integrated systems. Thin lihn p-i-n and metal-semiconductor-metal (MSM) GaAs and InP-based detectors have been bonded to host substrates such as sapphire,
Epitaxial Lift-Off for Thin Films
549
lithium niobate, glass, and silicon. These detectors have also been embedded into waveguide structures to improve the coupling efficiency of the light from the waveguide into the detector as well as to protect the detector. To date, thin film detectors and solar cells integrated onto host substrates include a GaAs MSM detector on lithium niobate and glass waveguides;t371 a three-dimensional integration scheme onto a polymer-coated silicon circuit (see Sec. 5. 3);13*1 a GaAs p-i-n detector onto a silicon host substrate;l26l a resonant cavity enhanced GaAs p-i-n detector onto silicon[311(see Sec. 4.2); GaAs solar cells onto aluminum151and silicon;l38l an InGaAsAnP p-in diode onto a sapphire substrate;1141an InGaAs/InP diode onto a glass waveguide;t151and an InP-based double heterostructure p-i-n onto a silicon host substrate.llll A back-contacted GaAs MSM has also been formed through bonding of thin film material onto metallized fingers,l33l which is discussed in Sec. 4.2. Glass and lithium niobate waveguides are attractive choices for many signal processing and switching applications. Light detection devices are difficult to fabricate in these materials, however, making these materials prime host substrate candidates for thin film EL0 device integration, once again taking advantage of the best attributes of different materials systems through materials integration. Planar lithium niobate and stripe lithium niobate and glass waveguides have served as host substrates for GaAs thin film MSM detectors.l37ll3gl After fabrication of the waveguides, a 250 mn thick GaAs EL0 film was contact-bonded to the waveguide and, on the planar waveguides, the 75 pm long, 7 urn wide detectors were defined through post-bonding etching of the GaAs to align the thin film device to the waveguide. Schottky metallization contacts 100 urn wide separated by 5 pm gaps were subsequently deposited to form the MSM detectors. Measurements of the waveguide coupling into the detector, using detector absorption, resulted in a = 40 cm-’ for the planar lithium niobate waveguide and a = 0.14 cm-’ for the stripe glass waveguide, indicating optical coupling between the waveguide and detector, although it is lower than the theoretical maximum coupling efficiency. This lower coupling has been attributed to a thin interfacial layer (see also Sec. 2.1) of low index native oxide, air, organics, or other impurities, which lies between the waveguide and the thin film detector.l3gl In a separate experiment, the transient response of thin film EL0 GaAs MSMs bonded to glass waveguides was measured. These devices were fabricated from a 0.25 urn thick undoped GaAs thin film with Ti/Au interdigitated fingers that were 2.5 urn wide separated by 3.5 pm. These detectors had a capacitance
550
Compound Semiconductors
of 20 fF and demonstrated a full width at half maximum response of about 400 ps for biases between 4 and 6 V.t3’jj To improve the optical coupling from the waveguide into the detector, thin film detectors have also been embedded in the waveguide structure to facilitate edge coupling. t36j To create this structure, a portion of the channel waveguide is formed in the host substrate, in this case, glass. The detector is bonded onto the glass waveguide host substrate, and an A&O, layer is vacuum-evaporated over the waveguide and detector, thereby embedding the detector. The index of refraction of the Al,O, matches and completes the waveguide. Using this technique, the peak of the guided mode can be positioned incident onto the detector for better optical coupling into the detector. This embedding technique has improved the optical coupling from the waveguide into the detector by a factor of 200.[36j Thin film p-i-n EL0 Al,,,,Ga,,,,As (p, 0.5 urn thick)/GaAs (i, 1.1 urn thick)/Al,.30Gq,,,As (n, 0.42 urn thick) detectors, with contacts on both sides of the device, have been demonstrated using the transfer diaphragm EL0 process. These detectors were 250 pm x 250 pm, and had dark currents of 40 pA at a 5 V bias, and responsivity of 0.53 AlW.t26j Thin film GaAs solar cells were the impetus for the first report of separation of epitaxial layers from lattice-matched growth substrates using selective etching, and have since also been demonstrated using a perforated silicon host substrate. The attractive aspects of thin film solar cells include low weight (a vital consideration for space applications), low cost with a reusable growth substrate, and the potential for ultra-high efficiency tandem solar cells through mechanical stacking of thin film solar cells. The first thin film solar cells were 30 mm thick n-type GaAs films, which were separated from the growth substrate and deposited onto an aluminum plate. The measured one sun efficiency ranged from 9% to 1 l%.t5j Thin film solar cells of Al,Ga,,As (n, 1 urn thick, x = graded 0.3 to O.S)/GaAs (p, 40-50 urn thick) were also fabricated with the graded layer acting to compensate for the bending of the GaAs layer. These solar cells produced a measured maximum one sun efficiency of 13.5% .t51 Thin film GaAs solar cells have also been bonded to silicon. To facilitate contact to the back of the thin film solar cells, a perforated silicon substrate was used as the host for thin film EL0 solar cells.t40j These p+-nn+ GaAs homojunction solar cells, with interdigitated finger contacts applied to the GaAs surface, were separated from the growth substrate and the released face was bonded onto a perforated silicon host substrate. Back
Epitaxial Lift-Off for Thin Films
551
contacts to the thin film solar cell were deposited through the holes in the silicon host substrate. InP-based detectors are particularly interesting for optical communications applications which utilize the 1.3 pm and 1.55 pm wavelengths. InGaAsAnP p-i-n detectors have been bonded to glass stripe waveguides and analyzed in the same manner as described previously in this section, for the GaAs MSM detectors on glass waveguides. The InGaAs (n+, 50 nm thick)/InP (n, 100 nm thick)/InGaAs (i, 1.5 urn thick)/InGaAs (p+, 50 mn thick) detectors were separated from the lattice-matched growth substrate, using the n+ InGaAs layer as a stop-etch layer, as described in Sec. 2.2. Both the n and p contacts were deposited from the top surface, using a trench etch to access the n+ layer. The dark current of these thin film devices was 70 nA, and the detector absorption from the waveguide was 12 cm-1.[151
InGaAsAnP detectors have also been separated from the growth substrate, using an AlAs lateral sacrificial etch layer, as described in Sec. 2.2, and bonded to a sapphire host substrate.li41 Both top and bottom contacts were applied from the surface of the device, using mesa etching of the device layers above the bottom-contact layer. These devices exhibited a high dark current of 0.5-2 uA both before and after ELO, which can be attributed to the lattice mismatch between the InP/InGaAs layers and the AlAs sacrificial etch layer. The theoretical and measured internal quantum efficiency was 90% at a wavelength of 1.3 urn, and the measured pulse response full width at half maximum (FWHM) was 46 ps at an optical peak power of 4 uW. This pulse response was independent of incident power down to 8 uW. An estimate of the intrinsic pulse response of the thin film detector, 23 ps at FWHM, is comparable to similar on-substrate photodiodes.1i41 Double heterostructure InP/lrb,,,G~.,,As,,,4P~,~~~nP thin film photodetectors have been integrated onto silicon host substrates for optical through-silicon wafer three-dimensional communication,l11l as described in Sec. 5.4. The InGaAsP epilayer absorbs at a wavelength of 1.3 urn, to which silicon is transparent. The detector was grown lattice-matched on top of an InGaAsP stop-etch layer, which was grown on an InP substrate. The substrate and stop-etch layer were removed from the thin film detector, using two selective etch steps as outlined in Sec. 2.2. These thin film p-i-n detectors were unbiased in the three-dimensional integration, and exhibited a dark current of 0.9 nA and a responsivity of 0.56 AJW at a wavelength of 1.3 um.l”l
552
Compound Semiconductors
Modulators and Passive Components. Thin film modulators and passive components have been fabricated using ELO. Quantum confined Stark effect123l and Fabry-Perot electrorefraction1301 modulators are particularly interesting in light of the promise of low cost resonant devices, and for characterization as discussed in Sec. 4.1. Passive components demonstrated using EL0 include a waveguide consisting of an EL0 AlGaAs thin film on an InP host substrate, for potential use as a optical router,l36l and a thin film high index of refraction GaAs waveguide grating coupler bonded onto a glass channel waveguide.l36l To demonstrate an EL0 waveguide, an epitaxial AlGaAs waveguide has been separated from the growth substrate and bonded to an InP host substrate using ELO. The index of refraction of Alo,,,G~~,,As in the wavelength range from 1.3 to 1.5 pm is higher than that of the InP host substrate. After bonding of the 1.4 urn thick AlGaAs thin film to the InP host substrate, a rib 0.15 urn deep and 2 to 5.5 urn in width was defined in the AlGaAs to provide lateral optical confinement. One mode was guided in the AlGaAs, as anticipated from the design, and the optical loss was comparable to similar structures in which the epitaxial AlGaAs waveguide was grown directly onto the InP substrate.l36l The high index of refraction of compound semiconductors in comparison to glass and lithium niobate invites the integration of passive thin film components with waveguides. Reflection at the semiconductor boundary, however, poses a serious loss problem that may dictate the introduction of sophisticated tapers on the thin film edges. One passive component that has been bonded to a glass channel waveguide is a GaAs thin film waveguide grating coupler. 1361A 20 nm GaAs EL0 thin film was bonded to a glass channel waveguide and a 490 nm period grating was etched into the GaAs, using holographic lithography. The 633 nm wavelength light in the 7 urn wide waveguide was strongly coupled into radiation modes by the GaAs grating over a 100 urn length.I36l 5.2
Thin Film Circuits on Host Substrates
Integration schemes can, in many cases, also benefit from the introduction of circuitry onto host substrates. High speed, high power thin film GaAs field effect transistors (FETs), such as metal-semiconductor FETs (MESFETs), for example, are currently used in high speed communications receiver front ends and transmitter driver circuits, and could be bonded to an inexpensive silicon host substrate to access the inexpensive,
Epitaxial Lift-Off for Thin Films
553
high circuit complexity available at lower speeds in silicon. Leakage currents between GaAs devices can also be eliminated, since the semiinsulating substrate is removed. To date, thin film GaAs EL0 MESFETs have been bonded to InP,14111421 glass, t431and siliconl44l host substrates, and strained quantum well modulation-doped FETs (MODFETs) have been bonded to glass.l45l The MESFET devices bonded to a glass host substrate were 500 nm thick and exhibited device performance comparable to the same on-wafer devices.l43l The MESFET structure, GaAs (427 mn thick)/GaAs (n, 77 nm thick)/GaAs (n”, 26 nm thick), was grown lattice-matched to a 60 run AlAs etch layer on a GaAs growth substrate. The MESFETs were processed using conventional techniques before separation from the growth substrate. After bonding to the glass host substrate, the 1.5 pm gate length thin film MESFET had a maximum transconductance of 155 mS/mm for a gate voltage of 0.7 V. The same MESFET before separation from the growth substrate had a maximum transconductance of 190 mS/mm for a gate voltage of 0.7 V.1431 GaAs thin film MESFETs have also been fabricated by bonding the epitaxial layers to the host substrate and processing the material into MESFETs after bonding. ~1 The epitaxial layer structure used for these experiments was GaAs (n’, 100 nm thick)/GaAs (n, 200 run thick), which was grown on a 50 nm AlAs etch layer on a GaAs substrate. After separation from the growth substrate, these thin film epilayers were bonded to a nitride or oxide coated silicon substrate. The MESFETs were then fabricated using standard MESFET processes, Thin film MESFETs with a 1.5 pm gate length exhibited a maximum transconductance of 135 mS/mm for a gate voltage of 0 V. The rf performance of these thin film MESFETs on thick thermal oxide was also evaluated. The unity current gain frequency was 12 GHz and the maximum oscillation frequency was 14 GHz for a 1.3 pm gate length and 100 pm gate width MESFET, performance which is consistent with on-wafer MESFETs of these dimensions.l44l When optical devices and circuits are integrated in monomaterial integration schemes using a series of epilayers, the performance of one or more of the devices is usually compromised through the need to accommodate the epitaxial structure and processing of all of the devices in the integrated subassembly. Using ELO, these trade-offs are eliminated, since the thin film devices and the devices on the host substrate can be independently optimized. This has been demonstrated through the bonding of a GaAs thin film MESFET to a InGaAs/GaAs/AlGaAs strained layer single
554
Compound Semiconductors
quantum well light emitting diode. 14il These devices were grown, and thus independently optimized, on separate GaAs substrates. The MESFET was grown on top of an AlAs etch layer, which enabled the separation of the MESFET device layers from the growth substrate. After the bonding of the MESFET to the host substrate containing the light emitting diode, the diode and MESFET were connected through a final vacuum AuGe/Ni metallization, coupled with conventional photolithography. The light emitting diodes had an external quantum efficiency of 1.7% with a relatively high 1 mA threshold current. The emitter/MESFET integration had an output/ input ratio of 54 pW/(V sr).1411 Due to immature device processing technology and low Schottky barrier heights in the InP material system, GaAs circuitry integration complexity is higher than in InP. To introduce higher complexity circuitry to InP integrated systems, GaAs thin film MESFETs have been bonded to InP substrates which contain optical components such as an optical switch.l42l MESFETs fabricated before separation from the growth substrate were integrated onto the InP host substrate; the MESFET epilayers were GaAs (n, 150 nm thick)/GaAs (undoped, 1.5 pm thick), grown on top of a 10 run AlAs etch layer on a GaAs growth substrate. The InP 2 x 2 waveguide switch was independently fabricated in the InP host substrate, and consisted of two colinear waveguides. Switching occurred between the waveguides through the application of a voltage to one of the waveguides. This voltage was switched by the integrated thin film GaAs MESFET. To facilitate the alignment of the GaAs EL0 MESFET to the InP optical switch, a 1.2 urn deep rectangular recess was etched next to the waveguide switch in the InP substrate. This recess was electrically insulated by depositing a 200 nm thick SiO, layer onto the InP structure. This SiO, is stripped from the buffer layer in the region above one of the waveguides to achieve optical switching. After the thin film MESFET was bonded in the recess to the InP host substrate, a final polyimide planarization, metal deposition, and photolithographic process completed the interconnection of the thin film GaAs MESFET to the InP optical switch. Preliminary tests of the optical switch demonstrates successful optical switching.142l Modulation-doped FETs (MODFETs) have also been fabricated in thin film form using EL0.1451 These AlGaAs/InGaAs/GaAs strained quantum well devices, with a gate length of 1 pm, were separated from the growth substrate and contact-bonded to a glass host substrate. The commonsource dc transfer characteristic of these devices were measured both before and after separation from the growth substrate, and demonstrate that
Epitaxial Lift-Off for Thin Films the transfer characteristic process.t451
is not changed by the separation
5.3
Integration
Three-Dimensional
555
and bonding
Complexity of wire-based interconnection in the plane of integrated circuits is currently a processing density limiting factor. Vertical, massively parallel interconnection in three dimensions between planes of circuitry may help to alleviate this interconnection bottleneck. The integration of thin film epitaxial devices directly on top of silicon circuitry in which each thin film device is electrically connected to the silicon circuitry belowt3*l heralds massively parallel processing for applications such as imaging. Likewise, the integration onto silicon host substrates of thin film InGaAsP emitters and detectors which emit at wavelengths to which these silicon host substrates are transparent, has led to the demonstration of vertical optical interconnection of layers of silicon, using the silicon as a transparent host substrate.llll Epitaxial lift-off devices offer a Vertical Electrical Integration. unique opportunity for three-dimensional vertical electrical integration of layers of devices. High quality GaAs or InP-based devices can be integrated directly on top of silicon circuitry with a layer of planarizing insulating material which lies between the thin film devices and the silicon circuitry. One example of a signal processing system which will benefit from this three dimensional connection is optical imaging arrays in which parallel connection of each detector to signal processing circuitry will increase throughput and processing speed due to the capability for parallel processing of each pixel in the imaging array. To fabricate the three-dimensional integrated circuit, a GaAs metalsemiconductor-metal (MSM) detector on top of a silicon circuit was employed. The silicon circuit was a simple transresistance amplifier, which could be inexpensively produced by a foundry. To integrate the circuit and MSM device in three dimensions, the fully fabricated circuit was spincoated with planarizing, insulating polyimide. Using standard photolithography and plasma etching, vias were defined in the polyimide, which exposed the underlying Al pads on the circuit. Gold was then vacuumdeposited to electrically connect the underlying circuit to the top of the polyimide. This gold was patterned for use as interdigitated fingers for an MSM photodetector with bottom contacts, as described in Sec. 4.2. The transfer diaphragm modified EL0 technique, described in Sec. 2.3, was
556
Compound
Semiconductors
used to form the GaAs thin film detector. The GaAs was contact-bonded to the Au fingers on the polyimide to form the MSM detector. The Schottky barrier electrical contact and a stable mechanical bond betweenthe Au and the GaAs was formed through annealing, as described in Sec. 4.2, thereby completing the three-dimensional structure. Figure 9 shows two photornicrographs of the fabricated structure. Figure 9a shows the planarized and metallized circuit, prior to the MSM deposition, with a close-up of the finger region, and illustrates the excellent planarizing properties of the poly imide. Figure 9b is a photomicrograph of the fully fabricated device, with the GaAs on top of the metal fingers shown in Fig. 9a.[38]
(a)
(b)
Figure
9.
Two photomicrographs
film detectors as the top layer. deposition
with
a closeup
properties of the polyimide.
of the fabricated three-dimensional
structure using thin
(a} The planarized and metallized circuit prior to the MSM
of the finger
region
(b} Photomicrograph
GaAs on top of the metal fingers shown in (a}.
illustrating
the excellent
of the fully fabricated
planarizing
device, with the
Epitaxial Lift-Off for Thin Films
557
The response of the circuit was tested using a 1 kHz pulsed 850 nm wavelength laser input delivered to the MSM. No illumination of the surrounding circuit occurred during this test. The output signal was a square wave, with a rise time of approximately 8 msec and a fall time of approximately 12 msec. [381 This performance is typical for such a simple, unoptimized amplifier circuit used for this demonstration. These results indicate that the amplifier circuit, interconnections, and MSM were all working properly. Vertical Optical Integration in Three Dimensions. Vertical optical communication, through stacked silicon and GaAs wafers which contain circuitry, will facilitate connection of layers of processing circuitry for input and output as well as for three-dimensional integration of processing layers toward computational cubes. Optical interconnects can be high bandwidth, low crosstalk, low capacitance, and provide isolation. The integration of InP-based thin film emitters and detectors, which emit and detect at wavelengths to which silicon and/or GaAs are transparent host substrates, enables this three-dimensional optical interconnection. Threedimensional through-silicon wafer interconnection has been demonstrated with InGaAsP-based emitters and detectors integrated onto silicon host substrates. These interconnections have been demonstrated using stacked silicon wafers[“l and using a single silicon wafer in a front to back of wafer communication scheme.1461 The thin film InGaAsP homojunction light emitting diode and InPI InGaAsP/InP p-i-n detector, described in Sec. 5.1, were used to demonstrate vertical optical communication through stacked silicon wafersIll Prior to removal of the substrate from the emitter and detector, broad area metal contacts were deposited onto the surface of the epilayers, and a window was opened in the metallization of the detector. Using selective etches and the transfer diaphragm technique discussed in Sec. 2.3, these devices were separated from the growth substrate, inverted, and bonded to two separate 650 urn thick, polished, nitride coated, metallized silicon host substrates. The window in the detector contact was aligned to a window of the same size in the silicon host substrate metallization. After device planarization, the other side of the emitter and detector were contacted. A window was opened in the top contact of the emitter, and the two silicon wafers were stacked with the detector-bonded wafer on top. Using an infrared back plane mask aligner, the emitter and detector were aligned to complete the three-dimensional integration as shown in Fig. 10. The thin film emitter bonded to the bottom silicon wafer emits through the silicon
558
Compound Semiconductors
wafer which lies above it. The light passes unabsorbed through the top silicon substrate and is detected by the detector, which is bonded to the top silicon substrate. A 2 kHz, 55 mA input square wave to the light emitting diode and a low noise transimpedance amplifier stage at the detector output were used to test the system. The input and output signals are shown in Fig. 11. This first unoptimized demonstration of vertical optical three dimensional integration demonstrated a system power conversion efficiency of 4 x 1()-7.r111
6.0
CONCLUSIONS
Techniques for the separation, transfer, and bonding of single crystal compound semiconductor thin film devices for optoelectronic integration have progressed rapidly in recent years. Materials tests demonstrate that these processes do not have a negative impact upon the material quality. Thin film device studies indicate that, with proper structural design and processing, thin film devices perform as well as or better than comparable on-wafer unseparated devices. Coupled this with the capability for independent optimization of host substrate and thin film device as well as the reduction in reactance due to the elimination of wirebonds, these thin film integrated systems are a high performance alternative to hybrid packaging. A particularly attractive option that thin film device integration opens to designers is the capability to integrate multiple functions and/or wavelength devices onto the same host substrate. Primary issues yet to be addressed in thin film integration is the reliability of these components and whether the potential cost advantage of this type of integration will be realized. The relative reliability of thin film devices has not yet been investigated, although with further maturation of this field, reliability studies will be reported. The potential cost advantages stem from pretesting before integration, additional yield enhancements through repair, and through the use of standard low cost microelectronics processing techniques. The true test of any research result is the success of products in the marketplace which arise from the technology. As products based upon thin film device integration move into the marketplace, this technology will be evaluated, and will succeed or fail based upon the performance and cost advantages that it can offer to the user.
Epitaxial Lift-Off for Thin Films
559
InGaAsP Thin Film Detector
1.3 micron wavelength light
InGaAsP Thin Film Emitter Figure 10. Schematic of the three-dimensional optically interconnected silicon system using thin film InGaAsP-based detectors and emitters operating at a wavelength of 1.3 microns. The thin tihn emitter bonded to the bottom silicon wafer emits through the silicon wafer which lies above it. The light passes unabsorbed through the top silicon substrate and is detected by the detector which is bonded to the top silicon substrate.
600nV
c
2aomv /dlV
WCg'C
-1.rv -06lls
200usIdlv
E-J
1.144ms
Figure 11. Input (lower trace) and output (upper trace) signals from the fabricated threedimensional optically-interconnected silicon system shown in Fig. 10. A 2 kHz, 55 mA input square wave to the light emitting diode and a low noise transimpedance amplifier stage at the detector output were used to test the system.
560
Compound Semiconductors
REFERENCES 1. Choi, H., Mattia, J., Turner, G., and Tsauer, B. Y., Electron Dev. Lett., 9512-512
(1988)
2. For a good review of current results, see the Special Issue on Solid State Image Sensors, IEEE Truns on Elec. Dev., vol. 38 (1991) 3. Wada, H., Ogawa, Y., Kamijoh, T., Appl. Phys. Lett., 62:738-740 4
Yablonovitch, E., Gmitter, T., Harbison, J. P., and Bhat, R., Appl. Phys. Lett., 5 1:2222-2224
5
(1993)
(1987)
Konagai, M., Sugimoto, M., and Takahashi, K., J. Ctyst. Growth, 45:277280 (1978)
6
Dumke, W. P., Woodall, J. M., and Rideout, V. L, Solid State Elect., 15:1339-1343 (1972).
7
Antypas, G. A. and Edgecumbe, J., Appl. Phys. Lett., 26:371-372
8
Adachi, S., Noguchi, Y., and Kawaguchi, H., J. Electrochem. 1053-1062 (May 1982)
9
Private communication with C. Camperi-Ginestet, Technology (1992)
(1975) Sot.,
pp.
Georgia Institute of
10 Yablonovitch, E., Hwang, D. M., Gmitter, T. J., Florez, L. T., and Harbison, J. P., Appl. Phys Lett., 56:2419-2421 (1990) 11 Calhoun, K. H., Camperi-Ginestet, C., Jokerst, N. M., IEEE Phot. Tech. Lett., (Feb 1993) 12 Klem, J. F., Jones, E. D., Myers, D. R., and Lott, J. A., J. Appl. Phys., 66:459-462
(1989)
13 Yablonovitch, E., Sands, T., Hwang, D. M, Schnitzer, I., and Gmitter, T. J.,Appl. Phys. Lett., 59:3159-3161 (1991) 14 Schumacher, H., Gmitter, T. J., LeBlanc, H. P., Bhat, R., Yablonovitch, E., and Koza, M. A., Electr. Lett., 25:1653-1654 (1989) 15 Yi-Yan, A., Chan, W. K., Nguyen, C. K., Gmitter, T. J., Bhat, R., and Jackel, J. L, Elect. Lett., 27:87-89 (1991) 16 Augustine, G., Jokerst, N. M., and Rohatgi, A., Appl. Phys. Lett., 61: 14291431 (1992) 17 Pollentier, I., Buydens, L, Van Daele, P., and Demeester, P., IEEE Phot. Tech. Lett., 3:115-117 (1991) 18 Camperi-Ginestet, C., Hargis, M., Jokerst, N. M., and Allen, M., IEEE Phot. Tech. Lett., 3:1123-1126
(1991)
19 Pan, J. Y., and Senturia, S. D., Sot. Plastics Eng. Tech. Papers: ANTEC ‘91, 37:1618-1621
(1991)
20 Anderson, G. P., Bennett, S. J., and DeVries, K. L., Analysis and Testing of Adhesive Bonds, Academic Press, New York (1977)
Epitaxial Lift-Off for Thin Films 561 21 Jokerst, N. M., Defense Advanced Research Project Agency DSRC Workshop on Optoelectronic Arrays (July 1992) 22
Burns, G. F., and Fonstad, C. G., Appl. Phys Lett., 61:2199-2201 (1992)
23
Buydens, L, De Dobbelaere, P., Demeester, P., Pollentier, I., and Van Daele, P., Opt. Lett., 16:916-918, (1991)
24
Yablonovitch, E., Kapon, E., Gmitter, T. J., Yun, C. P., and Bhat, R., IEEE Phot. Tech. Lett., 1:41-42, (1989)
25
Hargis, M., Brown, J., and Jokerst, N. M., “Epitaxial Lift-off Thin Film GaAs Metal-Semiconductor-Metal Photodetectors with Back Passivation,” IEEE Lasers and Electro-Qptics Society 1992 Annual Meeting, (Nov 1992)
26 Private communication with C. Camperi-Ginestet and K. H. Calhoun, Georgia Institute of Technology (1993) 27
Sell, D. D. and Casey, H. C., Jr., J. Appl. Phys., 45:800-807
(1974)
28 Yan, R. H., Simes, R. J., and Coldren, L. A., IEEE Phot. Tech. Lett., 1:273-275
29
(1989)
Calhoun, K. H. and Jokerst, N. M., Appl. Phys. Lett., vol. 62 (May 24, 1987)
30 Calhoun, K. H. and Jokers& N. M., Opt. Lett., vol. 18 (June 1993) 31 Camper-i-Ginestet, C. and Jokers& N. M., to be submitted to IEEE Phot. Tech. Lett., (Jan 1993) 32 Schnitzer, I., Yablonovitch, E., Caneau, C., Ersen, A., Gmitter, T. J., “Ultra-High Spontaneous Emission Quantum Efficiency, 99.7% Internally and 72% Externally, From Epitaxially Lifted-Off GaAs/AlGaAs Double Heterostructure,” Post Deadline Papers for the Lasers and Electro-Qptic Society Meeting on Integrated Optoelectronics (Aug 1992) 33. Camperi-Ginestet, C., Jokerst, N. M., and Fike, S., “Manufacturable Inverted Metal-Semiconductor-Metal Thin Film III-V Compound Semiconductor Detectors,” Optical Society of America Annual Meeting (Sep 1992) 34 Yablonovitch, E., Kash, K., Gmitter, T. J., Florenz, L. T., Harbison, J. P., and Colas, E., Electr. Lett., 25: 171-172 (1989) 35 Pollentier, I., Demeester, P., Ackaert, A., Buydens, L., Van Daele, P., and Baets, R., Electr. Lett., 26:193-194 (1990) 36. Yi-Yan, A., Chart, W. K., IEEEJ. Quunt.Electr.,
27:717-725
(1991)
37. Yi-Yan, A., Chart, W. K., Gmitter, T. J., Florenz, L. T., Jackel, J. L., Yablonovitch, E., Bhat, R., and Harbison, J. P., IEEE Phot. Tech. Lett., 1:379-380 38. Camperi-Ginestet, C., Kim, Y. W., Jokerst, N. M., Allen, M. G., and Brooke, M. A., IEEE Phot. Tech. Lett., 4:1003-1006 (1992)
562
Compound Semiconductors
39. Chart, W. K., Yi-Yan, A., Gmitter, T. J., Florenz, L. T., Jackel, J. L., Hwang, D. M., Yablonovitch, E., Bhat, R., and Harbison, J. P., IEEE Phot. Tech. Lett., 2: 194-196 (1990) 40. Wilt, D. M., DeAngelo, F. L., Thomas, R. D., Bailey, S. G., Landis, G. A., and Brinker, D. J., “Peeled Film GaAs Solar Cells for Space Power,” Proc ConJ on Photovoltuics (1992) 41. Pollentier, I., Buydens, L., Ackaert, A., Demeester, P., Van Daele, P., Depestel, F., Lootens, D., and Baets, R., Elect. Lett., 26:925-927 (1990) 42. Demeester, P., Pollentier, I., DeDobbelaere, P., Brys, C., and Van Daele, P., Semicond. Sci. Tech., pp. 1124-1135 (1993) 43. Van Hoof, C., De Rae&, W., Van Rossum, M., and Borghs, G., Elect. Lett., 25:136-137, (1989) 44. Shah, D. M., Chart, W. K., Gmitter, T. J., Florenz, L. T., Schumacher, H., and Van Der Gaag, B. P., Elect. Lett., 26: 1865-1866 (1990) 45. Klem, J. F., Jones, E. D., Myers, D. R., and Lott, J. A.,“Characteristics of Lift-Off Fabricated AlGaAs/InGaAs Single-Strained-Quantum-Well Structures on Glass and Silicon Substrates, “ Proc. ofthe Inter. Symp. on GaAs and Related Cmpds, Atlanta, GA (Sep 1988) 46
Camperi-Ginestet, C., Calhoun, K., Augustine, G., and Jokerst, N., “Through Silicon Wafer Optical Communication Using Monolithic Thin Film Epitaxial Lift-Off InGaAsP Emitters and Detectors,” Proc. of the Conference on Lasers and Electra-Optics, Los Angeles, CA (May 1992)
11 Packaging Eric I! Chan
1.O INTRODUCTION As the technology of III-V compound semiconductor material and devices is maturing, very complicated device structures, such as quantum well devices and mutli-functional optoelectronic integrated circuits (OEIC), can be fabricated in a manufacturing environment.lll The technology of packaging III-V compound semiconductor devices has evolved with these new technological developments. Material systems such as GaAlAs/GaAs, InGaAsP/InP and InGaAs/InP are important for fabrication of laser diodes, optical amplifiers, LEDs, and detectors. GaAs is the material for highfrequency microwave and high speed digital integrated circuits. Modern III-V compound semiconductor device packaging is a cross-disciplinary science which combines the knowledge in optics, material science, and electronic, mechanical and thermal engineering. This chapter discusses recent developments in packaging technology for (a) III-V optoelectronic devices, (6) high density, multifunctional and multichannel devices for optical interconnect applications, and (c) GaAs digital and monolithic microwave integrated circuit.
563
564
Compound Semiconductors
2.0
III-V OPTOELECTRONIC
2.1
Laser Diode Packages
DEVICE PACKAGES
Demonstration of the first laser diode in 1960 stimulated intense interest in the technology of laser diodes for applications in many different areas. Laser diodes are used as light sources for long distance fiber optic communication, powering sensors for aerospace and military applications, pumping high power solid state lasers, and writing compact optical disc memory. In the following sections, laser diode packaging issues for fiber optic applications are discussed. These principles are applicable to packaging laser diodes for other applications. Laser Diode Package for Fiber Optic Transmitters. The basic elements of a laser diode transmitter package is shown in Fig. 1, where the laser diode is bonded to a ceramic subcarrier, which depends on the type of laser being used. The laser diode subcarrier is mounted on top of a thermoelectric cooler. Special coupling optics are placed between the fiber and the laser diode. A monitor photodiode is mounted at the back facet of the laser diode for monitoring the output power of the front facet. Another element inside the package is a thermistor, which is bonded on the surface of the laser diode subcarrier, to monitor the temperature of the laser diode during operation. The transmitter hybrid circuit is mounted very close to the laser diode subcarrier for high speed operation. Depending on the speed of the transmitter, the laser diode package can be a dual-in-line package for data rates below 600 Mbit/s. For data rates above 600 Mb/s, a butterfly package with a high speed ceramic feedthrough is preferred. In the following, the important considerations in laser diode package design are discussed. Some of these design considerations are applicable to LED packaging also. Thermal Consideration. Diode Junction Temperature. The light output power vs. current (L-I) characteristic of a laser diode depends on the junction temperature of the laser diode. In a typical laser diode packaging process, the laser diode chip is mounted on a carrier by eutectic bonding, using solder preforms as shown in Fig. 2. The electrical circuit model that describes the junction temperature of the laser diode is shown in Fig. 3. P,,, is the power dissipation and Tj is the junction temperature of the laser diode. With the diode mounted junction side up, Tj can be calculated as follows:
Packaging
565
P DLD= IV - p,(1) P DLD
Eq.
(1)
= Uj
- TJ@LD
Tj =T,+PD,x
C
@BW ’ @LD @BW +‘LD
+@AuSn
>
+ %,O,
+%d/Pb
+@A&
I
where: P DLo= Laser diode power dissipation I = Laser drive current V = Laser diode bias voltage P,(I) = Laser diode optical output power at I Tj = Laser Diode Junction temperature Tr = Temperature of the package o,, = Thermal resistance of the bond wire @Lo= Thermal resistance of the laser chip 0 Ausn= Thermal resistance of the AuSn preform 0 A12of= Thermal resistance of the alumina laser subcarrier 0 Indr’Pb = Thermal resistance of In/Pb (50/50) solder bonding the ceramic subcarrier to the package Equation (1) can be applied to an actual example such as a GaAs laser diode chip with a 600 pm cavity length, 400 pm width and thickness of 100 pm. The continuous wave (CW) light output power vs. current (L-I) and voltage vs. current (V-I) characteristics are shown in Fig. 4. The device was bonded junction side up, as shown in Fig. 2. Assume the diode is operated at point A above the threshold current. Using a first order approximation, thermal resistance is calculated as 8 =
7/(K x A)
where 8 is the thermal resistance of the material, K is the effective thermal conductivity, and r and A are the thickness and area of the material, respectively. If the package temperature (T,) is maintained at 3O”C, the laser diode junction temperature is ---h_--+ Tj=T,,+PDLD x
K L.Dx ALD
t4uS”
t,,+ KLD
tAuSn KAUS" XA~u~n
X~~
KmnXA~~n
tBW XK~uxA~~ tBW +K.&Bw
hO,
hnd/Pb
+K AI,O, x AAI,O, +K h&Pb Yhmi/Pb
566
Compound Semiconductors
Using the data provided in Table 1 and point A of Fig. 4, then Tj = 30°C + [(O.O3 X
1.7)
-5
X
1O-3] X 10.187”C
Tj = 30.5”C
Thermiater
Figure
1.
Back face
Schematic diagram of the elements inside a typical laser diode package.
Au wire
Figure 2.
bond
A laser chip die bonding schematic.
Packaging
567
%l/Pb sddw
TP
+
I
Figure 3. Electrical circuit model for the junction temperature of the laser diode shown in Fig. 2.
Figure 4. L-I and I-V characteristics
of a laser diode.
568
Compound Semiconductors
Table 1. Material Parameters Characteristics as in Figure 3 AuSn Preform
for the Diode
Laser
with Operating
Bond Wire (BW)
Alumina A&O,
WPb solder
GaAs Laser Diode
t (in)
0.001
0.02
0.01
0.001
3.94 x 10”
K (W/i#C)
6.86
8.08
0.47
0.61
1.12
A ( in2)
3.726 x lo4
10%
6.25 x 1O-2 6.25 x 1O-2 3.726 x 1O-4
Thermoelectric Cooler Requirements.
Most of the laser diode package contains a thermoelectric cooler (TEC) and a thermistor. During the laser diode operation, the TEC and the thermistor are connected to a feedback loop circuit to stabilize the junction temperature of the laser diode. Selecting the right TEC for a laser diode package depends on several parameters including the heat load capacity and performance curves of the TEC, the maximum laser diode package temperature, the maximum allowable power consumption, and the dimensional constraints of the laser diode package. Figure 1 shows a laser diode mounted on a single stage TEC inside a package. There are four sources of heat load on the thermoelectric cooler: (i) dissipation from the laser diode on the top surface of the TEC; (ii) conduction by the fibers, bond wires, and other elements on the top of the TEC; (iii) radiation from the walls of the package; and (iv) convection of heat by the inert gas between the top of the TEC and the warm elements of the package. For a typical 1.3 pm InGaAsP/InP double-channel planar buried heterostructure (DCPBH) laser diode package, the typical heat load from all four sources is about 0.5 W at 75°C. Using a model SP5007 TEC with a performance curve at 75°C as shown in Fig. 5,f21 a temperature difference (AT) of 50°C is needed to cool the laser to 25°C. Operating the TEC at point A will achieve the cooling condition for the laser diode. At this operation point, the driving current for the TEC is 1 amp at 1.25 volt. Therefore, the maximum power dissipation (Pd) to the base of the package will be: P, = (1.25 x 1 + 0.5) = 1.75 watts For a laser diode package with a copper-tungsten base, the temperature rise due to this power dissipation is negligible. Therefore, stabilization of the
Packaging
569
laser diode temperature by the thermoelectric cooler is a practical cooling method for terrestrial telecommunication applications where the maximum operating ambient temperature is about 70°C.
PERFORMANCE NITROGEN SP5007 100
(I
ATM) COP
OF TA
(C)
-
Tektronix
SP5007 75
HSR
with
(C/WI
-
0
Te-75 HEAT LOAD (Watt)
00
0.00
60
2.20 2.40
2
1
OKLJ-1 0
.4
.6
CURRENT
Figure 5. Performance Industries.[2]
1.2
116
i
(AMPS)
curves at 75°C of a model SP5007
TE cooler from Marlow
Optical Design and Fiber Feedthrough Requirements. Aligning the fiber to the laser diode to achieve maximum coupling and attaching the fiber to the package reliably after the alignment are two of the main design objectives of a laser diode package. This process is called fiberpigtailing. The following issues must be considered:
570
Compound
Semiconductors
Fiber Lensing Technique. In coupling single mode fibers to a laser diodes, there are three techniques which can be employed. First, direct coupling with a cleaved fiber is the simplest becauseno extensive lensing of the fiber is required. But the coupling loss is about -10 dB which is the highest of all approaches. The secondtechnique is to form a hemispherical microlens on the tip of the fiber. This is formed by first tapering the fiber to a point using hydrofluoric acid, and then rounding it to a radius r by melting, as shown in Fig. 6a.[3] This technique can be implemented using a commercially available fiber fusion splicer. Typical coupling loss for this technique is about -3 dB; the alignment tolerance is about 0.5 ~m. Recent progress has been reported[4]in the fiber lensing technique using CO21aser micromachining to form a hyperbolic shapeat the tip of the fiber. Coupling efficiency of over 90% with a single mode fiber was demonstrated. A photomicrograph of a hyperbolic tip single mode fiber is shown in Fig. 6b.[4] The third technique is a double lens design as shown in Fig. 7. This system consist of two lenses. The basic concept of this schemeis: the first lens magnifies the size of the laser diode at point A; the second GRIN (gradient index) lens matches the laser diode image at point A to the core size of the fiber. This scheme is also called the confocal system. This systemachievesa coupling efficiency with a typical loss of about -I dB and the alignment tolerance is about 2 to 4 ~m. This optical schemeis also more difficult to implement. Figure 8[3] summarizes the results of the four different coupling schemes. r-
-'-I 125..m
... 11 ,.11 m.
1--
(a) Figure 6. (a) Fiber with microlens tip.[4]
(b) tapered microlens.[3]
(b) Single mode fiber with
hyperbolic
Packaging Lens
5 71
1 Lens
2
I SMF
3.6 mm
4
t---* 119 vnl
l
Lz
Ll
Figure 7.
Schematic of a confocal optical design.[13] (01990
FIBER SCAN ALONG
IEEE.)
Y AXIS
0-
-?. -
i
2 2
-4
-
-0
-
.
-
.
-12
-
0 CLEAVED
-14
-
-12
. -
A 8pm MICROLENS 16pm
’
’ -0
FIBER
’
-4
’
’
’ 0
DISPLACEMENT
Figure 8.
.
.
,:
-10
l
A
9+*”
.
-
-16
d
.
-0
_
.
:
’
’ 4
’
I S
I
, 12
(MICAONSI
Comparison of three different fiber-to-laser diode coupling techniques.[3]
Fiber Attachment to Package. Attachment of the fiber to the package involves metallization of the fiber to a specific length, usually with Ti/Pt/Au metallization. The fiber is first inserted into the package through the fiber feedthrough of the package as shown in Fig. 1. Special fiber gripping tweezers and micropositioners are used to align the fiber with respect to the laser chip. Movement of the fiber in X, Y and Z directions is needed to obtain maximum coupling. Once the active alignment is finished, the fiber is first soldered in front of the laser chip, and then it is soldered to fiber feedthrough inside the package wall. Additionally, high temperature epoxy is used to attach the fiber to the part of the fiber feedthrough outside
572
Compound Semiconductors
the package for strain relief. The other method is to first solder the fiber to a ferrule tube which is then laser-welded to a miniature saddle. This saddle is welded to the laser submount as shown in Fig. 9. In addition, the fiber is partially soldered inside the ferrule tube, with the unsoldered part of the fiber functioning as a shock absorber. Large stresses introduced into the package are completely relieved. Using this technique, a coupling variation of about 1 dB over a temperature range of -20°C to 70°C and 100,000 hours of package life was reported.[51
-
Figure 9. Fiber attachmnent
CHIP
by laser welding.[51
Automuiion Tools. Automation tools are commercially available for active alignment of fibers to laser diodes or other types of optoelectronic devices. These automatic systems usually utilize computer controlled dc motors for coarse alignment and positioning of the fiber to the optoelectronic device. Fine alignment is then performed by piezoelectric motors for movement of the fiber in step sizes of 0.1 pm or less, After the alignment, the system will attach the fiber to the package by solder or epoxy. The alignment results will then be characterized automatically after the fiber bonding process is finished. Figure 10 shows a commercial automatic fiber alignment system.
Packaging
Figure
10.
Photograph
(Courtesy of the Kaptron
of a commercial
automatic
alignment
system from
573
Kaptron.
Company.)
High Speed Laser Diode Package. Laser diode packagesoperating below 600 Mb!s use 14 pin dual-in-Iine packageswith glass-to-metal sealed electrical pins. For laser diodes which operate above 600 Mb!s, butterflytype packages with multilayer ceramic electrical feedthroughs are preferred. In designing a high speedelectrical feedthrough, it is important to have proper impedance-matchingfor the transmission line going through the wall of the package. In general, the parasitic elementsassociatedwith a laser diode package are show in Fig. II, where ~ is the series resistance, Cp is the chip parasitic capacitance, and 4 is the bond wire inductance. For high data rate applications, an impedance-matching resistor, ~, is connected in series with the laser diode to reduce signal reflection. Using the analysis in Ref. 6 for the laser bias above the threshold, the responseof the laser packagecan be separatedinto two parts: intrinsic laser modulation
574
Compound Semiconductors
response, R, and parasitic modulation response, R,,. The total response which includes the test detector response, R,,, is expressed as
which may also be expressed in decibels as
With a wire bond inductance, I+,, of 1.O nH and chip parasitic capacitance, C,, of about 2 pF, the small signal modulation response of a semi-insulating buried crescent (SIBC) laser packaged with a high speed K-connector, is summarized in Fig. 12. Curve A is the laser intrinsic response, curve B is the parasitic roll-off, curve C is the test detector response, and curve D is the total response. Measured data are the solid dots. Figure 13 shows the parasitic modulation response for different values of Lt, and Cr. Results of this analysis indicate that the high frequency characteristics of a laser diode package is affected by the laser chip parasitic capacitance and bond wire inductance. Hermetic@ and Coeffkient of Thermal Expansion (CTE) Matching. Before the laser package lid is sealed, it is baked to about 100°C in a stainless steel chamber flushed with dry nitrogen. Lid sealing is done using a seam welder inside a dry box. The gas mixture during the lid sealing is 10% helium and 90% nitrogen. The hermetic&y check verifies the mechanical integrity of the package body and solder seal of the fiber to the package. Gross leak hermeticity test is performed with the package filled with inert gas. Then the package is submerged in a type 2 perfluorocarbon fluid. The gas inside the package is heated to cause expansion. If gross leaks exist, gas inside the package escapes and create a visible trail of bubbles. The fine leak hermeticity test is accomplished by using a helium leak detector with a mass spectrometer. A leak rate of less than 5 x 1O-*cc/set is required for many applications. Leak checking is done after many cycles of temperature testing from -55°C to 125°C to assure the mechanical integrity of the package. After the package passes the hermetic&y test, electrical and optical coupling tests of the laser package are needed to assure the coupling efficiency of the fiber. Other testing such as humidity, mechanical shock, and vibration are necessary to assure a good quality package.
Packaging COAXIAL
Figure
MICROSTRIP
11. Parasitic circuit elements in a high speed laser diode packageM
-26
_ A. -.- 8. -------
-25
C. D. -
5 z”
-30
-
-35 0
INTRINSIC PARASITIC
RESPONSE RESPONSE
TOTAL DETECTOR RESPONSE RESPONSE
. . . . . MEASURED
DATA
I
I
I
1
2
4
6
8
10
FREQUENCY
Figure
5 75
12
14
16
12. Small signal modulation response of a SIIK iaw
$
-2 -3-
s E: d .o
_4_
-5
-
B-
-7
26
&de pzckage.t61
-
s
,: 2 0”
1S
(GHz)
-
0.1 nH, 1 pF
--
0.1 nH. 2 pF
---
1.0 nH. 1 pF
----
l.OnH,ZpF
-
-6-
0
2
4
6
13
10
Frequency
12
14
16
16
20
iGHzt
Figure 13. The modulation response of a SIEiC laser package: with different Lb and CJ61
576
Compound Semiconductors
The operating temperature range of a laser diode package (in general for all fiber optic packages) is limited in part by the thermal expansion mismatch between the optical fiber and the package. Mismatch in expansion can cause fiber-to-laser misalignment and/or fiber breakage when the package goes through repeated temperature cycles. Copper-tungsten (CuW) and Kovar, with CTE of about 6 x 1O-‘V’C,are preferred materials because of their close CTE match to the glass fiber, which has a CTE of about 0.5 x lO?‘C. Kovar has a lower cost because it is easier to machine; but the thermal conductivity of CuW is higher than Kovar. Figure 14 shows the CTE vs. thermal conductivity for selected materials used to fabricate a laser diode package.
t i iii//I
50
100
500
l,ooo
Thermal Conductivity (W/m-K)
Figure 14. CTE vs. thermal conductivity of the material in a laser diode package. (Courtesy of Sumitomo Electric.)
Packaging
577
Laser Diode Fiber Optic Package Long Term Reliability Model. The long term reliability of a laser diode package strongly depends on the creep characteristics of the solder that bonds the fiber for optimum coupling of the laser light output. For coupling of single mode fibers to laser diodes, failure occurs when the solder creeps more than 0.1 pm. As shown in Fig. 1, the fiber forms a cantilever beam from the package wall to the solder bond. The creep rate depends on the length, L, and the deflection of the fiber after the fiber is bonded. At room temperature, the typical creep rate of Sn/Pb solder is about 5 x lo-l2 in/in/day. Assume a solder length of 0.05 in, then the absolute creep is Cso,der= (5 x 10-2)x (5 x lo-12)inches/day = 2.5 x lo-l3 inches/day
Therefore, the time to failure (fiber movement of 0.1 pm) is tf = (0.1 pm) x (3.94 x 10m5inches/pm) 2.5 x lo-l3 inches/day tf = 4.3178 x lo4 years To calculate solder creep at elevated temperature, the Arrhenius relationship is use~I:[~l
where A = constant, E, = activation energy (0.65 eV), k = Boltzmann’s Constant (8.62 x 10m5eV/OK) and T = absolute temperature in “K. Using these results, time to failure at 125°C can be calculated t,(300”K)
A=
= 4.588 x 10-3hrs
0.65 exp
8.62 x 1C5 x 300
t,(398.K) = 4.588 x 10m3x exp
0.65 = 88.59years 8.62 x lO-5 x 398
578
Compound
Semiconductors
These calculations show that proper fiber soldering provides long term fiber-to-1aserdiode coupling reliability. Figure 15 shows the inside view of a hermeticlasertransmitterpackagereportedby Figueroa,et al.[8] This package is designedfor high reliability and extendedtemperaturerangeoperation.
Figure
15.
temperature
2.2
LED
Inside vie~. c.f a prntotype
hermetic
laser transmitter
package for extended
operaiion.[8]
Packages
The LEO package design considerations are very similar to those for laser diode packages. Unlike laser diodes, LEOs are not threshold devices alld their output power is less sensitiveto temperaturevariations. Therefore, active cooling and feedbackcontrol of the device temperature is not needed. In general, LEOs emit lower power than laser diodes and LEO transmitters are generally used in low cost data links for short distance local area applications. For tbeseapplications, the data rates are below 200 Mb/s and
Packaging
5 79
the driver circuitry for the LED transmitter package is simpler than for laser diode packages. There are two types of LED structures, as shown in Fig. 16 and Fig. 17, surface-emitting and edge-emitting structures. For edge-emitting LEDs, the submount design is similar to the laser diode. For surface-emitting LEDs, the submount needs 90” electrical leads in a transmitter package so that the emitted light cone can be parallel to the package base for fiber alignment. For high reliability operation, heat dissipation and optical alignment are two important design considerations for LED packages.
n InP subslrole p InGaAsP(ocIive
Figure 16. Structure of a surface-emitting
Figure 17. An edge-emitting
LED.
layer)
(Courtesy of Sumitomo Electric.)
LED structure.[91 (01983 IEEE.)
580
Compound Semiconductors
Figure 18 shows the I-V and L-I characteristics of a 1.3 pm InGaAsP/InP surface-emitting LED. The typical operating current of this LED in a transmitter package is about 50 to 100 mA. The LED drive current is higher than that of a laser diode, and it dissipates more heat during operation. Typical power dissipation for an LED during operation is about 0.2 watt. Having a good heat sink will reduce the junction temperature of the LED which increases the output power and enhances long term reliability. LED lifetimes greater than lo7 hours at 70°C has been reported.191 Heat Dissipation Considerations.
1000
2.0 ,
I (mA)
Figure 18. I-V and L-I characteristics of a 1.3 pm surface-emitting LED.
Optical Considerations. The genera1 rule for designing an optical system for a surface-emitting LED package requires the LED emitting diameter to be smaller than the core diameter of the fiber. If the LED diameter is larger than the fiber core diameter, according to the Liouville’s theorem, lensing the fiber will not increase the coupling efficiency. The coupling efficiency of the LED is proportional to the square of the numerical aperture of the fiber if the fiber core diameter is smaller than the emitter diameter of the LED. For LEDs with an emitting diameter smaller than the fiber core diameter, lensing of the fiber or external lenses will increase the coupling efficiency. Most of the discrete LEDs are in TO 18 or TO 46
Packaging
581
packages with a double lens optical system, as shown in Fig. 19, to maximize the fiber coupled power. A miniature ball lens is attached to the chip to collimate the light. The collimated beam then passes through a focusing lens on the cap of the package. A focus spot that matches the core diameter of the fiber is formed at a distance of about 1.6 mm from the lens tip of the cap. The positional tolerance of this package design is shown in Fig. 20. Because of its manufacturability, this type of LED package is low cost and has good reliability.
Figure 19. A surface-emitting
LED in a TO 46 package.
(Courtev
of ABB HAFO.)
Figure 20. Alignment tolerance of a 50/125 pm cleaved fiber to an LED package like that shown in Fig. 19. (Courtesy of ABB HAFO.)
582
Compound
Semiconductors
Several LED transmitter packages for data link applications are shown in Fig. 21. A LED optical subassembly(OSA), used in a data link transmitter package, is shown in Fig. 22.(101 This OSA contains an InGaAsP/InP surface-emitting LED and a ball lens to increase the couple power from the LED to the fiber. The OSA is inserted through the alignment sleeve. The LED is mounted on a headerwhich also functions as a heat sink. Figure 23(9]summarizes different approachesof LED to fiber coupling. The best optical system is a device with an integral lens (formed by photo-electrochemical etching) coupled to a lensed fiber. This system has the best lateral alignment tolerance as shown in Fig. 24.[111
Figure 21. Commercial LED transmitter data link packages. (Courtesy of AT&T, Sumitomo E/ectric. and OCP.J
Packaging
583
device
\
Ball lens
Figure 22.
LED
(01987 AT&T.
optical subassembly inside a 1.3 pm fiber optic data link transmitter.[lOl Reprinted
with permission.)
0
a9
JL 0
INTEGRAL LENS
TRUNCATED LENS
LENSED FIBER
BUTT
COMPLEXITY
Figure 23.
-
Comparison of different LED to fiber coupling schemes.[9] (01983
IEEE.)
584
Compound Semiconductors
2
SOURCE-RECEIVER
Figure 21.
COUPLING
ENHANCEMENT
(RELATIVE
TO BUTT
I
LATERAL
I NON - IMAGING
SEPARATION
COUPLINGI
MICROSPHEAf
(f/O)
Lateral alignment tolerance of a lensed fiber to a surface-emitting
LED.[“l
In edge-emitting LED packages, the fiber is actively aligned to the LED in the X, Y, and Z directions. Figure 17 shows an edge-emitting LED structure. It is very similar to a stripe-geometry laser diode. Lasing is suppressed by depositing an anti-reflective coating on the laser facets. The confining layers above and below the active layer have a lower index of refraction, which provides waveguiding in the direction perpendicular to the junction plane. In the direction parallel to the junction plane, there is no waveguiding mechanism and the light emission in this direction is the same as a Lambertian source. Therefore, the emitting light cone of an edgeemitting LED is narrower in the direction perpendicular to the junction. A typical far-field angle in the direction parallel to the junction plane is about 120’; in the direction perpendicular to the junction plane, the far-field angle is about 35”. Figure 25a shows an edge-emitting LED submount design.[‘*l In this design, a beryllia block is used as a heat sink material because of its good thermal conductivity, good CTE match to GaAs, and ease of machining. Metallization is deposited over the surface of the block. An insulating standoff is attached to provide electrical contact to the n-side of the LED chip. The p-side (junction side) of the chip is eutectically bonded on the surface of the step on the Be0 block. The step is used for fiber alignment when the block is mounted into the LED package housing. Figure 25b shows the cross section of a typical edge-emitting LED package.[‘*l
Packaging
585
(4
Figure 25. (a) Submount design of an edge-emitting package?*] (Courtev of CodenoN Technology.)
2.3
Semiconductor
LEDPI
(5) An edge-emitting
LED
Optical Amplifier (SOA) Packaging
The principle of a semiconductor amplifier is shown in Fig. 26.[131 Light couples into the active semiconductor waveguide which is formed by an angle-facet semiconductor laser with an anti-reflective coating on both facets. Optical gain results from electron-hole recombination, induced by
586 Compound Semiconductors stimulated emission from the input signal. An SOA can be used to amplie weak signals due to attenuation over long distance fiber optic links, or to overcome loss due to splitting in complex optical interconnection networks. The packaging of a semiconductor amplifier requires incorporation of two single mode fiber pigtails; one fiber is aligned for each facet of the amplifier chip. There are two approaches recently reported to achieve good coupling efficiency and high gain. They are described in the following two sections.
f liizf!T TOP VIEW
P0
-- 00
_
--a
P
mm
4
ri n
Figure 26.
f
8ic 7” 0, = 22”
Basic principle of a semiconductor optical amplitier.~‘3] (01990
IEEE.)
Use of Confocal Optics with a Built-in Active Alignment Screw.
This approach, reported by Reith et al.,[131uses a dual-lens coupling system as shown in Fig. 7. The SOA is a 1.3 pm InGaAiP/InP crescent-type buried heterostructure with a 7” angle tilted facet. A sapphire ball lens about 1 mm in diameter (index of refraction, n = 1.75) is positioned to provide a 10x magnification of the 1 pm laser amplifier beam waist. The device-to-ball lens distance is about 150 pm. The second lens was a gradient-index lens attached to the end of the single mode fiber to provide a 2x magnification of the 5 pm single mode fiber beam waist. The distance between the two lenses is about 3.5 mm for optimum coupling. A fiber alignment tolerance of about f 4.5 pm in the lateral direction and f 279 pm in the axial direction was achieved. The angular alignment tolerance is about f 1.6”. Figure 27 shows the general schematic of this dual-lens SOA package. Two ball lenses are mounted directly on the chip carrier with two chamfered holes formed by electrical discharge. One ball lens is seated on each side of the amplifier’s emitting edge. The chip carrier is then inserted into the package housing. Single mode fibers are aligned at the input and
Packaging
587
output ends of the package and then clamped with set screws. After alignment, the fiber cavities are backfilled with epoxy to lock the fibers in place. This dual-lens approach has relaxed the single mode fiber to laser diode alignment tolerances from 0.1 pm to over 4 pm. Coupling efficiencies from 25% to 40% were achieved. An amplifier fiber-to-fiber gain of about 10 dB was reported.[131
eatewe
Figure 27.
A dual-lens SOA package design schematic.[‘3]
(01990
IEEE.)
Dual-Temperature-Zone Fiber Soldering Technique. This SOA packaging technique was reported by Boudreau et al.[141The schematic of this approach is shown in Fig. 28. This device is a 1.3 urn InGaAsP/InP ridge waveguide structure with a 7” angle tilted facet. Two thermoelectric coolers are used as separate temperature controllers for soldering the input and output single mode fibers. The SOA carrier was slotted to enhance the thermal isolation between the two zones. Mechanical reinforcement is incorporated near the center edge of the carrier to maintain flatness and reduce flexing of the carrier. The first fiber was aligned and soldered to the zone where the SOA was located. This zone was then cooled by the TEC to reduce the heating due to fiber soldering in the other zone. The fiber on the other zone was then bonded by the same type of solder. The heating and cooling during the
588
Compound Semiconductors
soldering processes was achieved by applying current to the TEC’s with proper polarities. The top view of this SOA is shown in Fig. 29. This package had achieved fiber-to-fiber gains of 19 to 2 1 dB and a coupling loss of less than 5 dB per facet. Because the soldering temperature is locally controlled by the TEC in each zone, reworking of a poorly aligned fiber can be accomplished very easily. Fiber blocks _
coolW#
Figure 28.
Carrier Side View
Schematic of a dual-temperature-zone SOA packageJ4]
TEC+ THERYTHERM+ N.C.
Figure 29.
KC.
@I991
IEEE.)
lEC N.C.
Top view of the dual-temperature-zone SOA package as shown in Fig. 28.[14]
N. C. designates the package pins that are not connected. TEC+ and negative pin contacts for the thermoelectric
coolers.
positive and negative pin contacts for the thermistors.
and TEC- are the positive
Therm+
(01991
and therm- are the
IEEE.)
Packaging 2.4
589
Detector Packages
InGaAs PIN Detector. Highly reliable InGaAs layered detector structures can be produced in a high-throughput manufacturing process using the mature VPE or MOCVD techniques. A high sensitivity and reliable 1.3 pm fiber optic receiver has been made using InGaAsLInP PIN detector. There are two types of PIN detectors, the front-illuminated and the back-illuminated structures, as shown in Figs. 30a and b.[151[161 The front-illuminated structure does not require alignment of the backside window to the front-side contact, therefore they are easier to fabricate. Most of the commercially available PIN detectors are front-illuminated. Figure 31 shows a front-illuminated PIN detector mounted on a ceramic header. The diameter of the light detection area of the PIN depends on the speed and sensitivity requirements; it ranges from 20 pm to a few hundred pm. The basic criteria is to select a detector with a surface area that allows for maximum collection efficiency of the optical signal without a sacrifice in capacitance and dark current. Figure 32 shows the reverse I-V and C-V characteristics of a 100 pm PIN detector. The typical operating voltage of a PIN is -5 volts. With a diameter about 100 pm, a high quality PIN has a dark current lower than 0.5 nA at this bias voltage. As compared to light emitting devices, PIN diodes dissipate negligible heat to the package. Most of the heat dissipation in a receiver package originates from the preampliFigure 33 shows several commercial fier and post-amplifier circuits. receiver packages used for data link applications. Another technique to package the PIN detector is to use the flip-chip bonding process introduced by IBM.Il’Il The advantage of this technique is that it allows the InGaAs/InP PIN detector to be connected to complex GaAs or Si integrated circuits with very short interconnection lengths. It reduces the package parasitics and improves the speed and performance of the receiver package. u*][~~] Figure 34 shows the cross section of a flip-chip bonded PIN detector.[‘*l This process relies on the confinement of a controlled volume of solder between the wettable metal pads of known area on the PIN detector and on the substrate. The pads are surrounded by a non-wettable region. Surface tension forces in the molten solder bring the PIN detector into alignment with the substrate pads. An alignment tolerance of 1 pm has been reported.1191 A theoretical model of the flip-chip process has been reported.[201[211
590
Compound
Semiconductors
(a)
(b)
Figure
30.
(a) A front-illuminated
(b) A back-illuminated
PIN.[16]
PIN.[15]
(r{;)1987 AT&T.
Reprinted
with permission.)
(r{;)1981IEEE.)
SA carrier
Figure
31.
A front-i1luminated
PIN mounted on a ceramic header.
(Courtesy of Fujitsu.)
Packaging
0
2
Figure 32. I-V and C-V characteristics
4
6
6
591
10
of a 100 pm diameter PIN detector.
High Speed Metal-Semiconductor-Metal (MSM) Detector. MSM detectors consist of an interdigitated pattern of metal fingers deposited on a semiconductor substrate as shown in Fig. 35. During operation, a bias is applied between the two alternate sets of fingers creating an electric field to sweep the photogenerated electrons and holes to the positive and negative electrodes, respectively. This process is limited by the transit time of the photogenerated carriers, therefore, a high speed response has been achieved.[221[231MSM detectors have been fabricated on both GaAs and InP. Because of its fabrication simplicity, it is easy to integrate the MSM detector with IC’s. For the top-illuminated structure, coupling of the optical signal into the device by angle lapping of the fiber, as shown in Fig. 36, has been reported on a superlattice-enhanced InGaAs/GaAs MSM structure.[241 For MSM detectors with InP substrate, backside coupling using a reflective v-groove has also been reported.[251 Using this technique, record MSM detector responsivity of over 0.9 A/W was reported.
592
Figure
Compound
33.
Semiconductors
Commercial
Hewlett Packard.
General
receiver packages for data link application. ODtronics.
and OCP.)
(Courtesy
of AT&T,
Packaging Microfens
n’- InP substrafe Undoptd GoInA,
-1nP
\Submounr
Figure 34. A flip-chip bonded PIN.I’sl (@X991 IEEE.)
,,Ti/Pt/Au
I
I:itqpx
I
I I
Figure 35. Schematic of a MSM detector structure.[24] (01990
IEEE.)
593
594
Compound
Semiconductors
Stngie MOdeFiber
Figure
36. Fiber to surface illuminated
3.0
MUL TICHANNEL INTERCONNECT
3.1
Introduction
high speed MSM
detectors.124] (rt:JJ990 JEEE.,
DEVICE PACKAGES FOR OPTICAL APPLICATIONS
As the digital signal processing speedofa computer increases,a high density of parallel interconnections at the board level with low latency and clock skew is required. In addition, as the rise time of the digital signal pulse decreases,high density electrical interconnections are constrained by crosstalk due to electromagnetic coupling between signal lines, an increase in line resistance due to the decrease in line dimensions, an increase in reflection due to impedance mismatch, and distortion of the signal due to dispersion from the skin effect. Optical interconnections using fibers and waveguides can overcome these problems and offer additional advantages of higher speed, freedom from electromagnetic interference (EMI), and lighter weight. For this type of application, multichannell11-V optoelectronic array packaging technology is being developed. In the following sections, the technology and issues related to different array packages are discussed. 3.2
LED
Array
Packaging
A monolithic linear LED array consisting of twelve 1.3 J.1mInGaAs/ loP surface-emitting LEDs has been reported.[26][27]Figure 37 shows a schematic of an LED array submount design reported by ltoh et al.[27] The LED array is a mesa structure with the p-contact of each LED bonded to a electrical fan-out pattern on the front side of the AIN submount to maximize
Packaging
595
the heat dissipation. The p-contacts of each LED are bonded to the fan-out pattern using the self-aligned solder reflow process. The LED array has an InP substrate as the common n-contact electrode which is wire bonded to the pattern near the edge of the submount. The driver circuitry for each LED is mounted on the top side of the AlN submount. An array of fibers is aligned to the LED array as shown in Fig. 38. The final LED transmitter array module is shown in Fig. 39. The uniformity in coupling efficiency of this LED array was f 0.2 dB. The average coupled power was -21 f 0.4 dBm at 15 mA with peak-to-peak (non-return-to-zero) NRZ modulation. A parallel data transmission rate of 150 h4b/s/channel with -39 dB interchannel crosstalk was demonstrated.
Swltchlng Translmlor
electmde
Figure 37. LED array design.[*‘l submount (01991 IEEE.)
Driver
Figure 38. Fiber array to LED array alignment.[27J (01991 IEEE.)
Submount
Metal Ferrule
596
Compound Semiconductors
DrlverlPreamplifler
CircultS
Flber Ferrule .
\/-----I
Fiber
LEDIPD
Array
Array
Figure 39. LED transmitter array package.rz7] (01991 IEEE.)
3.3
PIN Detector Array Packaging
The approach discussed in Sec. 3.2 had been applied to the InGaAs/ InP PIN receiver array. t2’l Figure 4012*1shows a PIN array package which utilizes a fiber array sandwiched inside a silicon v-groove array. The PIN array has center-to-center spacing matched to the center-to-center spacing of the fibers inside the silicon v-groove. The light detection surface of the PIN detectors in the array are butt-coupled directly to the individual fiber surfaces of the silicon v-groove. The p-contacts of the PIN array were connected to the package leads through vertical and horizontal fan-outs. Taking advantage of the transparency of the InP substrate to 1.3 urn photons, an alternative approach to packaging of PIN arrays uses a reflective silicon v-groove and passive alignment technique as reported by Chan, et al.129l The principle of the reflective silicon v-groove coupling technique is shown in Fig. 4 1. First, an array of v-grooves was formed by anisotropic etching on the (100) surface of a silicon wafer using a hot KOH solution. The walls of the v-groove array were oriented along the (111) surface of the crystal direction. Using a proper groove opening dimension, an array of 100/140 pm (core and cladding) diameter multimode fibers are fully embedded inside the v-grooves. At the end of the each v-groove, a 55” reflective mirror facet was formed. The optical signal from the fiber is reflected into the absorption region of the PIN detector. To enhance the reflectivity of these mirror surfaces, a high-reflectivity metal coating was sputter-deposited. During the same photolithographic step, axial and transverse alignment marks, located near the centers of the silicon v-groove mirrors, were delineated on the surfaces of the silicon v-groove substrate.
Packaging
Figure 40. A PIN array package which uses direct butt-coupling array.[z8] (01984 IEEE.)
59 7
of fiber array to detector
PIN Array
55” Mirror
(110) Fiat
Figure 41. Principle technique.
of reflective
silicon
v-groove
to PIN detector
array alignment
598
Compound
Semiconductors
After the fibers were loaded and bonded to the silicon v-groove substrate, the PIN detector array was passively aligned to the optimum position of the 55° reflective mirror surfaces, using the PIN array's top metal contacts and the transverse and axial alignment marks as references. After the alignment, the PIN array was bonded to the silicon v-groove substrate. An alumina fan-out was attached to the same silicon substrate. After wire-bonding the PIN array p-contacts to the fan-out patterns, the complete silicon substrate subassembly was attached to a package. Fig. 42(a) shows a PIN array package assembled on a standard 24 pin DIP platform. Fig. 42(b) shows the details of the interface of a six-channel fiber array aligned to the PIN array using this technique. In summary the advantages of reflective silicon v-groove technique are: (i) no angle lapping or polishing of silicon v-groove or fibers is required; (ii) since the PIN detector array is mounted directly onto a thin silicon wafer substrate, a very low profile packageheight can be achieved; and (iii) since a separate submount is not needed,the spacing between the PIN array and the receiver circuitry is reduced. The speedof the receiver array is therefore enhanced because of the reduction in parasitic lead inductance.
(a) Figure
42.
(a) A six-channel
array to fiber array interface.[29!
PIN array in a dual-in-!ine (.t:J1990 IEEE.)
package. (b) Details of the PIN
Packaging
-+
500 pm -T
L
270 pm
-i
Figure 42. (Cont ‘d)
599
600
Compound Semiconductors
3.4
Individually Addressable Laser Array Packages
Advancement in quantum well laser diode technology has resulted in threshold currents below 1 mA. High temperature operation was demonstrated using laser diodes with an InGaAs/GaAs strain layer quantum well structure. Laser arrays for high density optical interconnection have the advantages of high speed and high output power, as required by complex architectures which utilize either a star or tree-type topology. By achieving threshold currents below 1 mA, each laser in the array can be driven directly by the digital logic output signal. For single laser packages, a major cost of the packaging is aligning and pigtailing the fiber to the package. It is very expensive and impractical to package the individually addressable laser array in the same way that a single laser diode is packaged. Several approaches have been employed for individually addressable laser array transmitter packages. Direct Butt-Coupling Approach. This approach uses active alignment of an array of laser diodes to an array of fibers or waveguides. The fiber array or the waveguide array spacing is designed to match the spacing of the laser diode array’s emitting stripes. Figure 43 shows a laser array mounted junction side down on the metal pattern of a silicon submount.l30l An array of fibers in silicon v-grooves is aligned to the edge of the laser diode array bar and then bonded onto the package. In this alignment process, movement of the fiber array in X, Y, Z,8 and + are necessary to obtain good coupling results. Using this technique, Karstensen et al.1301 have achieved coupling efficiency of 10% in alignment of an array of eight AlGaAs single quantum well (SQW) laser diodes to an array of single mode waveguides. A coupling uniformity off 1.5 dB across the array was also achieved. Passive Alignment Using Indexing of Fiducial Marks. The active laser diode array alignment technique discussed in the previous section, provides better alignment accuracy, but it is a time consuming and labor intensive process. A passive alignment method using an indexing scheme, in which fiducial marks are formed on the laser array and the fiber array carrier by photolithography, was reported by Cohen, et a1.1311This technique has achieved the same coupling efficiency as active alignment for multimode fiber arrays. For single mode fiber arrays, an active-alignment coupling efficiency of 80% was achieved.
Packaging
601
Figure 43. Schematic of a laser array bonded junction side down on a fan-out pattern.[301 (81992 IEEE.)
The basic principle of this technique is shown in Fig. 44.r3’1 The laser array consisted of four laser diodes and the silicon v-groove fiber carrier contained four fibers that had a center-to-center spacing of 250 urn, which matched the laser array spacing. Cross fiducial marks and their relative position to the important features of both components were formed by photolithography during the respective component fabrication processes. A glass alignment plate with a metallized fiducial mark pattern that matched the fiducial marks on the laser array and the silicon v-groove fiber By placing the components carrier was also formed by photolithography. on the glass plate and aligning their fiducial marks to those on the glass plate, the correct alignment of the laser diode and fiber array was achieved. When the components were correctly positioned on the glass plate, they were fixed in place by application of vacuum to the components. Then both components are soldered to a metallic pedestal using a PbSn solder preform. The vacuum was released and both components were fixed to the metal substrate simultaneously. This step reduced the concern of a soldering hierarchy for each component and also minimized the displacement of the components from their relative optimum positions when the solder solidified. Figure 45 shows an SEM micrograph of a four channel laser array module fabricated by this technique.
602
Compound
Semiconductors
Figure diode array
44.
Principle
array aligning using
an
of a laser to a fiber indexing
scheme.!31] ('.{;)1992 IEEE.)
Figure
45. SEM photographs of
a four-channel ule fabricated nique.[31]
laser array modby indexing
(f:J1992 IEEE.)
tech-
Packaging 3.5
Hybrid Optoelectronic
603
Integration Using a Silicon Wafer Board
The concept of this approach is to package a laser array on a silicon wafer which functions as a mechanical, electronic, and optical platform for integrating the array’s driver and other signal processing integrated circuits on the same silicon substrate. This utilizes the maturity of III-V optoelectronic device technology and the silicon multichip module (MCM) technology, as reported by Lockwood and others.t321t33J As the complexity and density of optoelectronic and fiber optic packages increase, fabrication of all the functions using monolithic integration becomes costly. By utilizing MCM technology and integrating it with silicon v-groove for optoelectronic device alignment, package designers can integrate more electronic functions into an optoelectronic package. Using this approach, GTE Laboratory successfully fabricated a four-channel, long-wavelength laser transmitter array with an array of four single mode fibers passively aligned to the laser diode array.[341 Figure 46a shows the concept of the wafer board design, using a passive laser to single mode fiber array alignment technique. A six element, 1.3 pm, InGaAsP/InP double heterostructure laser array was flip-chip bonded to the metallization pattern on the silicon wafer board with the junction side down. The silicon wafer itself has four alignment v-grooves loaded with single mode fibers which were butt-coupled to the laser array. The laser array alignment pedestals (about 9 urn thick) were fabricated on the surface of a silicon wafer by isotropic reactive ion etching (RIE). The laser array has a notch to mate with the side alignment pedestal to monitor the laser array position in the Y direction. Two pedestals on the front of the laser array were used to monitor the array’s X direction. Below the laser array, there are two polyimide standoffs (also formed by RIE) about 5 urn in thickness located near the array’s two edges. They are used to fix the height of the laser array’s emitting surfaces so that the solder reflow bonding process will not disturb the optimum position of the laser array relative to the fiber core center. The position of the core center of the fiber is determined by the v-groove opening width and the total diameter of the fiber. The two laser array elements near the two edges are blocked by the front pedestals; only four elements are bonded in this module. The spacing of the laser array is 350 pm. The lasers had a threshold current of about 22 f 1 mA across the array. At 50 mA bias, the coupling efficiency varied between 3.5 to 6.0% across the laser array. Some diodes have a coupling efficiency as high as 7%. The average results are comparable to butt-
604
Compound
Semiconductors
coupling by active alignment. Figure 46b shows a photomicrograph flip-chip bonded four-channellaser array.
of the
(b) Figure
46.
(a) Schematic
of a laser array to single mode fiber array alignment
silicon wafer board.[341 (()1991IEEE.) array.[341 (()1991IEEE.)
(b) Photomicrograph
of the flip-chip
using a
bonded laser
Packaging 3.6
605
Array Issues
Introduction. In the previous sections, the basic array packaging techniques were discussed. As compared to single device packages, there are certain design and technical issues, which are not of concern for single element device packages, that require special attention. In the following, the technical issues related to array packaging are discussed. The technologies and design approaches that can address these concerns are presented. Uniformity and Yield. The major cause of nonuniformity in an array is due to nonuniformity of the optoelectronic device. For array production and manufacturing, nonuniformity reduces the yield of an array. With the maturity of MOCVD, VPE, and MBE growth technologies, very complicated laser, LED, and detector structures can be fabricated on a large III-V wafer with good uniformity. The advancement of in-situ monitoring techniques and improved understanding of the epitaxial growth thermodynamics provides high quality epi-wafers with uniformity better than 5%. The other technology area that can improve the uniformity of an array is the utilization of an automated wafer-level testing technique for IIIV optoelectronic devices in a way similar to the silicon integrated circuit testing process. By mapping the optoelectronic device wafers, the packaging engineer is provided with the information to screen out nonuniform arrays. Crosstalk. 7?zermaZCrosslafk. This is a special concern for LED and laser diode arrays. The thermal effect of a light-emitting element in an array is due to the heat dissipation from other elements in the array when they are turned on simultaneously. The typical drive current of a 1.3 pm surface-emitting LED is about 100 mA at 1.7 volt forward bias; the power dissipation of an array of 10 LEDs is about 1.7 watts. Thermal crosstalk changes the threshold and spectral characteristics of the laser array. For an LED array, thermal crosstalk reduces the output power and changes the spectral width and center wavelength of the individual elements in the array. Therefore, a good thermal heat sink scheme is needed for both LED and laser diode array package designs. Mounting the array in the junction-sidedown configuration and using AlN or diamond as a subcarrier are effective approaches to minimize thermal crosstalk. The other approach is to use a MESA structure for the LED’s array to improve the power efficiency, so that the drive current of the LED’s can be reduced. Using this approach, a LED array which requires 18 mA current drive for each element was reported.[351 A laser diode array, using a structure with a low threshold
606
Compound Semiconductors
current, reduces the effect of thermal crosstalk. Laser diodes with threshold current below 1 mA were reported.[361-[38JA laser array which can be directly driven by digital logic output would reduce the size and complexity of the laser array package, and therefore, would reduce the thermal crosstalk. A detailed experimental investigation of the thermal crosstalk of a 1.3 pm InGaAsP/InP distributed feedback laser array has been presented.[3gl These results confirm the importance of bonding to a laser array to high thermal conductivity material such as diamond for reducing the thermal crosstalk in the laser array. Electrical Crosstalk. This is a concern for detectors as well as LED and laser arrays. Electrical crosstalk is due to signal coupling from neighboring elements. This problem is being solved by both device design and package design. For the device design, the array structure should have trench and/or isolation grooves between adjacent elements. For the PIN detector array, devices fabricated on semi-insulating substrates have lower electrical crosstalk than devices fabricated on heavily doped n+ substrates, because the semi-insulating substrates have much lower parasitic capacitance between devices. A detail electrical crosstalk analysis is given in Ref. 40. For packaging, minimizing the length of the wire bonds and placing the high speed signal line between two ground pads will reduce the inductive coupling between adjacent lines. If the package contains hybrid electronic circuits, it is necessary to provide adequate spacing between signal lines for different frequencies. The length of the signal line should be minimized on the hybrid circuits. Careful circuit simulation and analysis are important for minimizing the electrical crosstalk. Opticaf Crosstalk. With proper spacing of device elements in the array, optical crosstalk is not a major problem for optoelectronic devices. For a detector array with element spacing about 500 pm, optical crosstalk below -40 dB can be easily achieved. For the LED and laser array packages, optical crosstalk usually occurs from reflection in the fiber and/ or waveguide in the package. Accurate alignment of the device and fiber arrays minimize optical crosstalk. In applications of optical backplanes or optical interconnects, a high density of embedded fibers or waveguides are used to interconnect printed-circuit boards (PCBs). In this case, careful calculation and modelling of the optical waveguide evanescence field coupling is important to assure that interchannel optical crosstalk does not affect the overall system performance.
Packaging
607
Hermeticity and Sealing. As compared to single device packaging technology, the sealing technique of the array packages has not been fully developed. For a single channel device, the fiber is metallized and sealed to the package body. Single channel fiber optic devices which do not use epoxy inside the package are now commercially available. For multielement devices, because the fiber pigtail is in a ribbon form, low outgassing epoxy or polyimide is generally used to hold the fiber array in a silicon v-groove subcarrier. As the epoxy technology improves, low outgassing and high temperature epoxies will be developed for different application standards. It will reduce the cost of making hermetically sealed array packages.
4.0
HIGH FREQUENCY GaAs DIGITAL AND MICROWAVE INTEGRATED CIRCUIT PACKAGES
4.1
High Frequency GaAs Digital Integrated Circuits Packages
GaAs digital circuits have important applications in high speed data and signal processing. Because of its superior radiation-hardness, GaAs is widely accepted for applications in military and space environments. The types of packages generally used for GaAs digital circuits are ceramic dualin-line packages (CERDIP), flat pack and leadless ceramic chip carriers (LCCC). CERDIP has a wider variation of parasitic capacitance between pins on the ends and the center of the package. It also has high interlead capacitance (> 1 pF) and lead series inductance (about 1 &I), therefore, it is not generally used for applications with 1 Gb/s or higher data rates. A small 16-pin flat pack typically has an interlead capacitance of about 0.5 pF and lead series inductance of about 0.3 nH. It is used in monolithic microwave integrated circuit and high data rate digital applications. A leadless ceramic chip carrier, which does not have any radial leads, allows higher packing density on the circuit board. An LCCC with a ceramic lid has low parasitic capacitance and inductance. A small 28-pad LCCC has an interlead shunt capacitance of about 0.5 pF and a lead series inductance of about 3 nH. It is used in many high speed emitter-coupled logic (ECL) and high packing density circuit board environments. More details on these GaAs digital IC packages and their interconnection technology were given by Gilbert.[411
608
Compound Semiconductors
4.2
Monolithic
Microwave Integrated Circuit Packaging
Monolithic microwave integrated circuits (MMICs) operating from 1 GHz to 30 GHz have been demonstrated.t421t431 Conventional ceramic packages will have limitations due to ring resonance caused by stray electromagnetic waves. A new metallized multilayer ceramic package has been developed to reduce this electromagnetic induction effect.rUl This package is shown in Fig. 47. The wall of the package is made of metallized multilayer ceramic. The inside and outside walls of the package are metallized and connected to the ground of the metal base. This type of package has been used for high-frequency applications up to 30 GHz. Metallized Inner Wall
Metallized Outer Wall
, Path L.ayei
Metal Base
Figure 47. A multi-layer ceramic package with metalized wa11.[44] (01990
IEEE.)
Conventional GaAs MMIC’s generally use wire bonding for packaging and interconnection. Wire bonds, however, introduce parasitic inductance which degrades the high frequency performance of the MMIC . A new bonding technique, using an impedance-matched film carrier technique, has been developed for the very high frequency GaAs MMIC packages. A diagram which demonstrates the film carrier MMIC packaging technique is shown in Fig. 48. The carrier consists of a polyimide film onto which a conductive pattern has been plated. A coplanar waveguide pattern is formed by having the middle signal leads located between two ground leads, as shown in Fig. 49.1451Gold bumps are formed at the distal end ofthe inner and outer lead. After the coplanar film carrier with the MMIC chip is
Packaging
609
mounted into the package, the outer leads with bumps and the terminal pads of the package are connected. The high frequency characteristic of this type of package is very close to the bare-chip MMIC. The coplanar film carrier is an important technology for fbture MMIC packages. Gold Bump
Figure 48. A film carrier MMIC
package.[44] (01990
IEEE.)
Figure 49. A coplanar G-S-G leads of a film carrier MMIC
package.[451 (01991
IEEE.)
610
Compound Semiconductors
5.0
CONCLUSIONS
In the previous sections, the fundamental principles and some recent development in the areas of III-V optoelectronic device and high frequency GaAs integrated circuit packaging have been reviewed. It is hoped that this information will provide a device researcher with ideas on how to build a prototype GaAs electronic and/or III-V optoelectronic device package. A package designer who has no prior experience in III-V devices will gain Common to all some insight into the technical aspects of this area. packaging designs, the three major concerns for all electronic and optoelectronic device packaging are form, fit and function. While III-V device packaging is still at its early stage of development, many standards are forthcoming. A person who designs a III-V electronic or optoelectronic package should first anticipate the probable packaging standards and the requirements for commercial or military applications. Secondly, what environment will the package experience? On what circuit boards will it be mounted? Is the height and footprint of the package appropriate for the environment? Finally, what is the function of the package? The important functions of an electronic or optoelectronic package are to provide (il a mechanical support for the electronic circuits, the optoelectronic device, the fibers, the microlenses, and other components; (ii) environmental protection for the devices; (iii) proper pin count and impedance-matching for electrical interconnection; (iv) a stable enclosure to maintain reliable alignment of all optical components; and (vj an efficient path for heat transfer from the devices inside to the ambient outside the package. III-V electronic and optoelectronic packages should be designed with these important considerations.
REFERENCES 1. Hayes, J. R., Tech. Digest, OFC 92, p. 233 2. Marlow Industries, Model SP5007 Thermoelectric Cooler 3. StakeIon, T., Optical Device Packaging, Short Course Notes, OFC (Feb. 1992) 4. Presby, M. H. and Edwards, C., Elect. Lett., 28(6):582-584 (Mar 1992) 5. Perryman, A. J., Regan, J. D. and Elliot, R. T., SPIE Proceedings, Laser Diode Technology andApplications, pp. 330-337 (Jan 1898)
Packaging
611
6. Renner, D., Cheng, W. H., Pooladdej, J., Appelbaum, A., Hess, K. L. and Zehr, S. W., SPIE Proceedings, Laser Diode Technology andApplications, pp. 300-306 (Jan 1989) 7. Baker, E. and Kessler, T. J., IEEE Transactions Packaging,
PHP-9(4):243-246
on Parts, Hybrids
and
(1973)
8. Figueroa, L., Hong, C. S., Miller, G. E., Porter, C. R., and Smith, D. K., SPIE Proceedings, Laser Diode Technology and Application III, pp. 153-
161 (Jan 1991) 9. Saul, R. H., IEEE Transaction 1983)
on Electron
10. Welsh, F. S., AT7T Technical Journal,
Devices,
30:285-295
(Apr
66:65-72 (Jan/Feb 1987)
11. Ackenhusen, J. G., Applied Optics, 18(21):3694-3699
(Nov 1, 1979)
12. Scholl, F. W., Anderson, S. J. and Coden, M. H., High Power Light Emitting Diode for Fiber Optic Communication, Technology Corporation (1986)
Publication
of Codenoll
13. Reith. L. A., Mann, J. W., Andreadakis, N., Lalk, G. R. and Zah, C. E., IEEE 40th ECTC, pp. 193-199 (May 1990)
14. Boudreau, R., Morrison, R., Sargent, R., Holmstrom, R., Powazinik, W., Meland, E., Wilmot, E., and LaCourse, J., IEEE 41st ECTC, pp. 30-33 (May 1991) 15. Dixon, R. W. and Dutta, N. K., AT&T Technical Journal, pp. 73-87 (Jan/ Feb 1987) 16. Forrest, S. R., et al., IEEE Elect. Dev. Letters, 2(11):283-285 17. Miller, L. F., IBMJ.
Res. Develop.,
(Nov 1981)
pp. 239-250 (1969)
18. Wada, O., Makiuchi, M., Hamaguchi, H., Kumai, T., and Mikawa, T., IEEEJ. oflightwave Tech., 9(9):1200-1207 (Sept. 1991) 19. Moseley, A. J., Kearley, M. Q., Morris, R. C., Urquhart, J., Goodwin, M. J., and Harris, G., Elect. Lett., 27:1566-1567 (Aug 15, 1991) 20. Patra, S. K. and Lee, Y. C., ASME J. of Elect. Packaging
(1991)
21. Patra, S. K. and Lee, Y. C., IEEE 41 st ECTC, pp. 783-788 (May 1991)
22 Rogers, D. L.,J. oflightwave
Tech., 9(12):1635-1638 (Dee 1991)
23. Burroughs, J. H. and Hargis, M., IEEEPhotonic (Jun 1991)
Tech. Lett., 3(6):532-534
24. Choudhury, A. N. M., et al., IEEE LEOS Proceedings, 1990)
pp. 33-334 (Nov
25. Kim, J. H., Griem, T. H., Friedman, R. A., Chan. E. Y., and Ray, S., Photonics Technology Letters, 4(11): 1241-1244 (Nov 1992) 26. Ota, Y., et al., IEEEJ.
of Lightwave
Tech., 5(S): 1118-l 122 (Aug 1987)
27. Itoh, M., et al., IEEE list ECTC, pp. 475-478 (May 1991)
612 Compound Semiconductors 28. Brown, M.G., et al., IEDM Technical Digest, pp. 727-728
(1984)
29. Chan, E. Y., Tanielian, M., Popoff, A., Self, D., Fu, R, Burnett, A., and Hong, C. S., IEEE LEOS Proceedings, pp. 335-336 (NOV 1990) 30. Karstensen, H., Schneider, H. W., and Wieland, J., IEEE 42nd ECTC, pp. 83-87 (May 1992) 3 1. Cohen, M. S., et al., IEEE 42nd ECTC, pp. 98-107 (May 1992) 32. Lockwood, H. F., Hybrid Wafer Scale Gptoelectronic Integration, OPTCON/ SPJE, (Nov. 1990) 33. Geschwind, G. and Clay, R, Pro. ofASMInternationa1 Processing Congress, pp. 65-77 (Aug 1990) 34. Armiento, C. A., et al., Elect. Lett., 27(12):1109-l 35 Kaede, K., et al., IEEEJ. ofLightwave
‘s3rdMaterial
and
111 (June 1991)
Tech., 8(6):883-888
(June 1990)
36. Osinski, J. S., et al., IEEE Photonic Technology Letters, 4(12): 13 13-13 15 (Dec. 1992) 37. Thijs, P. J. A., et al., Microelectronics
Engineering,
18( l-2):57-74
(May
1992) 38. Derry, P. L., et al., Optoelectronics-Device
and Technologies,
3(2): 117-
30 @ec 1988) 39. Sato, K. and Makoto, M., IEEE Photonics Tech. Letters, 3(6):501-503 (June 199 1) 40. Kaplan, D. R. and Forrest, S. R., IEEEJ. ofLightwave 1469 (Ckt 1986) 41. Gilbert, B. K., Book Chapter in VLSI Electronics, Press (1985) 42. Sheng, N. H., et al., IEEEMicrowave 10 (Aug 1991)
Tech., 4(10):1460-
11:289-33 1, Academic
and Guided Wave Letters, 1(8):208-
43. Kato, H., et al., IEEE Transactions on Microwave 38(7):896-903 (July 1990)
Theory and Technique,
44. Ohsaki, T., IEEE 40th ECTC, pp.l-8 (May 1990) 45. Hideki, T., et al., IEEE 41st ECTC, pp. 479-482 (May 1991)
12 Chemical, Structural and Electronic Characterization of Compound Semiconductor Surfaces and Interfaces by X-ray Photoelectron Spectroscopy and Diffraction Techniques ScottA. Chambers
1.0
INTRODUCTION
1.1
Overview of the Topic
Surfaces of compound semiconductors exhibit a variety of chemical, structural, and electronic properties when freshly prepared and atomically clean, when exposed to air, and when put in intimate contact with other materials during device fabrication. A detailed characterization and understanding of these properties is requisite to our ability to fully utilize these materials in device and circuit applications. Possessing this kind of
613
614
Compound Semiconductors
knowledge enables the device technologist to design and fabricate device structures that are more fully optimized than would be possible in the absence of such insight. For instance, oxide formation on compound semiconductor surfaces exposed to air can create problems during subsequent metallization. Knowing what specific oxides are present on the surface and how those oxides react with atoms in the metallizing layer is of obvious benefit in characterizing the interface and understanding its behavior. Furthermore, such knowledge can be invaluable when devising engineering “matrices” oriented at process optimization. Both the dimensionality of the matrix and the detailed experiments that compose its elements can be more intelligently configured when insight into the materials properties of the relevant surfaces and interfaces is at hand. X-ray photoelectron spectroscopy (XPS) and the more recently developed derivative, x-ray photoelectron diffraction (XPD), have proven to be very useful in generating such knowledge. Both techniques are inherently surface sensitive as a result of the short escape length of electrons emitted from solid specimens (a few to a few tens of angstroms, depending on the electron kinetic energy and the material composition of the surface). Furthermore, both are readily utilized as either routine surface analytical tools for examining surfaces generated in materials growth and device processing, or as fundamental research tools oriented toward uncovering detailed understanding of systems prepared in carefully controlled environments. We include examples of both kinds of use in this chapter.
2.0
X-RAY PHOTOELECTRON DIFFRACTION
SPECTROSCOPY
2.1
The Essential Experiments and Interpretations
AND
XPS and XPD both involve absorption of soft x-rays of some energy hv by atoms in the near-surface region of a specimen under study. Photoelectrons with kinetic energies dictated by the Einstein relation (hv = Et, + E,, where E, and & are the photoelectron binding energy and kinetic energy, respectively) are ejected by the atom, propagate to the surface, and pass through the surface to the vacuum. ~1 Energy analysis is typically done with a hemispherical sector electrostatic analyzer to yield an energy distribution curve (EDC), consisting of a plot of the number of electrons emitted, N(E,J as a function of kinetic energy, as shown in Fig. 1. Here, we show Ga
Charactefization
of Sujhces
and Interfaces
615
3d EDCs measured in angle-resolved fashion for GaAs(OOl)-(2 x 4). The spectra were measured using an angle-resolving analyzer every two degrees over a full 360” azimuthal rotation at a polar angle of 35” off the surface plane. Variations in peak intensity with angle are clearly visible and are the result of elastic scattering by nearby ion cores and subsequent interference at the detector of outgoing photoelectrons.[2J-[41This phenomenon and its utility for determining surface and thin-f&n structures are discussed in more detail below.
1GqAs(OOl)-(2x4)
1
8 = 350
Ga 3d
= 360°
Iq(Ek)
0 = 00 Ek
[iii]
b ila
i
?E =
azimuthal angle, Q (degrees)
Figure
1. Crystal structure (top leff;), photoelectron energy distributions (top right), and
photoelectron angular distributions (bottom) for Ga 3d emission from GaAs(OO1)-(2x4).
616
Compound Semiconductors
We have chosen in Fig. 1 to plot angle-resolved spectra in order to demonstrate the intensity modulations that constitute photoelectron diffraction in single crystals. However, in most XPS applications, the cone of acceptance into the spectrometer lens is made as large as possible in order to obtain maximum sensitivity. For core-level photoemission obtained in either mode, E,.,and therefore E, are sensitive functions of three properties of the emitting atom: (i) the valence charge distribution surrounding the atom, which is determined by the electronegativities of the other atoms to which the emitter is bound; (ii) the extent of charge relaxation toward the core hole, which is linked to the polarizability of the media surrounding the emitting atom; and, (iii) charge accumulation in forbidden gap states that form at the surface or interface of the semiconductor, i.e., band bending.i51 Careful analysis of core- and valence-level spectra enable considerable information about these three properties to be gained. The outgoing photoelectron undergoes both elastic and inelastic scattering in the process of propagating from the emitter to the surface. Inelastic scattering leads to spectral peak attenuation that is largely independent of emission direction, even in single crystal specimens.[3) This result is due to the fact that the primary loss mechanism is plasmon excitation, and plasmons are largely delocalized in solids. Elastic scattering occurs as the photoelectron wave interacts with ion cores in the vicinity of the emitter. These scattering events lead to measurable interference at the detector point ifthe specimen is a single crystal. The interference can be observed in one of three modes: (i) scanned-angle intensity measurements at fixed photon (and, therefore, photoelectron) energy, (ii) scanned-energy intensity measurements at fixed detection angle, and, (iii) combined scannedangle/scanned-energy intensity measurements.t6) All three modes require that the acceptance cone of the analyzer be limited by either electrostatic or mechanical means, so that a single, well-defined emission direction relative to the crystal axes of the specimen is detected. Modes (ii) and (iii) require a synchrotron radiation source so the photon energy can be swept over the desired range. We limit our discussion in the present work to mode (i). The reader is referred elsewhere for detailed discussions of modes (ii) and (jii).171-[101
With regard to angle-integrated photoemission, XPS and the closelyrelated technique of synchrotron radiation photoemission spectroscopy (SRPS) have been extensively used to study surface and interface phenomena.[lll Each has particular advantages and disadvantages. One can utilize a very high degree of monochromatization in SRPS due to the higher photon
Characterization
of Surfaces and Interfaces
617
fluxes afforded by synchrotron sources, compared to most conventional lab x-ray sources. Therefore, the total energy resolution that can be realized is typically higher in SRPS than in XPS, allowing the experimenter to attain superior peak separation between closely-spaced photoelectron peaks. Typically, a total resolution (photons plus analyzer) of -0.2 eV can be attained with synchrotron radiation, whereas -0.5 eV can be achieved with most monochromatic AlKa radiation sources. An exception to this statement is one particular spectrometer system which utilizes a rotating anode source and several monochromator ~rystals.1~~1This system produces an energy resolution comparable to that available from synchrotron sources. One is limited in SRPS to photon energies of, at most, several hundred eV in order to obtain energy resolution of -0.2 eV, at least with current-generation synchrotron radiation facilities. Photon energies in this range result in photoelectron kinetic energies of -50-200 eV, for which the attenuation lengths are of the order of a few to several angstroms.l13l Therefore, it is, in general, not possible to examine interface formation for overlayer thicknesses that are in excess of several angstroms. In contrast, XPS, which typically utilizes MgKa or AlKa radiation at 1256 eV and 1487 eV, respectively, produces shallow core-level photoelectrons of kinetic energies up to approximately the energy of the excitation source. The attenuation lengths of such electrons are typically 20 to 30 A,[131allowing buried interfaces with overlayer thicknesses of up to -50 A to be studied by utilizing a normal emission geometry. However, the spectral resolution attainable with XPS is typically less than that available with synchrotron radiation, as discussed above. Finally, the use of synchrotron radiation introduces certain inconveniences and time pressures that do not exist when working in one’s home laboratory with a conventional x-ray source. We now cover some rudimentary theoretical considerations of photoelectron intensities as measured in XPS and XPD experiment. This treatment is designed to provide a basic conceptual understanding of the processes involved in XPS and XPD; the reader is referred to more detailed reviews for additional information.l*l-I51 A particularly straightforward way of viewing XPS and XPD involves the three-step model ofphotoemission, which was alluded to above.l’J In this model, the overall process is thought of in terms of (i) photoelectron emission by an isolated atom in a solid, (ii) propagation of the resulting photoelectron wave through the solid toward the surface, and (iii) passage across the potential step at the solid-vacuum interface.
618
Compound Semiconductors
Considering the first step in this process, the probability of core-level photoemission from an isolated atom is governed by the photoelectric cross section. Angle-integrated intensities depend on the total photoelectric cross section, CT,whereas angle-resolved intensities depend on the differential photoelectric expression:
cross section, da/a.
The two are related simply by the
Eq. (1) In most general terms, the differential photoelectron in the semiclassical description of electromagnetic with an N-electron system by:l141
cross section is given radiation interacting
Eq. (2) Here, Y’(N) and Yf(N) are the total electronic wave functions for the initial and final states of the emitting atom, respectively, A(rJ the vector potential associated with the incident electromagnetic wave, pi is the momentum operator for the ith electron in the atom, and C is a constant of proportionality that includes incident x-ray flux, instrument response function, and fundamental constants. The interaction Hamiltonian in Eq. 2 reduces to a simple dipole operator due to the fact that the wavelength of the x-ray is typically much larger than the dimensions of the absorbing atom, although this approximation begins to break down as one goes above x-ray energies of - 1.5 keV (the AlKa x-ray energy). Therefore, a dipole selection rule is operative. For instance, photoemission from an s orbital leads to an outgoingp wave, whereas photoemission from ap orbital leads to interfering s and d waves. In case of core-level photoemission, the total wave functions for the initial and final states of the N-electron system can be written as Y’(N) = &$(l),\y’(N-1)) and ‘I”(N) = A^($f(l),‘yf(N-l)) which involve antisymmeterization of one-electron wave functions representing a single active (i.e., photoemitting) and N-l passive orbitals of the N-electron system. The wave function for the outgoing photoelectron, +f( 1), is well represented by an outgoing wave of well-defined angular
Characterization
of Sutiaces
and Interfaces
619
momentum which is, in turn, determined by the initial state of the active orbital through the dipole selection rule. Inserting Eqs. (3a) and (3b) into Eq. 2 yields.[151
WW Eq. (4)
dR
Here we have separated the integral over spatial coordinates into two factors composed of a dipole matrix element that involves the active orbitals and an overlap integral of the passive orbitals. In the limit of no relaxation toward the core hole, the initial and final state passive orbitals are identical, and the first factor in the absolute square integrates to unity (the so-calledfrozen-orbital approximation) In the event that extra-atomic relaxation occurs, this overlap integral is less than unity, and additional terms involving different configurations of the passive electrons must be added inside the absolute square to account for the total transition probability. Such terms give rise to additional features in the spectrum at lower kinetic energy relative to the primary photoelectron peak known as shakeup peaksI This additional structure is seen, for instance, in spectra of organic polymers, and involves final-state configurations in which II electrons associated with aromatic rings are excited to K* orbitals upon photoemission from a core orbital. Once the photoelectron is excited at a particular ion core, propagation to the surface (the second step) is accompanied by elastic scattering that modulates the intensity from single-crystal specimens as the crystal is rotated relative to an angle-resolving analyzer (see Fig. 1).[21-[41[61The intensity for a given emission direction relative to the crystal axes of the specimen is the superposition ofthe unscattered photoelectron wave portion and all scattered wave portions, evaluated at the detector point. Quantitatively, this superposition is expressed a.s~:t~J-[~l
The first term, t+tJr,k), represents the unscattered wave portion along emission direction k toward the detector a distance r away. At r + 00, the unscattered wave function is well represented by its asymptotic form:
620
Compound Semiconductors
Physically, this term represents an outgoing spherical wave centered on the emitting atom that is modulated by the differential photoelectric cross section. The single sum in Eq. 5 denotes all single elastic scattering events and the double sum denotes double scattering events, the sums being over ion cores in the vicinity of the emitter, in each case. Triple, quadruple, and other multiple sums representing higher-order scattering are not included, but are straightforward to write down. The scattered wave functions, Ulj(rjA$) and Yji(rj,riAej,eg, are sensitive to the distance and spatial orientation of secondary ion cores, relative to the emitter. They are given in the asymptotic limit as exp(i[klr - r,l + r(ej,]) Eq. (7)
Wj(r$r ,ej) - w,Jr.k)lf(e$
Ir - rj
These terms represent outgoing spherical waves centered on thejth and Ith ion cores, respectively, each modulated by the amplitude of a complex atomic scattering factor (]f(0)]) associated with the atomic species at the scattering site. The term {r(e)} represents the phase shifts associated with the various scattering events, and {e} are the scattering angles. Each scattered wave function is modulated by the amplitude of the incident wave at that particular scattering site. In the case of Eq. 7, the incident wave is the primary (unscattered) photoelectron wave portion evaluated at the jth atom. In the case of Eq. 8, the incident wave is the scattered wave amplitude originating at the jth atom, as evaluated at the Ith site in the crystal. The scattering amplitudes, {]f(e)]}, typically fall off rapidly with scattering angle, 8, for kinetic energies of several hundred eV or greater.121-[41In addition, the scattering phase shifts, {r(0)}, tend to be small for small scattering angles in this energy range. Plots of these two quantities are shown in Fig. 2 for plane-wave electrons incident on a single
Characterization
of Surfaces and Interfaces
621
Ge atom at a variety of energies. The behavior of the scattering amplitude and phase at small scattering angles leads to strong constructive interference along chains of atoms, independent of both electron kinetic energy and emitter-to-scatterer distance. Thus, strong intensity maxima, which have come to be known as zeroth-order forward-scattering or forward-focussing peaks characterize intermediate- to high-energy XPD along low-index directions in solids.~2~-[4~[61~161 Th e positions of these peaks are a very sensitive function of bond orientation in a solid specimen, and can be used to detect tetragonal distortion in strained overlayers.131 They are, however, rather insensitive to electron kinetic energy and bond distance. In addition to these forward-scattering peaks, higher-order interference phenomena occur away from low-index directions. This diffraction modulation occurs as a result of the overlap of scattered wave portions that originate at several ion cores. In contrast to zeroth-order forward scattering peaks, these angular intensity variations are sensitive to both the emitter-to-scatter distance and the electron kinetic energy.
-
1440 eV
----1000eV
,.
-
500 eV
-
130 eV
-1440eV
6.
----1000eV -
500 eV
120
Angle
Figure 2. electrons
Atomic
scattering
at various
kinetic
scattering angle.
amplitudes energies
(From Ref 3.)
180
(degrees)
(top) and phase shifts incident
on a single
(bottom) for plane wave Ge atom as a function of
622
Compound Semiconductors
The various structures seen in a typical XPD scan are shown at the bottom of Fig. 1. Here, we plot peak areas of Ga 3d photoelectron spectra after linear background subtraction for the set of EDCs shown in the upper right of Fig. 1. The intensities are actually plotted as an intensity variation The relative to the maximum value, normalized to the maximum value. scan encompasses the full 360” azimuthal range at a polar angle of 35” off the surface plane. Included in the scan are the two close-packed, low-index directions involving pairs of atoms, one Ga and one As, separated by 2.45 A along (111) at 90” and 270°, respectively. The Ga atom is one layer below the As atom in each case, and each pair of atoms is separated by 9.79 A. Therefore, strong zeroth-order forward scattering of Ga 3d photoelectrons by As ion cores is expected and observed at these angular coordinates. In addition, considerably more structure is seen at other angles, corresponding to higher-order interference phenomena. These two kinds of intensity modulation are mutually complementary, and are very useful for extracting quantitative structural information about the near-surface region. Accurate measurement of the photoelectron intensity variation with k yields valuable information about the structural environment of the emitting atom. This information can be extracted from the experimental data in one of two ways. The first and most reliable method is a trial-and-error comparison with model quantum-mechanical scattering calculations in which the structural parameters are varied and optimal agreement between theory and experiment is sought. This method, while somewhat tedious, yields quantitatively accurate structural information.t21-~41 The second and much less established method is direct Fourier inversion of the experimental diffraction patterns to yield real-space images of atoms surrounding the emitter.12]-[4] This technique is hampered by anisotropies in both the amplitudes and phases associated with electron-atom scattering, by the occurrence of multiple scattering, and by the existence of multiple emission sites in complex specimens. These complicating effects add distortions and artifacts to the resulting real space images. However, considerable effort is currently being expended to overcome these difficulties. While XPD experiments have been successfully carried out and interpreted for the full range of photoelectron kinetic energies accessible with current soft x-ray sources, there are certain advantages associated with utilizing kinetic energies in excess of several hundred eV. The primary advantage is that multiple scattering can generally be neglected for all emission directions, except those coinciding with low-index directions.[21-t4J This simplification occurs because the scattering amplitudes are small for
Characterization
of Surfaces and Interfaces
623
large scattering angles. Therefore, multiple scattering events which, to first order, go as the product of atomic scattering factors for the individual scattering events, are of negligible probability for large-angle scattering. In contrast, scattering along a chain of atoms involves only small-angle scattering events. The larger scattering amplitudes that occur along lowindex directions at high kinetic energies result in larger probabilities of multiple scattering along these directions. The net effect of multiple scattering along these chains is a reduction in forward-scattering intensity, relative to what is predicted if multiple scattering is neglected. The extent to which multiple scattering is important increases with decreasing kinetic energy and it is, in general, not possible to identify a universal cutoff energy above which multiple scattering can be neglected for all systems. However, it appears that for most systems a conservative estimate is -1000 eV. In the single scattering approximation, the multiple sums in Eq. 5 can be neglected, yielding a relatively simple expression that can be readily evaluated for a range of geometries with modest computing power. Neglect of multiple scattering along low-index directions results only in an overestimation of forward-scattering peak intensities; the associated peak positions are, in general, not affected by this approximation.l17l
3.0
CHEMISTRY FORMATION
OF SURFACE
AND INTERFACE
3.1
Oxide Formation and Removal from GaAs(001)
XPS has proven to be an invaluable tool for studying the oxidation of GaAs and other III-V semiconductors, as well as for determining the effectiveness of various oxide-removal procedures.1181-1221 The presence and identity of surface oxides of Ga and As are readily determined by taking advantage of the chemical shifts induced in Ga and As core-level spectra by the formation of such oxides. The larger electronegativity of 0 compared to Ga or As causes the Ga and As core-level binding energies to increase upon oxide bond formation, relative to values exhibited by pure GaAs. This increase occurs by virtue of the increased effective nuclear charge experienced by core-level electrons as a result of valence-charge withdrawal toward 0 atoms. Chemically-shifted peaks are observed to higher binding energy by an amount ranging from a few tenths to several eV, depending on the oxidation state of the Ga or As atoms. These shifted peaks are easily
624 Compound Semiconductors measured with either nonmonochromatic MgKu x-rays (1254 eV) or monochromatic AlKu x-rays (1487 ev). We show in Fig. 3 Ga and As 3d core-level spectra excited with monochromatic AlKa radiation for atomically clean and ordered GaAs( 1lo), cleaved in ultrahigh vacuum (top two spectra), along with spectra from GaAs(OO1) with a surface oxide layer (bottom four spectra), taken from Ref. 22. The oxide layer was prepared by exposure to ozone at a substrate temperature of -60°C. The spectra for the oxidized surface were obtained at two polar angles relative to the surface plane: 0 = 66’ and 8 = 26”. The probing depth is approximately given by 3ksin0, where h is the attenuation length and 9 is the polar angle of detection. Therefore, the surface sensitivity of the spectra increases as the polar angle is reduced. Starting with the clean-surface spectra, the raw EDCs are readily fit to doublets that result from atomic spin-orbit splitting. The line-shape parameters extracted from these spectra are then used to fit the more complex spectra that result from oxide formation on the surface. Several groups have characterized oxide formation on GaAs, and we present in Table 1 the chemical shifts generally believed to accompany the formation of the oxides listed in the table. While the overall energy resolution is not sufficient to produce an incontrovertible peak fit, it appears on the basis of these assignments that exposure of the surface to ozone results in the formation of G+O, GaO, G+O,, GaAsO,, As,O,, and GaAsO,. Comparison of the spectra obtained at different polar angles allows us to qualitatively determine the distribution of the different oxides in the near-surface region. Looking first at the As 3d spectra, it is clear that the relative amounts of the three oxides of As change as surface-sensitivity is enhanced. The peaks ascribed to GaAsO, (the highest binding energy doublet) account for a larger fraction of the total oxide peak intensity at 8 = 26” than at 0 = 66’, indicating enhancement of this species at the surface. This result is consistent with the expectation that the more fully oxidized species should form closer to the surface. In addition, the peaks assigned to GaO cannot be extracted from the fit at 8 = 66”, but are clearly present at 8 = 26’, indicating that this species is more surface segregated than the other oxides of Ga. In addition to this qualitative approach, it is possible to quantitatively model the intensity variations of the various oxides with polar angle in order to extract the distribution of each species in the nearsurface region. Very high energy resolution is required so that the spectrum at each angle can be unambiguously fit, and the angular variation of the intensity of each component accurately determined.
of Surfaces and Interfaces
625
Ar 3d
Ga Id
i/:,
Energy WI
22
19
46
44
42
Figure 3. Core-level photoemission measured from cleaved, clean GaAs(ll0) (lop two specfra), and from GaAs(001) with a thin native oxide collected at two polar angles (bottom four spectra). (From ReJ 22.)
Table 1. Ga and As 3d Binding Energies for Common Oxides on GaAs
Surfaces Oxide Ga 3d chemical shift (eV) Ga20 0.4 GaO 0.9 Ga20, 1.5 *s,0, GaAsO, GaAsO, 2.0
As 3d chemical shift* (ev) 3.2 2.5 4.5
*Relative to GaAs, based on numbers reported in Refs. 18-22.
626 Compound Semiconductors The removal of oxides from the surface of GaAs by wet-chemical means is also readily verified by XPS. We show in Fig. 4 Ga and As 3d spectra for: (i) GaAs with a thin native oxide formed by exposure of the surface to laboratory air, (ii) the same surface after etching in a mixture of H,PO, and H202 and, (iii) the surface etched in H,PO, and H202 after a dip in an HCl solution. These spectra, taken from Ref. 18, were obtained with nonmonochromatic MgKa radiation. Therefore, the energy resolution is not as good as that obtained in the spectra shown in Fig. 3. However, it is clear that, while etching in H3P0, and H202 produces little change in the amount of oxide present, subsequent exposure to an HCl solution significantly reduces the total amount of oxide present. A small 0 1s peak (not shown) remains after exposure to HCl.
As 3d
(b)
BNDWG ENERGY (eV)
Figure 4. Core-level spectra for GaAs(OO1) with a thin native oxide (I), and after various wet-chemical treatments, taken from Ref. 18. See text for details of the chemical etches used.
Characterization 3.2
of Surfaces and Interfaces
627
Metal/III-V Compound Semiconductor Interface Formation
Both XPS and SRPS have been used extensively to investigate the chemistry of metal/semiconductor interface formation. In what follows, we limit our discussion to studies carried out with XPS. The reader is referred to another excellent review that discusses the application of SRPS to the formation of such interfaces.llrl Metal/semiconductor interfaces tend to exhibit some level of chemical reactivity or physical dissolution for the vast majority of couples that have been investigated. As a result, either stoichiometrically well-defined phases or solid solutions of semiconductor atoms in a metal matrix form at the interface. Chemical thermodynamics provides the driving force in some cases. Here, isolated metal atoms incident on the surface, break existing bonds, and form new compounds with the liberated semiconductor atoms so that the total free energy of the system is minimized. In other cases, energy transfer associated with condensation of metal atoms on the semiconductor surface results in substrate disruption, and freed semiconductor atoms dissolve in the metal overlayer to form a solid solution.l”l In either case, semiconductor atoms in the new bonding environment are expected to exhibit core-level binding energies different from those measured in the substrate. The magnitude of the binding energy difference depends primarily on differences in electronegativity between the semiconductor atoms and the metal atoms. In general, the chemical shifts are not as large as those observed in oxide formation. Therefore, high energy resolution is essential in order to accurately determine the binding energy shifts resulting from interface reaction or dissolution. As a first example of the kinds of information that can be gained from these studies, we discuss the growth and characterization by XPS of the epitaxial transition metal aluminide NiAl on GaAs(OO1). A very fruitful approach to the study of interface formation has been to evaporate the metal of interest in small increments, and then to use high-energy-resolution XPS (or SRPS) to monitor changes in core-level spectra that reveal atomic rearrangements at the interface. We show in Fig. 5 Ga 3d core-level spectra obtained at normal emission as a function of NiAl coverage in monolayers, taken from Ref. 23. We define a monolayer of NiAl as a single layer of either Ni or Al atoms with a density equal to twice the surface atom density of GaAs(OO1). NiAl forms a very stable CsCl phase with a lattice constant close to half that of GaAs. Inspection of the spectra reveals that reaction of the substrate with atoms in the metal deposit occurs starting at coverages of
628
Compound Semiconductors
-1.5 ML. A second spin-orbit pair at lower binding energy becomes necessary to obtain a good curve fit to the raw Ga 3d EDC. It is not presently possible to uniquely determine the identity of the interface reaction products from the core-level spectra, primarily because of the lack of complete reference spectra for the various compounds that could form. However, it is possible to determine something about the quantity and distribution of the reacted substrate species, by examining the dependence of the various peak intensities on overlayer coverage.
NiAllp-GaAs(001) Ga 3d - normal
emission
t 21
19
17
21
19
I
!
17
BINDING ENERGY (eV)
Figure 5. Core-level spectra from the Niil/pGaAs(OOl) overlayer thickness in monolayers, taken from Ref. 23.
interface as a function of Nii
Charactetization of Surfaces and Interfaces
629
We show, in the top panel of Fig. 6, the total Ga and As 3d reduced intensities, measured at lower energy resolution than the spectra shown in Fig. 5, plotted against overlayer coverage.lzl The reduced intensity is defined as ln[I(d)/I(O)], where I(0) and I(d) are the core-level intensities with 0 and d angstroms of overlayer material, respectively. Linear behavior is expected for laminar growth of the overlayer and no outdifision of substrate atoms. The positive deviation from linearity in the As 3d reduced intensity indicates that As atoms liberated from the substrate di&se into and perhaps to the surface of the overlayer. In contrast, liberated Ga atoms remain trapped at the interface, as evidenced by the fact that the Ga 3d reduced intensity is linear with coverage. This fact can be used to determine the number of layers of substrate consumed in reaction at the interface, by means of a simple model. The ratio of reacted to substrate Ga 3d intensity as a function of coverage can be modeled using a simple continuum model of the overlayer in which diffraction effects are ignored. Neglect of diffraction effects is warranted because these spectra were measured in an angle-integrated mode, and the diffraction modulation present in the intensity map is averaged out. In a continuum model, we limit the treatment of electron scattering to inelastic attenuation in the overlayer. The ratio of reacted to substrate Ga 3d intensity can be written as!231
c o c ”
ImhO> Eq. (9)
Iwbhe>
exp(-iclAsin0)
=
is)
exp[-(n + i)d/hsin0]
i=O
Here, n is the number of bilayers of GaAs consumed in the reaction, d is the GaAs(OO1) interplanar spacing, and h is the attenuation length. In the bottom panel of Fig. 6 we show values of n extracted by fitting Eq. 9 to the experimentally measured intensity ratios. The number of reacted layers monotonically increases to -2.5 by a coverage of 10 ML. As a second example, we show in Fig. 7 Ga and As 3d core-level spectra for thin overlayers of Ni, Al and NiAl on GaSe,As,,(OO l), where x s0.75.1241 The purpose of this example is to illustrate how the extent of interfacial reaction can be modified by varying the composition of the metal flux. Deposition of Al induces cation exchange with Ga, as evidenced by the appearance of a new spin-orbit doublet -1 eV, to lower binding energy from the GaSe,As,.,(OOl) doublet. These peaks are associated with Ga
630
Compound Semiconductors
metal, and the intensity, relative to that associated with substrate emission, is consistent with the liberation of -2 ML of Ga, according to Eq. 9. There is, however, no evident disruption of the As sublattice. In contrast, Ni metal reacts with GaAs much more vigorously, as evidenced by the third set of spectra shown in the figure. Although only 4 ML of Ni were evaporated, the ratio of reacted to substrate Ga is much larger than in the case of Al, indicating a greater extent of reaction. In addition, disruption of the As sublattice is evident, based on the additional spin-orbit pairs in the As 3d spectrum. Interestingly, coevaporation of Ni and Al results in significantly less reaction with the substrate than occurs when Ni is evaporated alone. The lower extent of reactivity with the substrate is a result of the high degree of reactivity of Ni with Al. The heat of formation of NiAl is -14 KcaVmol, indicating the strong thermodynamic tendency for the intermetallit phase to form. l”l Therefore, reaction of Ni and Al with each other on the surface presents an alternative pathway to reaction of Ni with the substrate. NiAl OVERLAYER
THICKNESS
(A)
30
25
20
15
10
5
NiAllp-GaAs
(0011
-2.5 -
B
0
1.0 GaAs substrate
8 0.5 B 2
0
1 0
2
4
6
8
NiAl OVERLAYER
10
12
COVERAGE
14
16
18
(ML)
Figure 6. Ga and As 3d reduced intensities (fop) and number of reacted substrate layers for the NiiGaAs(001) interface as a function of coverage. Equation (9) was used to determine the number of reacted substrate layers. (From Rej 23.) (bottom)
Characterization
of Surfaces and Interfaces
631
Ni, Al 81 NiAI/GaSe,As,_,(OOl) 9s WI
/\,
22
21
20
/?\
0.86(7)
19
18
/!I
17 ”
44
t
I
*
43
42
41
(
I
40
39
BINDING ENERGY IeVl
Figure 7. Core-level spectra for Se-passivated GaAs(001) overlayers, taken from Ref. 24.
with and without thin metal
Also shown are the Schottky barrier heights for the metal/
semiconductor interfaces extracted from the core-level photoemission spectra.
Inspection of Fig. 7 also reveals large Ga and As 3d binding energy shifts in going from the clean GaSe,As,,(OOl) surface to the metallized surface. These changes are due to changes in band bending in the substrate, and are discussed in detail in Sec. 4.1. We show in Fig. 7 the Schottky barrier height (+u) for the metal/semiconductor interface, which is directly related to band bending in the substrate. This quantity is readily determined from the absolute core-level binding energies associated with substrate emission, and is also discussed in Sec. 4.1.
632
Compound Semiconductors
4.0
DETERMINATION OF ELECTRONIC ENERGY LEVELS AT COMPOUND SEMICONDUCTOR SURFACES AND INTERFACES BY XPS
4.1
Measurement of Band Bending and Schottky Barrier Heights
Electron spectroscopic techniques have traditionally been recognized for the elemental and chemical information that can be extracted from experimental EDCs. However, XPS and SRPS are also of considerable value in determining certain key electronic properties of semiconductor surfaces and interfaces. Two such properties are the band bending at free semiconductor surfaces and the Schottky barrier height (SBH) of metal/ semiconductor interfaces. These two quantities are illustrated in Fig. 8. The band bending, A, is a result of charge accumulation in forbidden gap states at the surface that originate with the formation of the surface. Truncation of the bulk crystal to form a surface can create states in the forbidden gap. Such states are typically acceptor- (donor-) like for n- (p-) type material. The existence and population of such states by carriers from the depletion region results in an electric field across the depletion region and, therefore, a continuous change in electrostatic potential in going from the neutral bulk to the charged surface. The origin of these states has been identified by scanning tunneling microscopy as electron-accepting kink sites on highly-doped n-GaAs(OOl)-c(2 x 8)/(2 x 4) surfaces.t251 Structural defects and contamination are also expected to produce such states on free surfaces, and defects induced by reaction with metal atoms in a metallization overlayer will generate gap states at metal/semiconductor interfaces.[261 In contrast to GaAs(OOl), cleaved GaAs( 110) of high surface quality does not exhibit band bending. A surface reconstruction that occurs sweeps the surface states out of the forbidden gap. The SBH, denoted by +u, is the electrostatic barrier to charge transfer across the metal/semiconductor interface. As shown in Fig. 8, QB= A + E, for n-type material. Here, E, is the energy of the conduction band relative to the Fermi level. The SBH can be determined by XPS for a metal/ semiconductor interface via analysis of core-level binding energies in the following way.t27l First, one measures (E,, -E,)“, the energy difference between some core level and the valence band maximum for a clean surface of the semiconductor of interest. Care must be taken to separate the peak(s) originating from surface-layer atoms from those associated with subsurface emission. The presence of such surface-shifted peaks in the raw EDC can
Characterization of Surfaces and Interfaces
633
add significant error to (E,, -Ev)s, if not properly removed. The value of (ECLXv)” exhibited by the clean surface will also be appropriate for the metal/semiconductor interface. Next, the metal film is grown so that the total film thickness d is less than -3k, where h is the photoelectron attenuation length. A substrate core-level binding energy relative to the Fermi level, E,, is then measured. It is essential that the contribution from any interface reaction products that form be removed from the total EDC so that the substrate binding energy can be unambiguously determined. To this end, it is helpful to utilize core levels associated with different elements of the semiconductor in order to have independent measures of &+ By inspection of Fig. 8, it is clear that Ev = & - (ECL- Ev)“, and that +n = Es - E,.
Bulk Semiconductor
Surface Vacu;t;, or or interface overlayer
A >- L z z w f, F z: ti
(ECL - Ev)S ECL
/
Figure 8. Energy diagram of a free semiconductor surface showing the relevant quantities needed in order to determine the Schottky barrier height for a metal/semiconductor interface.
Returning to Fig. 7, we show some examples of this kind of analysis. We start with the free surface of GaSe,As,,(OOl). This surface was prepared by heating a freshly grown Se-doped GaAs(OO1) buffer layer in H,Se at 425°C in a metal organic chemical vapor deposition (MOCVD) reactor.l24l Pyrolysis of H,Se occurs on the surface, and Se atoms are incorporated into the near-surface region of the substrate.l**l The surface
634
Compound Semiconductors
possesses long-range order, exhibiting a (2 x 1) low-energy electron diffraction (LEED) pattern. XPD analysis (discussed in Sec. 5.1) reveals that the outermost layer consists of -75% Se and -25% As. Se-As anion exchange occurs for the first 3 or 4 anion layers, with the Se concentration diminishing with depth.12*l Thus, a graded ternary phase that is lattice-matched to the substrate is formed, and band bending is reduced considerably from the value of the free surface. The value of +n for the clean ternary surface is -0.26 eV, averaged over the two core levels. The analogous value for nGaAs(OOl)-(2 x 4) is 0.6-0.7 eV, indicating that the surface Fermi level is pinned near midgap for this surface. The reduction in band bending by nearly 0.5 eV is most likely the result of either highly coherent bonding across the GaSe,As,JGaAs interface, or by the creation of a (2 x 1) surface mesh, in which there are no missing dimers.12*l In either case, the density of gap states is reduced relative to the GaAs(OOl)-(2 x 4) surface. Alternatively, the inclusion of a high concentration of group VI anions, as substitutional defects in the near-surface region of a III-V semiconductor, is expected to degenerately dope the surface n-type, which could result in Fermi-level pinning near the conduction band at the surface. Changes in the band bending occur upon metallization with either Al, Ni, or NiAl. Growth of (00 l)-oriented Al at 50°C causes a modest increase in band bending, so that the SBH is -0.48 eV. In contrast, growth of either Ni or NiAl results in a substantial increase in $n, to -0.9 eV. The primary difference between the growth of Al and the growth of either Ni or NiAl has to do with disruption of the anion sublattice. Both Ni and NiAl overgrowth liberate As from the substrate, as evidenced by the additional spin-orbit pairs in the As 3d spectra. In contrast, there is apparently no disruption of As when Al is grown. Thus, it appears that the more substantial increase in Qu is related to the disruption of As from the surface. Interestingly, the value of $u that results from growth of NiAl on GaSe,As,, is the same as that measured after growth on n-GaAs(OO1). From these and other data, we conclude that the particular chemistry of interface formation between NiAl and either GaAs or GaSe,As,, leads to a unique set of defects that give rise to Fermi-level pinning rather deep in the gap. It is of interest to determine the extent of agreement between SBH values determined by XPS and those determined by other techniques, such as IV and CV measurements. Waldrop and Grant have carried out several studies in which +u was measured by these different methods for the same specimens.1291-1311 The three methods produce results in rather good mutual agreement in cases where carrier transport across the interface is well
Characterization
of Surfaces and Interfaces
635
described by the Richardson equation for thermionic emission for IV, and the standard capacitance model for CV. For instance, these authors have measured +n for thin Au, Cr, and Ti metallizations on n-GaAs(OOl) in which a p+-Si layer between the metallization and the GaAs substrate was used to increase the barrier height.t301 The barrier heights measured by means of XPS, IV, and CV are in agreement to within kO.1 eV. Furthermore, the ideal&y factors (n) extracted from fitting the Richardson equation to the IV data are within 10% of an ideal value of 1.02. In addition to increasing the SBH, the Si interlayer acts as a diffusion barrier between the metal and the GaAs substrate. Thus, significant interface chemistry, which can cause spurious results when the IV and CV methods are used, was avoided. Agreement among the three methods is not as good in cases where the thermionic emission model fails, or in cases where interface chemistry results in phases that significantly modify the series resistance or capacitance at the interface. For example, XPS measurements of W thin films on n-GaAs(OO1) by Waldrop reveal that +u is 0.9 eV for oxide-free substrates and substrates with a thin native oxide.lzgl In contrast, the values extracted by the IV and CV techniques for clean substrates were 0.66 eV (n = 1.26) and 0.98 eV, respectively. Values of -0.7 eV (IV) and 1.34 eV (CV) resulted when a thin native oxide was present on the substrate prior to metallization. Interestingly, the ideality factor could not be reliably determined for metallization on the thin native oxide because the series resistance across the interface was several MR. This high series resistance limits the current density range over which the measurement can be made, thereby reducing the reliability of both +u and n. The low $n value determined by the IV technique for the clean substrate, along with the high ideal&y factor, signals a departure from the thermionic transport model upon which extraction of +n depends. The high value of +n determined by the CV method for the substrate with a thin native oxide comes about because of the anomalous capacitance created at the interface by the presence of the oxide. Significantly, extraction of $n from the IV and CV techniques depends critically on the respective physical model employed in interpreting the data; any departures from these models exhibited by the system under study will result in erroneous results. In contrast, XPS measures Qn directly. One need only adequately separate the substrate emission peaks from those resulting from interface chemistry in order to obtain a reliable measure of +a.
636
Compound Semiconductors
4.2
Measurement of Surface State Charge Densities
Solving Poisson’s equation for boundary conditions, appropriate to the depletion region near a semiconductor surface, yields a relationship between the surface potential and the charge density associated with surface states in the forbidden gap. The relationship is given by:13*I en: CD(O)= - -
Eq.(10)
2&N Here, O(O) is the electrostatic potential evaluated at the surface (z = 0), which is numerically equal to the band bending, A, n, is tbe surface-state charge density, E is the dielectric constant for the semiconductor, and N is tbe bulk dopant density. The potential at depths beneath the depletion region is taken to be zero. The same relationship is obeyed when an overlayer is present and the Fermi level is pinned at the interface. In this case, O(O) is the interface potential and n, is the interface-state charge density. In principle, XPS should be useful for determining %, since CD(O) can be determined from core-level binding energies, as described in Sec. 4.1. However, one additional refinement is required to obtain the most precise values of the Q(O) and rg. The surface or interface potential extracted from XPS is actually a weighted average over the probing depth of the experiment, which is -3GnO. The XPS experiment will sense much of the full potential drop across the space-charge region, if the probing depth is comparable to the depletion width. Quantitatively, the relationship between the surface potential measured at a detection angle 8, (D(e), and the depth-dependent potential within the depletion region, a(z), is given for a free surface by:1331
@(z)exp(-&sin@dz
Eq. (11)
@(0) =
Oo.
J
exp(-z/hsine)dz
0
Integration over z yields:
Characterization
of Surfaces and Interfaces
637
Eq. (12)
Insertion of Co(e)as measured by XPS into Eq. (12) yields the true surface potential. The divergence between Q>(O)and 1000 A) into the solid. Implantation damage and mixing of material hinders the analysis of layered samples with these high energy primary ions. However, ion implantation is widely used to control the electrical properties of semiconductors. MeV ions, especially He ions, penetrate very deeply into the solid (microns), loosing small amounts of energy to the solid’s electrons until a scattering event occurs with a nucleus. Minimal damage to the sample occurs because most of the energy remains in the backscattered ion. Accordingly, He ion backscattering affords nondestructive surface and bulk chemical analysis.
656
Compound Semiconductors
2.0
RUTHERFORD BACKSCATTERING SPECTROMETRY (RBS)
2.1
Kinematics
When a high energy (MeV) bare He or H ion moves through matter, it is so small compared to the internuclear distances in the solid that nuclear collisions are nearly unimportant in determining the energy transfer between the ion and solid. Ultimately, however, the ion has an elastic, backscattering collision with a nucleus, transferring some energy to the The energy remaining in the ion is stationary target in the process. determined by the masses of the ion and target, and the scattering angle. The ratio of the outgoing energy to the incident energy is called the kinematic factor, K.
Eq. (1)
Equation (1) is valid at 180” backscattering angle. M, is the mass of the incident ion, typically 4He, and M, is the mass of the target isotope. In this geometry, the ion experiences its greatest possible energy loss, so K is a minimum. These conditions are also where the sensitivity to changes in mass of the target is greatest, affording the best isotopic selectivity. The energy spectrum of backscattered particles will show small energy shifts for high atomic mass and greater shifts for low masses. Thus, the technique is ideally suited for determining heavy impurities in the presence of lighter atom host matrices (e.g., Ft on GaAs-see Fig. 1). Quantitative information about the number of impurity atoms on or near the surface is obtained by measuring the fraction or yield, Y, of backscattered particles from N, atoms/cm2 if the ion dose, Q, the differential scattering cross section, da/ dR, and the particle collection solid angle, M, of the detection system are known. The scattering cross section scales as (Z,Z,)2, where Z, and Z, are the charges of the incident and target nuclei, therefore larger targets have greater yields for detection.
Eq. (2)
Y=N,gAflQ
Characterization Energy 0.6 I
1.0x10’
0.6
m 0.6 z a 0 0.4
0.2
0.0
0.8 I
1.0 I
2;o
657
(MeV) 1.2 I
1
1 00
by Ion Beams
3;0
1.4 I
1.6 I
1.6
Pt
460
i
5010
Channel
Figure 1. RBS spectrum of 500 A Ti and 750 A Pt on GaAs. The energy of the incident He+ beam is 1.8 MeV. Although the Ti is above the GaAs, its lighter mass and smaller kinematic factor backscatter the He+ beam with the same energy as some of the GaAs. The Pt peak is well separated from the others because of its surface location and high kinematic factor. (Courtev o/B. Weir, AT&T Bell Laboratories.)
Thin films on surfaces produce energy spectra with peak widths, AE that are proportional to At, the films thickness. AE is the difference between the energies of particles scattered from the surface and those scattered from the film-substrate interface. Incident ions backscattered from surface atoms have energies that are defined by their K values. However, most ions penetrate the film and solid before a large angle scattering event returns them to the detector. During the traverse in and out of the material, a small amount of energy is lost to the solids electrons. The amount of energy lost by the ion per unit distance as it travels in the solid, dE/dx, has units of eV/ A. AE is therefore (dE/dx)At for the film material. In Fig. 1, AE for the Pt peak is roughly 0.1 MeV, which is consistent with an average dE/dx of 0.15 keV/A and At of 750 A. Obviously, from the measured AE, either parameter can be determined if the other is known. This simplistic picture is based on the approximation of constant dE/dx, which pertains only to very thin films. Multilayer structures, commonly found in III-V materials, can be analyzed by RBS for layer thickness or compositional determination. For example, x and At can be derived from fitting REX3spectra for AlGai_& layers.1’1 Interestingly, the lighter mass Al content is determined indirectly by the diminution of Ga signal. See Fig. 2.
658
Compound Semiconductors
0000
. _.:.
.
.,
.
6400
;
;;;;
[[AS
1600
_ 0 0.474
325;
0 3 1 OA I
.
.
..
--__
1
. .
Gods AR,,25Ga075A~ I
I
I
I
Energy
x 0.602
0.746
0.610
I 1.010
(MeV)
Figure 2. RBS spectrum from a sample with approximately 300 A of GaAs on 300 A of AlGaAs on G&s substrate. The inset line is plotted using a 2x expanded vertical scale. The signal from the Al is hard to detect because it falls on the substrate background. The Al content is inferred from the suppression of the Ga signal from the AlGaAs layer. The thickness and Al content of the AlGaAs layer can be determined from this spectrum. (From ReJ 7.)
The values of dE/dx are greatest for 4He2+ at energies around 0.5 MeV. Because dE/dx is inversely related to energy at typical RBS energies, (dE/dx), > (dE/dx),. A 2 MeV He ion loses about 22 eV/A in silicon. One MeV He looses about 28 eV/A. Thick layers (> 1 urn) or bulk specimens have a continuous energy spectrum to low energy as a result of backscattering from all depths. The scattering yield is not constant as a function of depth, for as the ions are slowed, they scatter more efficiently. The result is that more backscattered signal originates from the material deeper in the sample. Figure 1 has a broad background from a GaAs substrate. The greatest energy loss is to low mass isotopes, K I 0.75 for He backscattered from masses I 50.t11 In backscatter geometry, low mass nuclei are hard to detect. For example, at mass 23, Na, the kinematic factor, K is approximately 0.5. For B, masses 10 and 11, it is down to about 0.2. The sensitivity of the detector at these low energies is much reduced. This is especially true for light elements in heavy element matrices, e.g., Be in G&s. The scatter from the matrix at all depths produces a large energy spectrum and obscures the signal from the lighter element, even if it is on the surface. Lighter elements are usually detected by forward recoil spectroscopy, where the incoming ion mass is greater than the target mass. Measuring the energies of the recoiling nuclei is used to detect hydrogen on or near surfaces.
Characterization
by Ion Beams
659
The change in K gets smaller as mass increases. Mass resolution is thus dominated by the energy resolution of the instrumentation. If the detector’s resolution is 0.01 of the incident ion energy, differences in K of this order are detectable. Unit mass resolution may be possible below mass 40. The K values for mass 174 and 200 differ by only 0.01. RBS is therefore not well suited for qualitative analysis, especially at high mass. Mass spectrometry (SIMS) should be used to identify unknown constituents. However, RBS is typically a superior quantitative technique because the scattering is independent of the chemical environment of the atoms, whereas SIMS’s sensitivity is very dependent upon local ionization conditions. This is especially true for atoms on the surface where they may be oxidized. 2.2
Depth Resolution
The depth resolution in RBS is proportional to the energy resolution of the experiment, set by the detector. To maximize the energy lost, which gives increased depth sensitivity, grazing angle of incidence ions are used. In this way, the path length of ions through the material is increased, so the energy lost per unit depth is also increased. The incident ion energy can also be adjusted to increase energy loss in the matrix. In this geometry with fixed detector resolution, the energy, and hence depth resolution, is limited by the energy straggle, or fluctuations of the scattered ions. Ions scattered from the same depth do not necessarily have the same energy. Although dE/ dx is the same, it is an average value of a Gaussian distribution of energies. Typical depth resolution is roughly 100-500 A. When the width of this energy distribution becomes greater than the detector resolution, 15-20 keV, the factors contributing to straggle dominate the depth resolution. Grazing incidence improves depth resolution only in the near-surface region to sometimes better than 100 A. In all geometries, the deeper the ion goes into the solid, the greater the straggle, and hence, the worse the depth resolution. Also, the energy fluctuations are a function of the atomic number, Z, of the atoms in the target. This is because dE/dx is affected by electron density per unit area. RBS is not as sensitive as SIMS for determining trace impurities. The minimum detectable amount of material in the most favorable circumstances is about 10” monolayers with RBS, while with SIMS it may be 10” or lower. The detection limitations of both techniques are set by sputtering, that is, what is the smallest number of atoms that can be detected
660
Compound Semiconductors
from a film before it is eroded away? RBS is nominally a nondestructive technique, but the MeV He ions have a finite sputter yield which removes material. A comparison of Y, the yield of backscattered particles, as defined in Eq. (2), and the sputter yield will allow a calculation of the expected detection limit.lll 2.3
Nuclear Reactions
A type of depth profile can be obtained by taking advantage of the resonance-like behavior of certain nuclear reactions between target nuclei and incoming ions. The method is used most often to determine the abundance of lighter isotopes. These isotope specific, energy specific reactions produce radioactive product isotopes at depths corresponding to where the incoming ion has lost enough energy to come into resonance (increased cross section) with a particular nuclear reaction. From this location, a decay particle (typically y) is emitted. To obtain a depth profile, the ion beam’s energy is swept to change the depth where the reaction occurs. The amount of radioactivity detected gives the concentration and location of the reactive target nuclei if the cross section of the reaction and dE/dx for the material is known. This technique complements other depth profiling techniques such as SIMS, which may have more sensitivity but are somewhat difficult to calibrate. 2.4
Channeling
Compound semiconductor devices have many desirable properties, e.g., high speed, that are a result of good crystalline quality. Most devices are usually fabricated using epitaxial growth techniques of thin layers of materials. Ion backscattering can be used to determine crystal quality and structure.121 The periodic alignment of atoms in crystals produce columns of nuclei and channels of mostly empty space in between. For most analyses by RBS, the crystal axes are randomly aligned with the ion beam, thus obscuring these features and producing normal backscattered spectra. If a crystal is aligned properly to an incident collimated beam, MeV He ions are backscattered from the atomic columns but penetrate the channels very deeply, giving little signal. In general, the amount of signal in the channeling spectra relative to the nonaligned, random conditions is a measure of the crystal quality. Thus, channeling spectra give insight to
Characterization by Ion Beams 661 many crystal defects. By comparison, sputter-based depth profiling analytical techniques, such as SIMS, destroy crystallinity. Channeling, or aligned spectra are very surface sensitive because a greater fraction of the detected signal comes here. Chemical impurities or surface reconstructions are easier to detect if the backscatter from the bulk is suppressed.
3.0
SECONDARY ION MASS SPECTROMETRY RELATED TECHNIQUES
3.1
Sputtering
(SIMS) AND
Surfaces of solids erode during ion bombardment. The primary ion’s energy is deposited in the target and some portion of the atoms on or near the surface will recoil with enough energy to break their bonds and escape into the vacuum. A 1 PA, 10 keV ion beam impinging on a 100 pm diameter spot delivers more than 10 kW/cm2 to the target material. The energy transfers between the atoms in the matrix is usually referred to as the collisional cascade, where many nuclei exchange energy and momenta. Most (> 90%) of the particles are neutral but some will be ionized as they leave the surface. The neutral to ion ratio is very sensitive to the surfaces chemical and physical properties. The small fraction of secondary ions analyzed by mass spectrometry provides adequate signal for chemical analysis, even at the part-per-million level or below for some species. If an additional energy source (electrons or photons) is used to ionize those sputtered neutrals in the gas phase, the analysis of the so calledpost-ionized ions by mass spectrometry is referred to as SNMS. Since an incident ion possesses a few keV of energy, it is not surprising that on average l-10 atoms are sputtered per incident ion. This is termed the sputter yield. Parameters which effect the sputter yield are primary ion type, energy, angle of incidence, and surface composition of the sample. Sputter rates (.@min), which control the analysis time, are linearly proportional to the yield and the primary ion beam current. They are inversely proportional to the area of bombardment and the surface binding energy (hardness) of the sample. For a 1 uA beam analyzing a 1 mm2 area of GaAs (1.25 x lOI atoms/cm2) and assuming a sputter yield of 2 atoms/ ion, the sputter rate is one monolayer/set or 300 A/min. To sputter a crater 2 pm deep requires about one hour.
662
Compound Semiconductors
3.2
Depth Profiling
As sputtering forms a crater, the small fraction of the ejected atoms or ions are detected by a mass spectrometer. The plot of this ion signal as function of time forms the basis of the depth profile. The depth scale is obtained by measuring the crater’s size after removal from the instrument by a stylus profilometer or perhaps by interferometry. If the material is homogeneous, the transform to depth from time is trivial (linear). However, if layers of materials have different sputter rates, then some adjustment for the amount of time spent sputtering through each layer is added to the depth scale. Sputter rate changes are not instantaneous across an interface, so smooth correction of the depth scale is difficult. Often, interface markers from matrix signals (e.g., Ga or Al at a GaAs/AlGaAs boundary) serve as adequate fiducials to locate depths in layered structures. Quantitative information is obtained by converting the ion count rate of the species analyzed (analyte) to atomic concentration by using a conversion factor called the relative sensitivityfactor (RSF).141The RSF is a measured ratio of the signal intensities of an analyte standard of known concentration, typically an ion implant, and a matrix element. RSFs vary widely for different elements, materials, and instrumental conditions. Accordingly, RSFs need to be tabulated for common analytical conditions and matrices. The reference of Wilson, Stevie, and Magee contains RSFs for many materials under typical operating conditions for common SIMS instruments. Once known, RSFs are an exceedingly powerful method to elucidate quantitative information about dopant profiles. Often reactive ions, O,+ or Cs+, are used to oxidize or cesiate the surface to enhance the positive and negative secondary ion yield, respectively. Sputtering with O,+ under similar conditions as Ar+ or other rare gas ion increases the positive secondary ion yield by about two orders of magnitude for many materials. See Fig. 3. The beginning of the depth profile is marked by transient signals as the surface composition of the sample is modified by the primary ion beam. The ion beam cleans the oxidized surface and then settles into equilibrium. Any interface that has been exposed to air or some oxygen will experience a transient change in secondary ion signal (matrix effect), even when sputtering with O,+.
Characterization by Ion Beams 663
XB+
0
800
400
Depth
Figure 3.
Si depth profile from 1000
A of
Sputtered
1200
(A)
SiO, on Si. Oxygen in the matrix or from the
primary ion beam increases the positive secondary ion count rate.
3.3
Depth Resolution
Devices made from compound semiconductors are typically made of thin layers of differing materials. Because important layers, and their contents, can often be thinner than 100 A, it is important to understand the concept and limitations of depth resolution in a sputtering based measurement. The uncertainty associated with the depth scale is also useful to know. Hopefully, the physics of sputtering, and not instrumental parameters will limit depth resolution. Since the primary ion penetrates the surface with some finite implantation range, the atomic layers are mixed to roughly that order. Two parameters which affect the penetration depth are ion energy and angle of incidence. Lower energies (xl keV) and higher angles (2 45’ from normal) tend to keep the damage, and hence mixing, confined to the near surface region. For a given energy, higher mass primary ions will not penetrate as deeply as lower mass ions and should therefore produce better depth resolution. However, instrumental parameters and chemical or matrix effects often have larger influence on resolution than penetration depths. For example, the predicted range difference for 4 keV Xe+ vs. Ar+ is only about 10% for Al,Ga,_&. Some results have shown that depth resolution is independent of mass,t*l suggesting instrumental factors may be more important.
664
Compound Semiconductors
Instrumental parameters often influence depth resolution more than the physics of sputtering. The primary ion beam must be focused and rastered properly to ensure a flat crater bottom. The detected area must also be carefully chosen to reduce the crater edge effects. Because of these instrumental imperfections, the depth resolution is often a function of depth, i.e., the deeper you profile, the worse things get. This is usually the result of increased roughening or even cone formation in the crater’s bottom.tgl However, with very careful instrument design, especially control of the primary ion beam rastering, depth resolution can be shown to be constant as a function of depth.tlOJ Quadrupole instruments tend to have superior depth resolution to magnetic sector instruments because the extraction fields are smaller on the former type of SIMS and the primary ion beam energy can be reduced to 1 keV or so without defocusing. The angle of incidence can also be varied more easily in the low field environment. In a magnetic instrument, where the sample bias is f 4-6 kV, the extraction fields perturb the focus of the incoming beam and degrade resolution. Two criteria are commonly used to define depth resolution. Both definitions require profiling of special standards, which are commonly available in semiconductor device industry. An abrupt interface in crystalline material provides step functions of composition change. The representation of the rise or fall of signal as a function of depth describes the depth resolution. Often, the depth required for a matrix signal to fall by a certain amount, 10x, is used to describe the depth resolution. This so-called decay length has units of r&decade. Because SIMS profiles are almost always log-linear plots, these units are very convenient to work with. Another useful definition of the interface width is the distance over which a matrix signal decreases from 84 to 16% of the bulk (maximum) signal.141It is often useful to run a depth resolution standard before profiling samples where good resolution is needed. For example, the measured interface width of a layer of known thickness can be used to determine the performance of the instrument without removing the sample for profilometry. A thin cap layer of 1000 A or less is ideal for this purpose, because the interface is sharp and profiling through this layer does not take much time. If the interface width is less than some acceptable amount (say 50 A), subsequent profiles should be useful. The other type of special samples, now commonly available to SIMS analysts working with compound semiconductors, are the so-called deltudoped layers.t11l These are dopants, for example, Si or Be, placed into a single monolayer of material like GaAs, grown by MBE. Such dopant
Characterization by Ion Beams 665 spikes are useful to test the depth resolution of a SIMS instrument and the theory of dopant migration during growth or processing.[12] In the case of profiling through the delta spike, the full width at half maximum (FWHM) is used as a figure of merit for depth resolution. Becausedopant spikes and not matrix signals are used for this test, matrix effects, which can distort profiles, are not present and do not confuse the depth resolution measurement. Under the proper conditions, the FWHM of a delta spike can be less than 30 A.[13] SeeFig. 4. Delta-doped samplesoffer the opportunity to test the fundamentals of understanding profile broadening, that is, what contributions to the measured profile are caused by roughness and cascade mixing?[14]
Figure
4. SIMS profile of epitaxial GaAs showing the FWHM
A below the surface. substrate growth
The profile
temperature,
of a Be O-doped layer 200
was obtained with O2+ sputtering.
The dopant dose,
primary ion beam energy, current, raster area, and angle of
incidence are listed from top to bottom.
(From Ref
13.)
Perhaps one consideration that usually goes unnoticed in depth resolution measurementsis the accuracy of the profilometer used to obtain the depth scale. The best absolute uncertainty (reproducibility) is typically 100 A. Also, if the crater bottom is not flat to this level, the slightly sloping bottom not only degradesdepth resolution but misplaces the interface.
666
Compound Semiconductors
In addition to judicious choice of primary ion beam conditions, a new method of improving depth resolution has been demonstrated. Improved resolution in GaAs/AlGaAs superlattices was obtained by sample rotation during profiling. t151See Fig. 5. This technique has been in used in Auger sputter profiling, ~1 but only recently applied to SIMS. As the sample revolves at roughly 10 rpm, the imperfections in primary ion beam rastering, which cause micro roughness or sloping crater bottoms, are averaged over the surface and are not confined to the same area on the sample. Even sputter-deposited Al films can be analyzed with good depth resolution with sample rotation.t171 Sample rotation is easier to implement on quadrupole instrument than magnetic sectors because the sample is usually at ground potential.
01 m ‘I 0
’ m”
200
’ ”
400
DEPTH
a ‘I 600
‘I
’
600
(nm)
Figure 5. SIMS profiles from a GaAs/AIGaAs superlattice obtained 3 keV O,+ sputtering without (a) and with (b) sample rotation. For (c), rotation was begun after sputtering to a depth of about 200 nm. The rotation rate was 0.57 rpm. (From ReJ 15.)
3.4
Static SIMS
When surface analysis is desired, the sputtering conditions are adjusted so that primary ion dose delivered to the sample removes much less than a monolayer of material. During that sputtering time, the SIMS
Characterization by Ion Beams 667 signals that, are acquired are indicative of the (oxidized) surface. SIMS signals are correspondingly large. The delivered ion dose should be about 1013cmm2,and if the sputter yield is ten (atoms/ion) or less, then less than a tenth of a monolayer is removed. Static SIMS is most easily obtained on time-of-flight instruments, which use pulsed sputtering and simultaneous multi mass analysis. In this way, the sample is used most efficiently. Postionization (PI) techniques which use pulsed auxiliary energy sources, e.g., lasers, are well suited to high sensitivity static surface analysis. Sub-trace analysis is possible with submonolayer resolution.[181 TOF techniques improve the usei% yield, the number of ions detected divided by the total number of atoms sputtered. The useful yield is the product of the secondary (or PI) ion yield and the transmission efficiency of the mass spectrometer. 3.5
Preferential Sputtering
In compound semiconductors, the matrix is comprised of (at least) two types of nuclei, which may interact differently with the primary ion beam. Should one matrix constituent sputter more easily than another, it is considered preferential sputtering. In bulk sputtering during depth profiling, a steady state flux of all matrix constituents must exist in accordance with the bulk sputter yield, but the surface may be slightly enriched in one component. Preferential sputtering occurs most often when the difference in mass between the matrix nuclei is large (e.g., AlAs) and/or when the primary ion beam mass is similar to one of the target isotopes (Xe’, Cs’). If one component is enriched on the surface due to this effect, then dimer formation may be detected in unusually high abundance,[191often accompanied by diminution of the atomic signal.[201 3.6
Mass Spectrometers
Three types of mass spectrometers are commonly used for SIMS applications, quadrupole, magnetic sector and time-of-flight (TOF). For conventional depth profiling of materials, the main application of SIMS to III-V device characterization, quadrupole and magnetic sector instruments are by far the most widely used. Continuous sputtering removes material while the spectrometer cycles through the preselected masses to build the profile. Each kind of instrument has its own advantages, drawbacks and optimal applications. An excellent discussion of SIMS instruments is found in Ref. 3. Since this review, perhaps the greatest improvements in
668
Compound Semiconductors
SIMS technology have been in data analysis. Advances in mass data storage, imaging processing, and computing speed have made difficult analyses seem routine. All mass spectrometers require secondary ion energy analysis, to achieve reasonable mass resolution. The amount of mass resolution required is of course, sample (or analysis) dependent. Emitted secondary ions have kinetic energies ranging from a few to a few hundred eV. A finite band pass of ion energies must be selected to traverse the mass separator tuned for it. Ion transmission efficiency as well as mass resolution depend upon the energy filter. Magnetic sector instruments (sometimes called double focusing) have high mass resolution (M/AM 2 10,000) capability and high transmission (2 10%) efficiency. These instruments can resolve interference such as 28Si,+ from s6Fe+ in Si or 27Al+3from 9Be+ in ~Ga,Js. The high throughput efficiency stems from the use of high extraction potentials (2 5 kV) which captures a large energy and angular spread of sputtered ions, but makes it difficult to examine anything but flat, conductive surfaces. Insulating samples are not analyzed easily, even with charge compensation. Sector instruments typically offer the best detection limits due to the high ion throughput and mass resolution which reduces interferences. They are the most expensive mass spectrometer of the common types. Magnetic sector instruments can be used in two spatial imaging modes for static surface analysis or depth profiling.121l If the ions are stigmatically transported through the analyzer, a two-dimensional array detector can reconstruct a mass-resolved ion image of the sample. This image can then be followed as a function of depth. The lateral spatial resolution limit in this microscope mode is controlled by aberrations in the imaging ion optics and is usually a few microns. Alternatively, a single channel detector may be time synchronized to the rastering of the primary ion beam. As the beam moves, an image is constructed according to the primary ion beam’s location. In this microprobe mode, the resolution is the primary ion beam’s diameter. A microfocused beam is required to obtain micron or submicron resolution. Liquid metal ion guns, usually emitting Ga+, are the most common submicroprobe sources. However, beam currents are low, and Ga+ has a smaller secondary ion yield than O,+ or Cs+, so typically only high concentration species on the surface can be imaged. Microfocused Cs+ beams are becoming more common, which will improve sensitivity.
Characterization
by Ion Beams
669
Quadrupole spectrometers are more compact and less expensive than magnetic sectors. They use dc and rf electric fields to effect separation of ions. Because no magnetic fields are used to separate ions, mass switching is much faster than with sector instruments. The extraction fields are relatively low, which makes charge compensation on insulators easier. Ion transmission (sensitivity) is lower because small energy band passes are required for optimal mass resolution. Transmission is not constant as a function of mass. Mass resolution is typically poorer than in a magnetic sector, so isobars cannot be resolved. Quadrupoles do not preserve ion images like sector instruments, so imaging is only possible in the microprobe mode. TOF instruments are used mostly for static SIMS, i.e., surface analysis. TOF SIMS is widely used in polymer characterization. Small (nsec) pulses of ions are required for high mass resolution. M/AM 2 10,000 has been demonstrated. Secondary ion count rates are small (per pulse) so high repetition rates &Hz) are used to obtain reasonable count rates. The main advantage of TOF is that all masses are simultaneously detected. This makes it very useful for rapid survey qualitative mass spectrometry. The low average current in pulsed operation makes depth profiling of many IIIV devices impractical. However, if continuous sputtering is interspersed with pulsed operation for data collection, profiles can be obtained. TOF style mass analyzers are commonly used for laser post-ionization (LPI) of sputtered neutrals. Because the primary ion beam may be pulsed much more frequently than lasers used in LPI, it is possible to obtain SIMS spectra in the inter-pulse period of the laser repetition rate, thereby simultaneously obtaining sputtered ion and neutral data. 3.7
Matrix Effects
It is impossible to obtain correct SIMS profiles through the different layers of materials present in III-V devices and substrates without considering matrix effects. Matrix effects are the change in measured signal for a given isotope, molecule, etc., as a function of the material (matrix) under a given set of analytical conditions. This change is caused by different ion yields and sputter rates for each matrix in the profile. The magnitude of the effect is strongly dependent upon how different matrices are and the instrumental parameters used, especially the primary ion beam type (A?, O,+, Cs’). Matrix effects are corrected by calibration with matrix-matched standards and RSFs.
670 Compound Semiconductors Because of their importance, SIMS matrix effects in III-V materials have been studied extensively. The relative secondary ion yields for many elements in AJGa,_& as a function of x is the classic example of systematic matrix effect investigation.l**l Aluminum has a higher a&&y for oxygen than Ga, so positive ion yields typically increase with Al content, x. The functionality of the increase with x depends upon many parameters. Since the surface’s oxygen content is related to secondary ion yield, Auger spectroscopy has been used in conjunction with SIMS to study the A/Ga,_& matrix effect.lzll24l Even the sample’s oxygen content can affect the ion yield for the same matrix.l25l The most commonly used dopants in this material are Be (p-type) and Si (n-type), so their yields are examined in detail. The secondary ion yields for dopants change not only as a function of x but also are very dependent on primary ion angle of incidence.126l In general, sputter rates are higher (2x) at high angle of incidence (45’ or greater) relative to normal angle of incidence sputtering, but ion yields are lower (10x). The optimal analytical conditions for profiling Al,Ga,_& layers depends upon the information desired; tradeoffs are made for sensitivity, depth resolution, and speed of analysis. Ideally, matrix effects are corrected by prudent use of RSFs derived from calibration standards. These factors are good for profiling in bulk material, unfortunately most III-V devices are made of many thin layers (hundreds of angstroms) whose total thickness may be several microns. During profiling, these layers will be mixed together and the interfaces broadened, even under the best depth resolution conditions. The result is that near the interfaces, the matrix composition is changing rapidly, giving rise to a transient matrix effect. This is usually a problem only if dopant diffusion or accumulation at an interface is the issue under study. When matrices that produce high yields are mixed into dopant-containing layers, apparent dopant spikes are seen at the mixing point, which can be confused with diffusion or remain unexplained.[271 See Fig. 6. In hGa,_.&, transient matrix effect at interfaces is insidious enough to warrant thinning samples and profiling from the substrate side to collaborate normal SIMS profiles.t281 In this way, dopant spikes at interfaces are shown to be independent of the order of the layers and therefore free of matrix effects. See Fig. 7. Dopant profiles can, in principle, be reconstructed if the way the matrix composition changes (and ion yield) through the interface is assumed to be linear with say, x in the case of AlXGa,_&.1291
Characterization
by Ion Beams
671
Be- Doped ALa.sGao.,As Be-
1P
Diffused
Be-Diffused
T -
I
1P 0 Depth
I I 05 Into sample(wm
1 10 from
I
J 1.5
surface)
Figure 6. Be SIMS profile of an AlGaAs/AlAs/GaAs heterostructure. The spike at the first interface is due to the increase in AI content. Be segregation to the AlAs/GaAs is also evident, but the second spike width is greater because the Al enhances the Be signal relative to the GaAs. (From Ref: 27.)
_-
E
650 625 .6 6. 3
;104 .\
600 700 6 1 11 x~O-~;;:rj l
0
d lo3
::
5flo2
P10’ 5
loo
0
1
2
DEPTH (pm)
3
Figure 7. Al and 0 SIMS depth profile of a multilayer structure of G&s and AL4s eroded from the substrate side to confirm the identity of oxygen on the surface sides of the AlAs. Without this confirmation, segregation of oxygen to the front side could not be positively identified. The profiles was obtained with Cs+ sputtering. (From ReJ: 28.)
672 Compound Semiconductors 3.8
Sputtered Neutral Mass Spectrometry
(SNMS)
Matrix effects, i.e., changes in secondary ion yield as a function of material, can be alleviated to some extent, if the detected signal is based on the sputtered atom fraction. To a first approximation, the neutral yield (and hence SNMS signal) is only affected by sputter rate changes. Even in the most extreme cases, sputter rates only change by a factor of two, while ion yield changes may be orders of magnitude. The surface chemistry of the bombarded sample, which controls the ion yield, is not as crucial in SNMS as it is in SIMS. Moreover, atom production is optimized with rare gas primary ions, which reduces the influence of the matrix’s chemical reactivity during sputtering. Atoms are sputtered in much greater abundance than ions, so the possibility of gains in sensitivity exists. In SNMS, neutral atoms and molecules are ionized in the gas phase with an auxiliary energy source, either photons or electrons. These postionized species are detected by mass spectrometry, as are SIMS ions. A variety of SNMS techniques have been applied to III-V analysis. Ionization by electron impact in plasmas or beams is usually referred to as SNMS.13011311 See Fig. 8. Two types of laser post-ionization (LPI) schemes are commonly used, resonant13*land nonresonantl33l multiphoton ionization. Single photon nonresonant ionization is also possible. For the purpose of the discussion on matrix effects, no distinction is made between the two schemes. The subtleties of their photophysics are more important when considering quantitative, qualitative, and multi-element analysis, sensitivity, isobaric interferences, and molecular detection. 3.9
Depth Profiling with SNMS
Depth profiling requires the removal of relatively large amounts of material with continuous, focused, rastered ion beams. Post-ionization (PI) can be performed continuously with electron beams or synchronously with pulsed lasers. Laser post ionization (LPI) with continuous wave or mode locked, high repetition rate (> 10 MHz) lasers has not yet been demonstrated, due to the high average power requirements. Coupling continuous sputtering with pulsed LPI produces a small usei% yield, because most of the sample is not subjected to the laser light. A 30 Hz laser may intercept only about 10m5of the sputtered material. The useful yield will be even smaller, reduced by the transmission efficiency of the spectrometer. However, the instantaneous ion signals produced by LPI may be very high, so the signal to noise ratio is very large with appropriate time-gated detection.
Characterization by Ion Beams 673 insulator
grounded
wall
‘electrodes a) electron
secondary ion suppresslon 6
qas
SNMS
residual
gas
ionization
b) electron
beam
SNMS
Figure 8. Schematicdiagram of two types of electronimpact SNMS. (From RejI 30.)
In fact, if the ionization is demonstrated to be 100% efficient (saturated), then quantitative depth profiling is simplified.l34l Although most of the sample is sacrificed for the sake of speed of analysis, profiles with adequate sensitivity and depth resolution are still obtainable despite what may seem like an unusable useful yield. The disadvantages of added cost and complexity of LPI SNMS are offset by reduced matrix effects and mass inteiferences.l35l LPI has been demonstrated to avoid some ambiguities of interfacial analysis. Mixing of matrices at interfaces may cause apparent dopant spikes in SIMS profiles which suggest diffusion. However, detecting sputtered atoms by LPI eliminates the mixing-caused secondary ion transient, showing that no diffusion has occ~rred.t~~l See Fig. 9. This profile does not use corrections or assumptions to compensate for the changing matrix. Should sputter rate changes between layers be large (2x) then correction of signal levels and depth scales are warranted.
674
Compound Semiconductors
Conventional SIMS instruments can perform a type of SNMS, if analyte-primary ion adduct molecular ions are detected.l3al This works best
with Cs+ bombardment and MCs+ detection. Presumably, the cesium surface concentration is high and the adduct ions form with constant efficiency in each matrix. However, this detection scheme works at the expense of sensitivity, except for notoriously low secondary ion yield elements, like Zn, which have better sensitivity in InGaAsP when detected as ZnCs+. (a)
(b)
40
35
30
z25 : u
I 20
x
x
g15 t;
0.1
.,,,. J .*,.p..
t*“+.
10
Gal\r Bare Layer
4-J
5 ?
0 0
012
Approximate
’
1 o:*
’
Depth (microns)
0
Approximate
0.2
0.4
Depth (microns)
Figure 9. Leji: SIMS profiles of HBT structure showing mass interference in the Al,,sGa,,~As emitter layer and dynamic matrix effect from Al sputtered into the Becontaining (IOr cmJ) GaAs base layer. A 10 nm GaAs set-back layer separates the emitter and base. Right: Resonant LPI (RIMS) profiles of same structure showing no detected Be in the emitter layer and no Be pile up at the emitter-base interface. The effect of the set-back layer is more obvious. The laser ionization wavelengths for Be and Al are 243.8 and 236.7 nm respectively. (From Rej: 35.)
3.10 Other Alternative Techniques Perhaps the greatest weakness of SIMS or SNMS is not matrix effects or useful yield, but the lack of speciation, that is, the determination of where in the sample lattice a dopant resides and what bonding partners it has. This is especially true in III-V compound semiconductors where group IV elements act as amphoteric dopants. The knowledge of the lattice site of
Characterization
by Ion Beams
675
say, C in GaAs, is as important, if not more so, than its concentration, for the electrical activity of the C is site specific. To first order, the damage created during sputter depth profiling destroys bonding information, especially if atoms or atomic ions are detected. However, recent evidence suggests that if sputtered neutral molecules are detected, some bonding information of the solid remains.r3’1 Depth profiling by ion beam sputtering, coupled with in-situ F&man spectroscopy, has been demonstrated to detect the lattice location of Sidoped GaAs and AlGaA.[381 Substitutional dopants are detected by analysis of local vibrational modes (LVM) in a manner analogous to sputter Auger depth profiling. Profiles are constructed by alternating sputtering and spectroscopy. LVM Raman can teU the difference between Si on a Ga site and Si on an As site. Unfortunately, the Raman scattering cross sections are small, and the detection limit is in the low lo’* cmm3concentration range.
4.0
SUMMARY
Ion beams are useful in obtaining a wide variety of data about the bulk and dopant elemental composition of compound semiconductors. This information can be surface-sensitive or depth-resolved with good accuracy. The future of ion beam characterization lies mainly in performing these same analyses on processed devices and not just precursor materials and thin films. To that goal, the analysis of submicron (or 0.1 micron) features, high spatial resolution must be coupled with extremely high detection sensitivity, for the sample may be comprised of only 106-10’ atoms or even less. Micro-focused ion beam probing of such minute samples will destroy the device or defect, so the overall detection efficiency of all sputtered material must be at least 1% in order to obtain reasonable statistical significance about the sample’s contents. A new variant of LPI, ultra high intensity post-ionization (UHIPI), is perhaps the only approach to achieve atom counting of ultra small samples. Some modem lasers are capable of delivering greater than 1 mJ of energy in 100 femtoseconds (1 fs = lo-l5 s), so that focused intensities are greater than lOi W/cm* in a large enough volume for efficient, uniform post-ionization of all sputtered species.t3gl These lasers, coupled with kHz pulsed micro-focused ion beams and timeof-flight mass spectrometry will most certainly play a key role in the future characterization of all nano-materials including compound semiconductors.
676 Compound Semiconductors
REFERENCES 1. Feldman, L. C. and Mayer, J. W., Fundamentals of Surface and Thin Film Analysis, New York, North-Holland (1986) 2. Feldman, L. C., Mayer, J. W., and Picraux, S. T., Materials Analysis by Ion Channeling, New York, Academic Press (1982) 3. Benninghoven, A., Rtidenauer, F. G., and Werner, H. W., Secondary Ion Mass Spectrometty: Basic Concepts, Instrumental Aspects, Applications and Trends, New York, Wiley (1987) 4. Wilson, R. G., Stevie, F. A., and Magee, C. W., Secondary Ion Mass Spectromeby: A Practical Handbookfor Depth Profiling andBulk Impurity Analysis, New York, Wiley (1989) 5. Geva, M., Indium Phosphide and Related Materials: Processing, Technology and Devices, pp. 45-73, (A. Katz, ed.), Artech House, Boston (1992) 6. Benninghoven, A., Evans, C. A., Huber, A. M., McKeegan, K. D., Storms, H. A., and Werner, H. W., eds. Secondary Ion Mass Spectrometry, SIMS W:Proceedings of the Seventh International Conference on Secondary Ion Mass Spectrometry, Wiley, New York. (1990) 7. Baiocchi, F. A., Ambrose, T., Miller, R O., Nakahara, S., Brown, J. M., C. L. Reynolds, J., Lengle, S. E., and L. J. Peticolas, J., J. Electron. Mat., 19(5):413418 (1990) 8. Meuris, M., Vandervorst, W., DeBisschop, P., and Avau, D., Appl. Phys Lett., 54:1531-1533 (1989) 9. Gavrilovic, J., Secondary Ion Mass Spectrometry, SIMS KProceedings of Fifh International Conference, pp. 360-362, (A. Benninghoven, et al., eds.), Springer-Verlag, Berlin (1986) 10. Dowsett, M. G., Barlow, R. D., Fox, H. S., Kubiak, R. A. A., and Collins, R., J. Vat. Sci. and Technol. B, lo(l):336341 (1992) 11. Schubert, E. F., Stark, J. B., Ullrich, B., and Cunningham, J. E., Appl. Phys Lett., 52( 18): 1508-15 10 (1988) 12. Schubert, E. F., Kuo, J. M., Kopf, R. F., Luftman, H.S ., Hopkins, L. C., and Sauer, N. J., J. Appl. Phys, 67(4):1969-1979 (1990) 13. Schubert, E. F., Luftman, H. S., Kopf, R. F., Headrick, R. L., and Kuo, J. M., Appl. Phys. Lett., 57(17):1799-1801 (1990) 14. Badheka, R., Wadsworth, M., Armour, D. G., van den Berg, J. A., and Clegg, J. B., Surface and Interfacial Analysis, 15:550-558 (1990) 15. Cirlin, E.-H., Vajo, J. J., Hasenberg, T. C., and Hauenstein, R. J., J. Vat. Sci. Technol. A, 8(6):4101-4103 (1990) 16. Zalar, A., Surface and Interface Analysis, 9:41 (1986) 17. Stevie, F. A. and Moore, J. L., Surface andInterfaceAna&sis, 18: 147-152 (1992) 18. Pappas, D. L., Hmbowchak, D. M., Ervin, M. H., and Winograd, N., Science, 243:63-66 (1989)
Characterization
by Ion Beams
677
19. Schwarz, S. A., Schwarz, C. L., Harbison, J. P., and Florez, L. T., Secondary Ion Mass Spectrometry, SIMS VII, pp. 467-470, (A. Benninghoven, et al., eds.), Wiley, New York (1990) 20. Downey, S. W., Emerson, A. B., and Kopf, R. F., Nucl. Instr. Meth. Phys. B, 621456-462
(1992)
Schuhmacher, M., Migeon, H. N., and Rasser, B., Secondary Ion Mass SIMS VII, pp. 939-942, (A. Benninghoven, et al., eds.), Wiley, New York (1990) 22. Galuska, A. A. and Morrison, G. H., Anal. Chem., 55:2051-2055 (1983) 23. Meyer, C., Maier, M., and Bimberg, D., J. Appl. Phys., 54(5):2672-2676 21.
Spectrometry,
(1983) 24. Galuska, A. A., Wallace, W. O., Marrquez, N., and Uht, J., Surface and Interface Analysis, 14:3 l-38 (1989) 25. Gauneau, M., Chaplain, R., Rupert, A., LeCorre, A., Salvi, M., L’Haridon, H., Lecrosnier, D., and Dubon-Chevallier, C., J. Appl. Phys., 66(6):22412247 (1989) 26. Homma, Y. and Wittmaack, K.,J. Appl. Phys., 65(12):5061-5076
(1989) 27. Devine, R. L. S., Foxon, C. T., Joyce, B. A., Clegg, J.B., and Gowers, J. P., Appl. Phys. A, 44: 195-200 (1987) 28. Achtnich, T., Burri, G., Fy, M. A., and Ilegems, M., Appf. Phys. Lett.,
50(24): 1730-1732 (1987) 29. Galuska, A. A. and Morrison, G. H., Anal. Chem., 56:74-77 (1984) 30. Ganschow, O., Jede, R., and Kaiser, U., Vacuum, 41(7-9):1654-1660 (1990) 3 1. Jede, R., Secondary Ion Mass Spectrometry,
SIMS VII, pp. 169-177, (A. Benninghoven, et al., eds.), Wiley, New York (1990) 32. Downey, S. W. and Hozack, R. S., Secondary Ion Mass Spectrometry, SIMS VU, pp. 283-286, (A. Benninghoven, et al., eds.), Wiley, New York (1990)
33. Becker, C. H. and Gillen, K. T.,J. Vat. Sot. Technol. A, 3(3):1347-1349 (1985) 34. Downey, S. W. and Emerson, A. B., Anal. Chem., 63:916-918 (1991)
35. Downey, S. W., Emerson, A. B., Kopf, R. F., and Kuo, J. M., Surf: and Interface Anal.,
15:781-785
36. Magee, C. W., Secondary
(1990) Ion Mass
Spectrometry,
SIMS
VII, (A.
Benninghoven, et al., eds.), Wiley, New York (1990) 37. Downey, S. W. and Emerson, A. B., Sputtering effects in Si, SiO,, and the Si/SiO, interface, Surface and Interface Analysis, 20:53-59 (1993) 38. Ramsteiner, M., Wagner, J., andKoid1, P.,Appl. Phys. Lett., 59(27):36163618 (1991) 39. Becker, C. H. and Hovis, J. S., J. Vat. Sci. Technol., A12(4):2352-2356 (1994)
14 Optical Characterization of Compound Semiconductors Brian J. Skromme
1.0
INTRODUCTION
Optical spectroscopy, in its numerous and varied forms, is probably the most powerful and versatile method of characterizing the electronic properties of semiconductor materials and structures that has yet been developed. Moreover, purely optical techniques are inherently nondestructive, and thus well suited to in-line (and even in-situ) process control and characterization. With proper care in data acquisition, and even more importantly, careful interpretation of that data, a wealth of information on band structure, alloy composition, effective masses, impurity and defect levels, surface and interface properties, uniformity, strain state of the material, quantum confinement, minority carrier lifetimes, band offsets, layer thicknesses, and process-induced damage is available. The following review describes experimental techniques and methods of interpretation that represent the state-of-the-art of selected optical characterization techniques.
678
Optical Characterization
679
The principal optical techniques which are of interest in characterizing semiconductors can be broadly divided into luminescence, Raman scattering, reflectance and modulated reflectance, ellipsometry, transmission, optical microscopy, and photoconductivity or photocurrent. The last is really a hybrid optical/electrical technique, as are other methods such as photocapacitance, optical deep level transient spectroscopy (ODLTS*), and optically detected magnetic resonance (ODMR*). The primary focus of this review is luminescence and related techniques, but we also discuss some aspects of Raman scattering and reflectance techniques, which often provide useful complementary information. The other methods are not discussed in this chapter, although they all have important applications. Because of its versatility, optical spectroscopy is used for everything from fundamental studies of semiconductor physics to routine assessment of alloy composition and luminescence efficiency; its practitioners are likewise diverse in their backgrounds and interests. The presentation here is oriented mainly toward those who are interested in practical characterization of materials, processes, and devices, rather than fundamental semiconductor physics. We will, however, touch on some applications to the physics of heterostructures, which is inevitably linked to the field of heterostructure devices. Since the discussion is far from comprehensive, it is important to indicate some of the current trends in this field. One of the most significant is probably a shift from a reliance solely on ex-situ characterization of grown materials and structures towards in-situ characterization during the actual crystal growth run. While these new techniques will never replace the more detailed characterization possible with the traditional, mostly low temperature methods, they offer an enormous advantage in being able to monitor and control material composition, layer thicknesses, and possibly even doping, in real time. Future device structures will likely not just benefit from, but may even require such techniques to be grown successfully and reproducibly. Regarding ex-situ spectroscopy, recent years have seen great emphasis on the characterization of quantum-confined heterostructures as opposed to the more traditional study of bulk epitaxial materials. However, basic materials studies remain very important in newer and less developed materials, such as nitrides and wide gap II-VI compounds, where problems with doping and defect behavior still limit progress.
*A list of acronyms is included at the end of this chapter for the convenience
of the reader.
680 Compound Semiconductors Increasingly, optical spectroscopy is being applied to unintentionally or intentionally strained materials, which requires a detailed understanding of the effects of strain on the spectra. The current effort to develop one- and zero-dimensional structures relies heavily on optical techniques for characterization. In the device area, this author expects to see increased use of optical characterization to quali5 epitaxial wafers prior to processing, and to provide a means of predicting device performance. It is likely, however, that successful efforts of this type will be based on sound physical interpretation of the spectra rather than on purely empirical correlations. Increasing effort will no doubt be applied to develop better methods for quantitative optical spectroscopy of compound semiconductors, in place of today’s largely qualitative techniques, although the author admits to some skepticism as to the attainability of this goal, at least as far as luminescence is concerned (see Sec. 4.2). Finally, the new fields of scanning probe microscopy and near-field optical microscopy will no doubt be adapted to optical characterization on a scale of unprecedented spatial resolution,l’l-I41 which will be increasingly important as device dimensions continue to shrink. In the following, we first discuss the more critical aspects of experimental techniques and instrumentation, followed by a discussion of the basic ideas and theories of luminescence, Raman scattering, and reflectance techniques. The central and most important section (4.0) discusses some of the various properties that one might like to characterize, and the relative merits of each technique for those purposes. Examples are given from recent work.
2.0
EXPERIMENTAL TECHNIQUES INSTRUMENTATION
2.1
Light Sources
AND
Lasers are strongly preferred as the excitation source in both photoluminescence (PL) and Raman scattering measurements. For CW measurements, a CW source such as a He-Ne, He-Cd, Ar+ or Kr+ ion, Nd:YAG, dye, Ti:sapphire, or F-center laser is generally preferred. The basic choice is between tunable and non-tunable lasers. While tunability usually implies additional cost, since a fixed frequency laser is usually required to pump the tunable laser, it offers much improved flexibility in the range of measurements that can be performed. The tuning range is usually selected to encompass the bandgap of the materials or structures to be studied. The
Optical Characterization
681
optimal source is generally a dye laser in the visible and W range, a Tisapphire laser in the near infrared (0.7-l. 1 pm), and an F-center laser at longer wavelengths (e.g., 1.43-1.7 pm and 2.2-3.3 pm). BirefXngent filters can provide convenient tuning of dye or Ti:sapphire lasers (possibly under computer control), although an etalon may be required for high resolution work. A wavemeter is extremely useful to measure and control the laser wavelength, and an external power stabilizer is highly desirable to reduce noise and keep laser power constant during tuning if excitation spectroscopy is to be performed. If tunability is unnecessary, a small-frame Ar+ laser is generally a good choice, though for work on smaller bandgap materials, a Nd:YAG laser may be preferable. Ultraviolet lines are available from Ar or He-Cd lasers for wide gap materials. Time-resolved measurements require an appropriate source of pulsed excitation, such as a mode-locked Nd:YAG, Ar+, or Ti:sapphire laser. Some method of reducing pulse repetition rate (such as a cavity dumper) is required if moderately slow (> 13 ns) decays are to be studied. 2.2
Spectrometers
There are two basic approaches. 151The first is conventional, dispersive spectrometry;161171 the second is Fourier transform spectroscopy using a Michelson interferometer. In the conventional approach, single or double grating monochromators are typically employed for luminescence. The ,single grating type usually offers better throughput and efficiency for weak signals, while the double grating variety offers higher resolution and drastically improved stray light rejection (e.g., lo-l2 vs. 10”) for operation close to the exciting laser line, at the cost of reduced efficiency and potentially more complicated alignment procedures. Raman spectroscopy generally requires a double or even triple grating instrument for effective stray light rejection. The first two stages are usually arranged in a nondispersive mode in the triple grating instrument. Holographic gratings are generally preferred to reduce stray light (typically by a factor of ten compared to ruled gratings) and to eliminate grating ghosts, although ruled gratings are sometimes used to optimize efficiency. Some blazed holographic gratings, fabricated by reactive ion etching, are also available. Wide, coarsely ruled echelle gratings, blazed to work at high angles in high order, can provide the highest resolution obtainable in a grating instrument. However, an order-sorting predisperser or cross-disperser is then required to separate overlapping orders, and spectral coverage in a given order
682
Compound Semiconductors
becomes very limited while stray light is increased. Because of these limitations, echelles are rarely used in luminescence. Longer focal length instruments (2 1 m) are usually employed for high resolution work, although shorter, smaller f7# instruments may be preferred for room temperature or low resolution studies. Practical resolution is usually determined by the product of the instrument’s reciprocal linear dispersion and the slit width at which acceptable signal-to-noise is maintained; ultimate resolution in a given order depends on the number of grooves illuminated on the grating. The second approach, that of Fourier transform interferometry,[71-[111 is well established as the preferred technique for infrared spectroscopy, where sources are often weak and highly sensitive detectors like photomultiplier tubes are unavailable. Its main advantages over grating spectroscopy in the infrared are known as the multiplex (Fellgett) advantage, the throughput (Jacquinot) advantage, and the frequency precision (Connes) advantage. The magnitude of the first two advantages is summarized for the most common situations in Table 1. The multiplex advantage accrues when the signal-independent dark noise of the detector dominates, since all frequencies are detected all of the time and the signal-to-noise ratio (S/N) therefore increases as the square root of the number of resolution elements or channels (N). The throughput advantage is present in both the dark noise and shot noise limited regimes, although it is more pronounced for the dark noise limited case. The magnitude of the increase in throughput (R) can be shown to be about 27cf/h, wherefis the focal length of the collimator and h is the slit height of a corresponding monochromator whose collimator has the same area and focal length as that of the interferometer and whose resolving power (v/Av) is identical.[lOl Since typically f/h - 50 for a monochromator, R - 300, which is a major advantage. The frequency precision advantage follows from the use of a single frequency He-Ne reference laser, which allows repeatability and calibration accuracy that is often difficult to achieve in dispersive instruments. While the above advantages are overwhelming in the infrared where detectors are usually dark noise limited, the multiplex advantage disappears in the NIR/visibleAJV regions where shot noise limited photomultiplier tubes are available. This occurs because both noise and signal contributed by all frequency elements are measured simultaneously. Some increase in S/N may occur for strong peaks at the expense of weak ones, but no net advantage is present. [111 However, the throughput advantage, while reduced, can still be quite significant, and the round aperture of the interferometer may be more convenient than the slit-shaped aperture of monochromators.
Optical Characterization
683
Moreover, the frequency precision is still present and potentially higher resolution can be achieved (see below), while simultaneously obtaining very wide spectral coverage without the penalty in scanning time that is incurred with a monochromator. Data acquisition can in fact be quite rapid.
Table 1. Signal-to-Noise Ratio Advantages in Spectroscopy’ Multiplex Dominant Noise Type
Advantage
Array Detector PMT2
Read Noise
-
Dark Noise Shot Noise
N’A
Flicker Noise
CCD
@I channels)
Fourier Transform
Throughput
Advantage
(R = throughput
ratio)
Interferometer3
@IA)/2 2-X
R
N”
N _ N”
R
1
1
N-”
1
R’h
1. Advantages are ratios with respect to identical single-element non-multiplexed detectors. For a derivation see, for example, Refs. 7, 12, 13, and 14. N is the number of frequency elements being simultaneously measured. The comparisons assume fixed total data acquisition time. For fixed S/N ratios, the acquisition time advantage is the square of the indicated values, except in the read noise limited case for array detectors, where the acquisition time advantage is N. 2. Photomultiplier tubes are not normally used in arrays, this column is hypothetical for a comparison with the CCD array case. 3.
Valid for continuum spectra; varies for real spectra. The factors of 2 are due to beam splitter losses; an additional correction of 2-x may be required in this column due to losses from the nature of the modulation function.t”l
With improvements in the accuracy of the mirror drive, typically obtained through dynamic alignment procedures, some Michelson-type interferometers now have high resolution capabilities extending into the visible and even W spectral ranges. The spectral resolution is dependent on the path length travelled by the moving mirror, and in the best instruments with path lengths of -2 m, the resolution can approach 0.002 cm-‘, which is better than the required value in virtually all experiments involving semiconductor materials. Since it is currently easier to produce interferometers with long path lengths than to produce the very large gratings required for high resolution grating instruments, the interferometer is probably the instrument of choice for ultrahigh resolution work. Note that resolving
684
Compound Semiconductors
power increases with frequency for an interferometer, while it is typically reduced for dispersive instruments. A particular advantage is therefore Unlike echelle grating or Fabry-Perot obtained at shorter wavelengths. interferometer instruments, resolution is not obtained at the cost of spectral coverage. The coverage is limited only by the ranges of the beam splitter, detector, and filter (if any), which are typically quite broad (up to a decade in frequency!). The entire spectrum is obtained all at once, whether or not it is required. Improvements in the speed of small computers make the additional time required to perform a fast Fourier transform on the data fairly minimal. Moreover, the same instrument can easily be used in high or low resolution modes, to vary the acquisition time. Finally, excitation spectroscopy can be effectively performed using an interferometer as a source,11sl-1171especially in regions where tunable lasers are unavailable, although spatial or spectral filtering of the excitation light at the detection frequency is required and care must be taken regarding the frequency response of the detector. A disadvantage of this mode is the variation in the intensity of the source with wavelength, which implies that the spectra can be properly normalized only if the luminescence signal is linear with excitation intensity (a condition which is often ignored). Of course, the advantages of interferometry must be weighed against its complexities and limitations. The cost of very high resolution instruments can be considerable, while less expensive instruments may have limited resolution capabilities. The cost may be somewhat mitigated by the possibility of using the same instrument for infrared spectroscopy. The alternative of using array detectors to gain a multiplex advantage should be considered, although this approach involves a trade-off between resolution and spectral coverage (see Sec. 2.3). Extensive computation and the associated expense is required to transform the spectra, although this issue is becoming less important. The necessity for phase corrections and apodization adds to the complexity, as do possible difficulties in aligning the interferometer with the sample (depending on the configuration selected). Fast time-resolved measurements are not currently possible, and filters or a predisperser are required to remove the exciting laser light, which may be particularly troublesome when using tunable excitation. If a pre-disperser is used for this purpose, part of the all-important throughput advantage may be lost. Excitation spectroscopy cannot be performed using a tunable laser, and it is impossible to sit on a particular spectral feature and tune the exciting laser into a position of resonant excitation (a technique the author finds very useful). Overall, careful consideration of the advantages
Optical Characterization
685
and disadvantages for a given application is necessary, but an increase in the use of interferometry for luminescence studies of compound semiconductors1171-1211 can probably be expected. This is particularly likely to be where sensitive detectors are unavailtrue for narrow gap materials, [201-1241 able and double modulation techniques can be employed to eliminate interference from thermal background radiation.li811231t241 2.3
Detectors
For dispersive spectroscopy there are two alternatives: single-element or multi-element (array) detectors. For single-element detectors, photomultiplier tubes (PMTs) are generally preferred in those regions where they are available (~1 pm), and are operated in the photon-counting mode where possible. The cathode sensitivity, flatness of spectral response, and dark current are the main selection criteria for low level signals, which often leads to the use of cooled GaAs photocathodes. These tubes must be operated at very conservative anode currents (e.g., 1lO-8 A), however, to avoid large permanent degradations in response. The signal obtained, for example, with a standard reference sample under fixed conditions, can be periodically monitored to detect any degradation. Tubes with S-l response extend farther into the infrared, but with much lower sensitivity. Both types may be obtained with slit-shaped cathodes, which yield low dark current for photon counting applications.l25l A close competitor to the S-l tube is the North Coast Optics cooled Ge detector used with lock-in detection, which extends to - 1.8 urn in the infrared; it does, however, suffer from noise spikes due to cosmic rays. Cooled InSb detectors are generally preferred at longer wavelengths (1 S-5 um).l*‘jl While synchronous detection is required for the solid state detectors, photon counting or even dc current detection with a suitably filtered electrometer may be used with photomultiplier tubes. The use of a lock-in amplifier and chopper does eliminate interference from room lights, however. Array detectors are advantageous in that they yield a multiplex advantage, even under shot noise limited conditions (Table 1). This advantage becomes virtually essential in some types of Raman studies, for example, for which these detectors are particularly well suited. Moreover, modem cooled charge-coupled diode (CCD) array detectors even have better quantum efficiency and lower dark counts than photomultipliers, compared on a single-element basis. 1141They are typically limited by read noise, which is independent of integration time, unlike the dark noise of
686
Compound Semiconductors
photomultipliers. Their ultimate sensitivity to very low light levels is, therefore, actually higher than that of PMTs, even without multiplexing. Their main disadvantage, however, is that the resolution of the array may be limited by the element spacing (typically -25 urn), and only a restricted spectral range may be covered without rotating the grating due to the limited size of available arrays. There is definitely, therefore, a trade-off between spectral coverage and resolution, which may limit their applicability to some degree in luminescence studies. Also, it is necessary to prevent light from the exciting laser from striking the array. Other limitations include possible difficulties in calibration and uniformity, the expense of the detector and its associated readout and processing electronics, the lack of a throughput advantage, possible limitations in dynamic range and blooming effects, and inapplicability to excitation spectroscopy and very high speed time-resolved measurements. Nonetheless, the advantages of immediate electronic readout, relative linearity of response, and the possibility of digital storage and processing of the data has led to a widespread preference for array detectors (or even single-element detectors) over photographic detection, which is no longer commonly used for luminescence studies. Array detectors are available as photodiode arrays, intensified diode arrays, and CCD arrays. The latter type offers particular advantages for spectroscopy, due to its two-dimensional geometry, high quantum efficiency, and very low dark current and read noise. More detailed discussions of array detectors may be found elsewhere.l141 2.4
Cryogenics
Studies of shallow impurity levels and excitons frequently require measurements at liquid He temperatures; vibronic, and other thermal broadening mechanisms are also reduced at low temperatures so that even studies of intrinsic properties may be best performed under these conditions. Two basic types of cryostats are employed, those where the sample is immersed in flowing liquid He or He vapor, and those where the sample is attached to a cold finger in vacuum. The latter type may be cooled using either open or closed loop systems. The immersion type of cryostat typically allows the sample to be immersed in superfluid He pumped below its lambda point at 2.17 K, which eliminates bubbles in the liquid and provides outstanding heat sinking. Immersion cryostats also permit strain-free mounting. The cold finger type has two main disadvantages. First, the sample must be heat-sunk to the cold finger, which almost inevitably strains the sample (see
Optical Characterization
687
below). Second, closed cycle systems do not always reach the lowest temperatures, which can have substantial effects on the spectra; immersion in superfluid He is also not possible. While cold finger cryostats are sometimes used as a matter of convenience, they may result in substantial degradation of the spectral quality. 2.5
Sample Mounts
Strain free mounting is essential in high resolution spectroscopy. Any In used to mount the samples during growth, as well as vacuum grease, etc., should be removed from the back sides of the samples prior to measurements, as the author has sometimes observed substantial shifts and broadening of exciton peaks when these precautions are not followed. Even thermal damage on the back side of InP wafers may cause strain. Some workers use a small drop of cement on one comer of the sample, and then measure away from that spot. The author prefers an adhesive-free V-block mounting of the type shown in Fig. 1, which is self-centering and adapts to a wide range of sample sizes and shapes. When samples with cleaved { 1 lo} edges rest in the V-block, a definite crystal orientation is assured. Other designs are, of course, possible; the sample should, in any case, be free to move slightly within its mounting to avoid strain. Clamping should therefore be avoided.
Figure. 1. Example of a strain-free sample holder design used in the author’s laboratory for optical measurements at low temperature.
The main block and the raised
ledge which forms the V-!~lock are of OFHC copper, the upper slotted clamp and the two lower slotted clamps are of brass. A sample is shown resting in the V. The raised ledge is thicker than the sample, so that none of the “clamps” actually exert any force on the sample in excess of its weight, but merely keep it from falling out.
The holder is a
rotatable four-sided carousel, the other sides being the same (the clamps on the sides are not shown).
688
Compound Semiconductors
Etching of the sample surfaces is not always required, but in some cases (particularly, it seems, with InP)[27~-~321 certain chemical etches can affect the spectra or luminescence intensity in important ways. At room temperature, the type of gas ambient may also affect the photoluminescence (PL) intensity and its time-dependence under illumination.[271~331[34~Consultation of the literature and a certain amount of experimentation may be useful. 2.6
Optics
Optics serve to direct the laser excitation onto the sample, to collect the luminescence and direct it into the spectrometer, and to provide polarization analysis when necessary. In most luminescence studies, it is not desirable to focus the laser to a small spot on the sample, as the excitation intensity becomes too large for most purposes (see below). Slight defocusing of the laser may even be useful. A relatively tight focus, however, is typically used for Raman studies; a cylindrical laser focusing lens parallel to the slits may be useful in this case. Collection optics typically use one or two lenses. In either case, the objective is to form an image of the sample on the slits which is as greatly magnified as possible, while ensuring that the entrance cone (specified by the fl# of the spectrometer) is fully illuminated by the collection optics. If the latter condition is satisfied, no improvement in throughput is possible.[61 Increasing the magnification reduces the area that is probed on the sample, which helps to reduce the effect of sample nonuniformities on the spectrum. The single lens system makes alignment simpler, but typically requires a larger diameter lens. In either case, the lens(es) must be mounted on three-axis (xyz) micropositioners to permit optimization of the signal strength for each sample. The laser beam can be brought through a notch on the edge (or a hole in the center) of the collection lens, if necessary. A short, simple, straight collection path from the cryostat to the spectrometer slits, without any beam splitters or mirrors, is recommended, when possible. For rapid room temperature measurements, it may be more convenient to lay the sample face up on a flat surface and use a folding mirror to direct the luminescence. An interference filter is usually required in the beam from a gas laser to eliminate spontaneous emission in the form of plasma lines. A filter may also be needed to prevent scattered laser light from entering the spectrometer, particularly with a single monochromator. Polarizers and wave plates are needed to analyze the polarization properties of the luminescence or
Optical Characterization
689
Raman scattering signal. A linear polarizer should be placed just in front of the slits, aligned with the preferential polarization direction of the grating at the wavelength of interest. Rotatable quarter or half-wave plates in front of the polarizer can then be used to analyze circular or linear polarization properties of the light, respectively, without any effect of the spectrometer polarization. Calcite prism polarizers are best (and most expensive) as the linear polarizers, and large aperture achromatic retarders (based on birefringent polymers) with large acceptance angles and high throughput are ideal as the wave plates. High power rhomb retarders may also be required to control the polarization of the incident laser beam.
3.0
BASIC THEORY AND APPROACHES
3.1
Luminescence
The Basic Process. Luminescence is the characteristic radiation emitted by a sample in excess of its thermal equilibrium black body radiation. It is, therefore, possible only from a sample excited to a nonequilibrium state. The excitation may be provided by incident light (photoluminescence or PL), an electron beam (cathodoluminescence), carrier injection across a junction (electroluminescence), thermal release oftrapped carriers (thermoluminescence), a chemical reaction (chemiluminescence), friction (triboluminescence), etc. Cathodoluminescence spectroscopy has been reviewed elsewhere[35l and is not discussed here. We focus on photoluminescence, as the most flexible, nondestructive, and convenient technique. A number of excellent prior reviews have been given.1361-1451 The basic steps are as follows. The incident light (usually having photon energy greater than the bandgap) is absorbed near the surface of the sample, creating energetic electrons and holes. These relax very quickly by optical phonon emission, while diffusing deeper into the sample. The overall shape of the steady-state excess carrier distribution is determined by the carrier diffusivity, recombination lifetime, and surface recombination velocity.1361 The surface recombination velocity is itself a function of injection level and doping, even in the low injection regime (see Sec. 4.4). Subsequently, the carriers recombine through a variety of radiative and non-radiative pathways, depending on the doping, temperature, and other parameters. A small portion of the radiative emission is transmitted back through the front surface, although most of it (especially that exceeding the
690
Compound Semiconductors
critical angle of incidence) is reflected back into the sample, due to the high refractive index of semiconductors. If the internal quantum efficiency of the radiative process is high, multiple re-absorption and re-emission processes may be possible, an effect known as photon recycling. [461-[501 In this situation, the effective diffusion length of the carriers may be more than an order of magnitude greater than the value expected from the lifetime and diffusivity. Moreover, the effective diffusion length may increase with excitation intensity, due to increases in the radiative efficiency as nonradiative recombination pathways are saturated.14911501 Such processes may also permit the excitation of quantum wells lying deep within the sample which are not directly accessible to the photoexcited carriers. Photon recycling is important mainly in high quality material which has low surface recombination, such as epitaxial InP layersIs or Type I double heterostructures.1481 Even when photon recycling is insignificant, however, it is critical to remember that the diffusion length of the carriers, which essentially determines the depth of the layer that is probed, is often much greater than the penetration depth of the exciting light. The intricacies of the luminescence process are often ignored in practice by implicitly assuming that the measured spectrum reflects the true spectral distribution of the internally emitted light. This assumption is nearly always grossly wrong. In particular, the absorption coefficient decreases by orders of magnitude as one goes further below the bandgap in direct gap materials, so that pronounced distortions and even artifactual peaks may occur due to the effects of internal re-absorption on the emitted radiation.l31ll51l In particular, lower energy transitions usually reflect the behavior in the deeper portions of the sample, while higher energy peaks correspond more to the surface regions. These distortions are one of several reasons that quantitative evaluation of PL data on direct gap materials must be performed with great care (if at all). Well known examples of spectral distortion include the broadening and notch commonly observed in neutral donor-bound exciton peaks in GaAs and InP at high excitation levels,15211531 the surface-related notch sometimes observed in the free exciton/polariton emission from GaAs , t54115sland artifactual peaks in InP.t311 Artificial enhancement of lower energy transitions and spectral distortions can also result from self-absorption of rays reflected off the back surface of samples, as demonstrated, for example, by Warwick.l56l Recombination Processes and Lineshapes. The theory of many luminescent processes has been reviewed in detail (for example, by Bebb and Williams),1361 so that our discussion is concise. In particular, we focus
Optical Characterization
691
mainly on those processes expected to dominate in direct gap zincblende materials, so that we ignore many of the issues relevant to Si, Ge, Gap, AlAs, wurtzite materials, etc. Band-to_BandRecombinution. At room temperature, simple bandto-band recombination is usually assumed to be dominant in low-doped material, although this assumption has been debated in the case of some quantum well structures and wide gap II-VI materials, where processes such as donor-to-valence band or free exciton recombination have been invoked. The lineshape of any luminescent process is essentially determined by the density of states in the initial state (of both electron and hole), multiplied by thermal occupation factors and the energy dependence of the matrix element (if significant). Energy and crystal momentum conservation combine to create a joint density of states relevant in the band-to-band case. For nondegenerate conditions with no significant band tailing, the band-toband lineshape is approximately
Eq. (1)
I,(E)
= E(E - E,)1’2 exp
where Es is the bandgap, k, is Boltzmann’s constant, and the slowly varying photon energy (E) term is often ignored (we have also ignored the dispersion in the refractive index). The maximum of this peak occurs at Es + k,T/2, and its FWHM x 1.8 k,T. One must, of course, include the refractive index of air when converting wavelength to energy, so that E = 12,395.20 eV-A/h in the visible and NIR regions. Free Excitons. At low temperature, the time required for electrons and holes to form free excitons (bound electron hole pairs) is shorter than the radiative lifetime for band-to-band recombination, so that the latter process is never observed. Free excitons in three-dimensional materials must really be considered as coupled exciton-photon modes known as poluritons, which can propagate through the crystal, and can be created, destroyed, scattered, and transmitted through the surface into external photon modes.1541[551 The theory of polariton propagation is, in general, quite complex, so no simple (and accurate) theory can be given for the free exciton lineshape. Experimentally, a variety of shapes (both notched and unnotched) is observed, depending, for example, on excitation energy,l57l layer thickness,15*l and especially on the surface conditions.15gl-1611 The lineshape does, however, experimentally exhibit a finite, temperaturedependent linewidth due to kinetic energy of the excitons as a whole, even
692 Compound Semiconductors thoughexcitonswith nonzerokinetic energyarenot, in principle, allowed to “recombine” without somescatteringprocess. The natureof the scattering processes,therefore, determine the temperature-dependence of the linewidth. The finite linewidth and its temperature-dependence can be very useful in distinguishingfree and boundexciton peaksin PL spectraof both bulk and quantum well[62l samples, providing other broadeningmechanisms arenot excessive. Otherfreeexciton-relatedfeaturesalso exist. For example,excited states(n = 2, n = 3, etc.) of free excitons are generally observablein high quality materials and heterostructures,and biexcitons haverecently beenobservedusing time-resolvedspectroscopyin GaAs at high excitation levels.t631 Lower dimensional structures can result in modified exciton line shapes.A particularly fascinatingeffect was recently reportedby Kusano et al.,ia4jwho observedthat the free exciton peak splits into as many as elevendiscretelines in AlGaAs/GaAs doubleheterostructureswith GaAs layer thicknesseson the orderof 1000-2000A. The discretestructurewas attributed to quantization of the center of mass motion of the exciton. Finally, we notethat the exchangeinteractionbetweenthe electronand hole in free excitons, which is negligible (-0.02 meV) in bulk GaAs, can be strongly enhancedto produce an observablesplitting of the free exciton lineshapein quantumwells, accordingto recentdatapresentedby Bauer et al.[65j This splitting arises from the exchangecoupling of the j = l/2 electronandj = 3/2 holeto producej = 1 andj = 2 states. Emission from the j = 2 state is not rigorously allowed by selection rules, but apparently becomesobservable,in spite of its much lower oscillator strength,due to thermalization(sinceit is the lowestenergystate). Theoreticalcalculations of this splitting havebeengivenby Gil et al.t66jA broadeningof the exciton peaksin multiple (as opposedto single) quantum wells, on the order of 2 meV, has been predicted theoretically, due to a longitudinal-transverse splitting of theexciton dispersioncurvesasa consequence of the long-range natureof the Coulomb interaction.[67jA controlledexperimentalinvestigation of this effect hasyet to be reported,to our knowledge. Subbandmixing and dispersioneffects can also produce distortions of higher-orderexcitonic statesin superlattices.~1 The dispersioneffect, unlike the longitudinal-transversesplitting, dependson the possibility of tunnelingbetweenthe coupledwells. Bound Excitons. Excitons frequentlybecomelocalized or trapped in the vicinity of an impurity or defectprior to recombining,and form states known as bound excitons.t381[391[45j S’mce thesestatespossessno kinetic
Optical
Characterization
693
energy, they produce perfectly sharp peaks in principle when they recombine. In practice, linewidths are affected by inhomogeneous Stark effect broadening associated with the random electric fields and field gradients in the material due to ionized impurities, by inhomogeneous strain broadening, and by lifetime (homogeneous) broadening in cases where they are rapidly relaxing to a lower energy state or starting to dissociate thermally. Excitons may bind to neutral donors and acceptors, to ionized donors, and to isoelectronic traps. Binding to ionized acceptors is unstable when the electron mass is less than the hole mass,t3*l as is usually the case. Bound exciton states are frequently split into subcomponents by such effects as the cubic crystal field, and quantum mechanical exchange interactions between the various electrons and holes in the complex. Orbital excitations of the complex may also occur, such as excitations of the hole in the neutral donor-bound exciton systemt6g1t701or excitations of the electron in the neutral acceptor-bound exciton complex.t711 The latter states, which are widely separated from the ground state and therefore thermally depopulated in PL experiments, can only be observed in excitation spectroscopy.[711 Association between different components of a single exciton system can often be established from thermalization studies or by excitation spectroscopy. Free-to-Bound Transitions. This type of transition may be either the conduction band-to-acceptor (e-A”) or donor-to-valence band (Do-h) type, and frequently occurs at low temperature. Both of these transitions are thermally broadened due to the kinetic energy of the free particle. The theory of these lineshapes has been rev&wed in Ref. 36. At low temperature, the matrix element is approximately independent of energy in the (e-A”) case, due to the relatively large spread of the acceptor wave function in kspace. Thus, the lineshape in nondegenerate conditions is simply given by
Eq. (2)
I,(E) = E(E - E, - E,)1’2 exp(-E-gEA)
which is similar to the band-to-band lineshape shifted down in energy by an amount E,, the acceptor binding energy. Note that the relevant temperature is the electron temperature T,, which is often substantially greater than the lattice temperature at low temperature.t721 The bandgap, of course, depends on the lattice temperature, i.e., E, = E&T,). The peak energy is thus E, - E, + k,T$2 and the FWHM x 1.8 k,T,. We have recently shown that this lineshape is valid even in the case of polar materials such as ZnSe,[731
694
Compound Semiconductors
where concern had earlier been expressed over the possible effects of acoustic phonon coupling on the lineshape.l74l The positions of (e-A”) peaks can thus be taken as the most direct way of determining acceptor binding energies, assuming that the bandgap is known with reasonable accuracy. It is commonly observed in the presence of donor-acceptor pair recombination peaks to lower energy, however, that the low energy sides of (e-A”) peaks do not exhibit the sharp cutoff predicted by Eq. (2). We have attributed this effect to highly excited states of the donors which have banded and merged with the conduction band.l75l For (DO-h) transitions, one can no longer ignore the effect of the energy dependence of the matrix element on the lineshape, which is now modified to be approximately:
Eq.
(3)
1x03 = E
{(~)+(~)}(E-E~-t,il’zrxp(-E-~~~ED
Here, mr,,, and m,,, are the heavy and light hole effective masses, respectively, E,, is the donor binding energy, and x,, = m, (E - Es + E&m,E,,), where v = hh or lh, and m, is the conduction band effective mass. The valence bands are approximated as spherical and parabolic in Eq. 3. The (DO-h) peak is therefore significantly narrower than the 1.8 k,T value found for the (e-A”) case, due to the energy dependence of the prefactor. This peak is often very close to the ionized donor-bound exciton peak, since the hole in the latter complex is usually very weakly bound. In GaAs and InP, for example, the two peaks can just be resolved in very high purity material.l76ll771 Boun&uJ30und Trunsitions Bound-to-bound or donor-acceptor pair transitionsl40l are another common feature. The photon energy ho resulting from this transition depends on the separation R of the pair in the lattice, due to the Coulomb interaction in the final state: E=Ao=E,-E,--ED+
&+‘(W
where q is the electronic charge, E, is the permittivity of free space, E, is the relative dielectric constant, and f(R) is a correction for the overlap of the donor and acceptor wave functions. Since a statistical distribution of neutral donor-acceptor pair separation distances exists in a given sample, a broad peak will be produced with a low energy cutoff given by Es - E, - Ep. Unfortunately, the position of this cutoff is not easy to determine with any
Optical Characterization
695
accuracy, so that this method cannot be reliably used to determine En. If one assumes a Poisson distribution of the separation differences, which neglects both preferential pairing of the ions during growth and preferential occupation of pairs by electrons and holes at low temperature, the donoracceptor lineshape is given as:17*l I,(E)
=
R‘Gxp(-4yAR3)
where N, is the acceptor concentration, and R = R(E) as found by solving Eq. (4) for R, neglecting the f(R) term. It is assumed in deriving Eq. (5) that minority carrier capture is the rate-limiting step (i.e., low excitation), and there are no competing pathways. If instead the recombination is the rate-limiting step, as may be the case at high excitation levels, the above lineshape is multiplied by a factor of exp(-2R/aJ, representing the dependence of the matrix element on separation. Here, aA is the Bohr radius of the hydrogenic acceptor level. (Actually, the acceptor state is not hydrogenic at all, due to the degeneracy and anisotropy of the valence band). When competing recombination is present, as is usually likely to be the case in real samples, neither of the above simple theories is correct. Kamiya and Wagner have shown that the lineshape in n-type material under low excitation is shifted by the effects of competing processes, such as (e-A”) or non-radiative processes towards recombination at closer pairs having shorter lifetimes, which produce higher energy photons. 17gl The lineshape expression is then more complex and requires numerical computation of the neutral acceptor concentration.17gl The important point to note is that (DO-A”) peak positions depend on doping level, excitation intensity, temperature, and the non-radiative recombination rate, so that they are not as reliable as (e-A”) peak positions to determine impurity binding energies. The characteristic dependence of (DO-A”) peak position on excitation intensity (a shift to higher energy of typically -1-2 meV/decade of intensity) can be very useful to identify this mechanism. However, other types of transitions such as surface-related peaks1301may show a similar dependence, so that more definitive evidence such as selective pair luminescence is desirable. We note that an additional (DO-A”) pair recombination peak involving the n = 2 excited state of the donors has also been identified in materials such as GaAs and InP,1751and ZnSe.1731
696
Compound Semiconductors
It must also be noted that pair separation is a discrete quantity, determined by available lattice sites in the crystal. For closely spaced pairs, where dI,,/dR is large, discrete lines are therefore discernible in some materials where either the donor or acceptor binding energy is sufficiently large to ensure that these pairs remain bound according to Eq. (4). This condition is not well satisfied for ordinary shallow impurities in either GaAs or InP. Discrete structure can yield a wealth of information, such as whether the members of the pair are located on the same or opposite sublattices. Full analysis, however, requires an accurate knowledge of the appropriate dielectric constant. A detailed discussion may be found in Ref. 40. Satellite Peaks. Satellites of many of the above processes are also common features of the spectra. Replicas involving phonon emission are usually observed. The free exciton (polar&on) peak, for example, has TO and LO phonon replicas which may occur in the region of the acceptorrelated (DO-A”)and (e-A”) peaks,t8011811 and which could potentially be confused with the latter. These peaks are usually only significant in material with very weak acceptor-related peaks, and are usually distinguishable by their characteristically asymmetric lineshapes (sharp cutoffs at low energy and long high energy tails) if sufficient resolution is achieved. They are also distinguishable by their tendency to become stronger relative to (Do-A”) and (e-A”) peaks at high excitation levels;lsll they can therefore be minimized by using low excitation. Inelastic scattering of the free exciton/polaritons from neutral donors, where the donors are left in their n = 2 excited state, is often observed at (3/4)E,, below the principal free exciton peak.l**J Donor and acceptor-bound exciton peaks exhibit LO and possibly TO phonon replicas, lsol which are usually stronger for the acceptor-bound case due to the more confined nature of the acceptor wave function. This difference in phonon coupling strength has been used, for example, to identify a P acceptor-bound exciton peak that occurs in the vicinity of the normal donor-bound excitons in ZnSe.ls311s41Another characteristic of (A”,X) peaks is that they often exhibit a low energy shoulder involving excitons bound to pairs of acceptors in more heavily doped samples.t851-t881 This shoulder is sometimes highly structured (undulation structure), due to the discreteness in the possible separation distances between acceptors.l85l186l Isoelectronic centers often exhibit coupling to a wide variety of lattice and resonance or local-mode phonons, due to the short range nature of the potential associated with them.ls91 Finally, both (DO-A”)and (e-A”) peaks
Optical Characterization
697
typically exhibit LO phonon replicas. The phonon coupling strength is comparable for both types of peaks when the acceptors are deeper than the donors (as is usual), although the (DO-A”) coupling strength is usually slightly higher for transitions involving a given acceptor level. Peaks involving deeper levels may be coupled to a wide range of phonons so that, in the limiting case of strong coupling, the spectrum is dominated by a broad, Gaussian shaped band which can be described by configuration-coordinate theory. The no-phonon lines may be weak or even absent in this case.t401 An important class of satellite peaks of excitons bound to neutral impurities is that in which the impurity is left in an excited electronic state after recombination of the exciton. Such replicas are usually termed excitedjnal-state transitions, or two-hole or two-electron transitions in the case of (A”,X) or (D”,X) peaks, respectively.l38l These typically sharp peaks can be of great utility in identi@ing donor or acceptor species. Their presence also provides positive evidence for the type of center responsible for the binding, since isoelectronic or ionized impurity-bound excitons and discrete (DO-A”) pair lines cannot exhibit this type of satellite. This distinction has been used, for example, to prove the (AO,X) nature of the defect-bound exciton lines in MBE GaAs (see below). Deep Centers. Other types of transitions, such as intrad shell transitions involving transition metal ions or emission associated with the f shells of rare earth impurities may occur in deeper portions of the spectra. These types of transitions have been reviewed elsewhere.141114211901-1941 Of particular interest is the recent detection of the no-phonon line associated with luminescence involving the important EL2 defect in GaAs.t951 Examples of the various transitions discussed above are given in the cited references and in later sections. Experimental Considerations. It is extremely important to choose appropriate excitation conditions to obtain spectra which are suitable for materials evaluation, impurity identification, and so forth. Obviously, strain free mounting and adequate instrumental resolution are very important, but excitation intensity also plays a key role. For most purposes, the excitation intensity should be kept as low as possible, consistent with signal-to-noise and resolution considerations. In excitonic spectra, high excitation typically produces three undesirable effects. First, the (D”,X) peaks may broaden, in some cases, at high excitation due to the selfabsorption effects mentioned earlier which, for reasons that are somewhat controversial, occur mainly under high level conditions.l52ll53ll57l Ultimately, the peaks may even exhibit line reversal or notches, particularly in
698
Compound Semiconductors
GaAs when using strongly absorbed excitation well above the bandgap (e.g., green light). Because of these effects, the linewidths of these peaks may be artificially broadened well in excess of the broadening due to ionized impurities and inhomogeneous strain. Because of this effect, the use of these linewidths as an assessment of material quality must be viewed with caution. The second main effect is the development of a broad emission band underlying the exciton peaks, which has variously been attributed to emission involving surface ~tates[~*l or scattering processes involving free excitons.[771[961 This band can obscure the resolution of individual sharp features superimposed on it. Finally, broadening of the free exciton and (Do-h) peaks (and possibly others) is possible due to heating of the carriers at high excitation. [7211971 To minimize these effects, exciton spectra should normally be recorded at excitation levels of a few mW/cm* or less, and preferably with excitation close to the bandgap. Higher photon energies simply contribute to heating of the carriers and result in effectively higher excitation levels, due to the reduced penetration depth of the light. With more penetrating light, emission is potentially (depending on layer thickness and effective diffusion lengths) obtained from throughout a greater volume of material, permitting better S/N ratios at lower excitation intensities. Measurements as a function of excitation level, however, can be useful to identify the nature of various excitonic transitions. As the excitation intensity is increased, the strength of peaks involving neutral minority impurities, such as (A”,X) peaks in n-type material and (DO,X) peaks in p-type material, is generally increased. This effect follows from increasing photoneutralization of the minority centers, which are completely ionized in equilibrium. Similarly, the strength of neutral impurityrelated features may be increased relative to that of ionized impurity-related features, for the same reason. Similar, but even more dramatic enhancement of certain types of exciton peaks may result from choosing the photon energy of the excitation to be at particular positions below the energy gap.[981[991The details of the exciton and free carrier capture processes are believed to be responsible for this type of effect. The free exciton peaks usually become dominant at high excitation levels, due to saturation of the finite number of impurity centers; this effect is often used to distinguish free and bound exciton recombination in quantum wells.~62~[100~-~102~ At very high excitation, it may be possible to observe multiple exciton complexes, such as biexcitons.[631[1031[104)
Optical Characterization
699
The sample temperature is also very critical in excitonic spectra. Excitonic peaks show thermalization among their various split components at very low temperatures (even from 1.7-5 K, for example), which affects the spectrum.[6g1[1051[1061 At still higher temperatures (e.g., 5-l 0 K), bound exciton peaks start to quench significantly due to thermal dissociation of which my induce lifetime broadthose complexes, ~~~1~~1~~~~1~~~~~1~~~~1~~~~1 ening. In general, superfluid He temperatures are desirable if possible, although the sensitivity to temperature depends on the material in question and its exciton localization energies. Variable temperature measurements can be very useful in determining if thermalization occurs among different excitonic peaks, which indicates that they represent different energy levels of the same complex. Moreover, the temperature can be used to distinguish among different transitions. Peaks that are (D”,X), (A”,X), or (D’.,X) in origin usually quench more rapidly than the free exciton and (Do-h) peaks which, therefore, form the dominant structure at higher temperatures. Moreover, the latter two types of peaks both exhibit thermal (kinetic energy) broadening, while the bound excitons show only less pronounced lifetime broadening, which again helps to distinguish the different types of peaks.[63l The study of (Do-A”) and (e-A”) peaks is another case in which low excitation intensity (and preferably low photon energy) is crucial. The (DoA”) peaks shift to higher energy and become markedly broader at high excitation, since the more distant pairs with small wave function overlap and correspondingly long radiative lifetimes become saturated in favor of closer pairs with larger overlap and shorter lifetimes. Since the derivative of photon energy with respect to pair separation is larger for closer pairs, this shift is also invariably accompanied by broadening (even though some erroneous statements have been made in the literature that the (DO-A”) peaks become narrower). These effects are illustrated very clearly in the spectra of Fig. 2 for an undoped GaAs layer grown by gas source MBE, and measured at two different excitation levels. Two (DO-A”)peaks involving residual C and Ge acceptors are evident, which both shift to high energy and broaden at the higher excitation level. The Ge peak, however, exhibits a larger degree of saturation at high power due to the less extended nature of the wave function for this deeper acceptor and, consequently, reduced overlap with the donor wave functions. As a result, its intensity is relatively smaller at high power, and its shift and broadening are more pronounced. This example illustrates that relative (DO-A”)peak heights are not always proportional to relative acceptor concentrations, especially if saturation effects are not carefully avoided.
700 Compound Semiconductors
1
Ge(qb-A9
GSMBE GaA 131.7 K C(D“-A’) ,
Figure. 2. Low temperature PL spectra of (Do-A”) pair peaks involving residual C and Ge acceptors in a not-intentionally doped sample grown by gas source MBE, for two different infrared (1.5235 eV) excitation levels. The shit? and broadening with increasing excitation are both larger for the Ge peak.
No (e-A”) peaks were observed at liquid He temperatures in the above case, presumably due to a relatively high donor concentration. When such peaks are visible, the shift of the (DO-A”)peaks at high excitation reduces their separation from the (e-A”) peaks, making it harder to resolve them. Also, the (e-A”) peaks themselves are usually increased in intensity due to saturation of the (DO-A”)pair transitions, and are broadened at high excitation levels by the heating of the electron population by the photoexcitation. Finally, phonon replicas of exciton transitions become stronger at high excitation, which could be confused with the (DO-A”)and (e-A”) peaks as mentioned in Sec. 3.1. All of these effects are illustrated in Fig. 3, which shows (DO-A”)and (e-A”) peaks in an undoped, high purity OMCVD GaAs layer at two different excitation levels. The resolution of the various peaks is clearly much better at the lower excitation level. The different behavior of the (DO-A”)and (e-A”) peaks is useful in distinguishing the two mechanisms. However, to resolve possibly overlapping peaks due to different acceptors, and to make an accurate determination of peak positions to identify those acceptors, it is clear that lower excitation levels of a few mW/ cm2 or less are best. Unfortunately, much of the data reported in the literature is recorded at higher excitation levels (possibly due to the necessity of using higher pump powers to obtain adequate signal when focusing
Optical Characterization
701
the laser beam). This situation makes reliable acceptor identification difficult, if not impossible. The use of an unfocused pump laser allows the full height of the spectrometer slits to be illuminated by material luminescing under lower excitation, yielding better resolution.
cb-.@I I
T=l.?’ K
1.49
Energy (ev)
Figure. 3. The @o-A“) and (e-A”) region of the low temperature PL spectrum of an undoped GaAs layer grown by low pressure OMCVD at two different infrared (1 S235 eV) excitation levels. Note the improved resolution and reduction in strength of the interfering phonon replicas of the free exciton (FE) at low excitation.
There are some cases, however, in which high excitation levels are useful. This is particularly true when trying to resolve deeply-bound exciton peaks or satellites of bound excitons against a broader background involving (DO-A”)and (e-A”) peaks. The latter are more readily saturated than exciton recombination, because of their much longer radiative lifetimes. A striking example of this effect is shown in Figs. 4 and 5. Figure 4 shows the set of well-known defect-bound exciton peaks that frequently occurs in undoped MBE GaAs, especially when residual C contamination is significant.[lOgl The large number of observed peaks is due mainly to various spacings between the components of the defect comp1exes.t’ l”l-tl131 Some of these peaks are linearly polarized parallel to [Ol l] or [Oli], as discussed previously. I1l ll Selective excitation has previously been used to observe two-hole satellites of individual features, confirming the neutral acceptor-bound exciton nature of these peaks.t1141-t1161However, Fig. 5
702 Compound Semiconductors illustrates, for the first time, that simply by increasing the intensity of above-bandgap (green) excitation, it is possible to observe all of the twohole satellites of the defect (A”,X) peaks simultaneously, in addition to those of the C (A”,X) peaks. Some of these satellites are linearly polarized, like the principal (A”,X) peaks. The satellites are not clearly observable at lower level above-gap excitation, such as that used in the lower spectrum of Fig. 5 and in previous studies, where only (DO-A”)and/or (e-A”) peaks involving the defect acceptor levels have been detected in this region.1’17J[ll 8l The correspondence between the peaks in the two figures is not one-to-one because each bound exciton has multiple satellites involving various s-like final states of the acceptors. tllgl Since this measurement uses abovebandgap excitation, it is possible to discount any possible alternative explanation of the resonantly-excited data, such as selectively-excited pair luminescence or resonant electronic Raman scattering. The (A”,X) peaks involving ordinary substitutional acceptors exhibit much less complicated structure, but the principle of emphasizing bound exciton peaks using high excitation levels remains the same.
1.507
1.509
Energy
1.51 1
1.51 3
(ev)
Figure. 4. Normal and defect-related exciton peaks in the PL spectrum of an undoped MBE
G&s
layer under intense infrared excitation at 1.5 153 eV, for two orthogonal linear
polarizations parallel to the indicated crystal directions.
The high energy portion of the
spectrum is distorted by some scattered light from the laser.
Optical Characterization
T-1.7
1.46
703
K
1.47
1.46
Energy
(ev)
Figure 5. Lower energy portions of the PL spectrum of the sample of Fig. 4, at both low (upper spectrum) and high (lower two spectra) infrared excitation levels. The high excitation spectra, which show the two-hole replicas of the (d,X) peaks in Fig. 4, are recorded using the same two linear polarizations as in Fig. 4. The broad peak at 1.468 eV is really a superposition of many sharp peaks associated with different acceptors, as verified using selective excitation.
A study of the temperature dependence of the (Do-A”) and (e-A”) peaks is generally necessary to provide positive identification of the two mechanisms and of the associated acceptor levels, unless other techniques such as selective pair luminescence are employed. The temperature dependent measurements must be made under low excitation intensity to achieve good resolution, and at low total laser power (usually 51 mW), to avoid sample heating. This technique is especially necessary when (Do-A”) and (e-A”) peaks involving different acceptors overlap, as is frequently the case. When the acceptors are much deeper than the donors, as is common, the donors ionize into the conduction baud as the temperature is raised, causing the (DO-A”)peaks to shit? slightly upward in energy and quench in favor of corresponding (e-A”) peaks. The (e-A”) peaks also broaden with temperature, which permits confirmation of their identities. At higher temperatures, the shallower acceptors begin to ionize, and do so more rapidly than
704 Compound Semiconductors deeper acceptors. For this reason, spectra recorded, for example, in GaAs at 15-20 K will be strongly distorted in favor of deeper acceptor levels such as Ge over shallower levels such as C.11191This is another reason why measurements at the lowest possible temperature are generally desirable. The temperature dependence of (DO-A”)and (e-A”) transitions has been used, for example, to establish the nature of peaks involving the defectrelated acceptor levels in MBE GaAs,11181as well as of peaks involving donor and acceptor levels in superlattices.l120l In one recent case, the temperature dependence described above was observed only at higher temperatures, while a quenching of a (e-A”) peak present at 1.7 K relative to the corresponding (DO-A”)peak was observed from 1.7-U K.1731This anomalous quenching behavior occurred in Lidoped p-type heteroepitaxial ZnSe, and was modeled as being due to the temperature dependence of the competing non-radiative recombination rate. As the non-radiative recombination increased, the total electron population of the donor/conduction band system was reduced, giving rise to a motion of the electron quasi-Fermi level that depopulated the conduction band. It remains to be determined if such behavior also occurs in other materials; it may be related to the relatively low PL efficiency of the Lidoped samples in question. In general, (e-A”) peaks may be observed under low excitation at low temperature only in p-type or high resistivity material, which is well known for GaAs and InP,1371and has very recently been shown to occur in p-type ZnSe.1731Most likely, the presence of (e-A”) peaks at low temperature is due to low donor concentrations, which causes the donor population to be saturated (fully neutralized) even at low excitation intensities. Additional electrons created by the photoexcitation are then forced into the conduction band. Testing this hypothesis will require measurements over a wide range of excitation levels, which can be difficult due to signal-to-noise limitations. Time-Resolved Measurements. While most routine investigations employ CW excitation, measurements of PL decays using pulsed excitation can be valuable for a variety of purposes. Two methods are most commonly employed, although other techniques such as the use of streak cameras are possible. The picosecond pump-and-probe technique is used for the study of very fast transients, typically involving energy relaxation of hot carriers. This method, however, is limited to fast decays and requires high level excitation, which is unsuitable for many purposes. For slower speed transients, such as excitonic, (DO-AO),and (e-A”) recombination, which should usually be studied under lower excitation levels, the time-
Optical Characterization
705
resolved photon counting methodl1211is the most popular. This method typically employs a mode-locked laser, usually in conjunction with some method of reducing the repetition rate, such as a cavity dumper. A photomultiplier tube with minimal transit time dispersion (usually of the side-on variety) or a microchannel plate detector is used to detect a luminescent photon. A constant-fraction discriminator detects the PMT output pulse and triggers a time-to-amplitude converter (TAC). The subsequent laser pulse provides the stop signal for the converter, and the output is then analyzed using a multichannel pulse height analyzer. The results are digitally subtracted from the period of the laser pulses to obtain the delay between incident and detected photons. This backward triggering scheme is used because many laser pulses may occur in succession without producing a detected photon under typical low excitation conditions. It would, therefore be wasteful to trigger the TAC on laser pulses, since most of the time would be spent waiting for the TAC to reset. The above mode produces a time decay curve at a fixed detection wavelength. Using one or more single channel analyzers set to respond in fixed delay windows and scanning the spectrometer can alternatively produce a spectrum for various time delays after the excitation pulse, which may a more useful mode of operation when background signals of a different origin underlie the spectral feature(s) of interest. The time resolution obtainable with this type of system is typically down to -0.3 ns with PMT detectors, and down to -35 ps with microchannel plates. A typical application of this type of system is to measure the lifetimes of bound excitons. Long (-1 us or greater) lifetimes are characteristic of excitons bound at isoelectronic centers, which cannot undergo the Auger transitions that typically prevent such long lifetimes for excitons bound to neutral impurities. The Auger transition involves non-radiative recombination of the exciton, with a transfer of the energy to the remaining particle. The magnitude of the lifetime can, therefore, be used to distinguish isoelectronic-bound from neutral donor or acceptor-bound excitons. Recombination of free excitons in quantum wells can be monitored in time-resolved measurements to help determine if the system is spatially direct (Type I) or spatially indirect (Type II staggered offset). Similarly, the direct or indirect nature of transitions in k-space influences the lifetimes, which is of particular interest, for example, in the case of short period superlattices. The dynamics of (DO-A”)pair recombination can be investigated, including the well known shift of the peak to lower energy at longer times.t401 Also, time windows can be used to separate the longer-lived (DO-A”)recombination
706 Compound Semiconductors from shorter-lived (e-A”) peaks.[ 1161The dynamics of polar&on propagation have also been investigated with this technique.[1221 Excitation Spectroscopy and Selective Excitation. As mentioned in Sec. 2.1, the use of tunable lasers provides a very useful additional degree of freedom. Photoluminescence excitation spectroscopy (PLE) is a technique in which the detection spectrometer is set to a fixed wavelength, while the excitation wavelength is scanned (normally over a region at higher energy than the detector). To a first approximation, this measurement is similar to an absorption measurement. Iu reality, however, the nature of the spectrum often depends strongly on the position of the detector due to the selective nature of the energy relaxation processes. The PLE technique is useful, for example, in detecting excited states of excitons that are not evident in emission at low temperature due to thermalization in the initial state of the emission process. It can also be used to establish whether exciton splittings are associated with the initial or final state of the emission process, since thermalization will be evident in luminescence in the former case, and evident in excitation spectroscopy in the latter case. It is also useful as an adjunct to selective pair luminescence (discussed below), especially when highly excited states of acceptor impurities are to be studied. It is particularly useful in distinguishing intrinsic from extrinsic or impurity-related peaks in a PL spectrum. The intrinsic peaks generally dominate the excitation spectrum because there is virtually no limit to the number of free excitons or free carriers that can be created, while there is a limit to the number of impurity centers. However, detection positions close to satellites of bound exciton lines can produce strong fesponses on the principal bound exciton lines, particularly in the case of neutral donorbound excitons.1123J[1241 Because of its sensitivity to intrinsic properties, PLE (along with other absorption-like techniques) is much better suited to determining the excitonic bandgaps of strained materials, alloys, and quantum-confined structures than is simple PL. The low temperature PL spectrum may be dominated by bound excitons or even by lower energy satellites involving acceptors, deep levels, etc. and, thus, can be unreliable for this purpose. This is particularly true for determining ternary alloy compositions, although less precise determinations can be made using room temperature PL. Excitation spectroscopy offers particular adva&ages over PL in assessing spectral broadening due to interfacial roughness in quantum well and superlattice samples, since low temperature PL spectra typically probe only the lowest energy states, due to thermalization and may, therefore, not
Optical Characterization
707
reflect all of the broadening in the density of states. The PLE spectra, however, reflect the density of states more directly. The two techniques are complementary, since the difference between the excitonic peak positions in PL and PLE, known as the Stokes shift, is a measure of the density of states broadening and, therefore, of the sample quality. Large Stokes shifts may also be indicative of type II (staggered) band alignments in layered structures.11251 Both PL and PLE spectra of quantum wells can be affected by carriers in the well due to doping, but only the lower energy peaks in the PLE spectra are typically affected. The use of both PL and PLE spectra is generally necessary to achieve a good understanding of quantum well properties.l126l Finally, PLE using polarized incident and detected light can be used to determine if particular transitions involve heavy or light holes, which can be very use&l both in quantum wells and in strained materials.11271-[12gl Selectively-excited luminescence involves fixing a tunable laser at a particular position which preferentially excites a certain transition, such as the two-hole or two-electron satellites of neutral impurity-bound exciton peaks. This technique can cause satellites to become visible above other background signals when they would not be under excitation above the gap. As pointed out above, similar effects can sometimes be obtained using high intensity non-resonant excitation, but resonant excitation is usually more effective for this purpose. Selected excitation positions can also be used to enhance different sets of peaks in the spectrum, such as ionized vs. neutral donor-bound excitons.lg811Wl Excitation resonant with the free exciton peak often has the effect of enhancing the strength of all bound exciton peaks over the background of other transitions, while avoiding the carrier heating that can result from intense above-bandgap excitation. Selective excitation of (DO-A”) pair luminescence, known as SPL, is a particularly useful means of identifying acceptors and studying their excited states. In this method,l13oll131l below-gap excitation is used to create neutral (DO-A”) pairs at a specific separation distance, where either the donor or acceptor is in an excited state. The excited impurity rapidly relaxes to its ground state, but recombination often occurs before the excitation can migrate to other (DO-A”) pairs of different separations. Since many of the recombining pairs have a specific pair separation, which produces a well-defined photon energy according to Eq. 4, a sharp peak can be observed at the energy given by that equation. The separation of the sharp peak from the laser line is just the ground state to excited state energy separation of either the donor or acceptor, with a correction for the
708 Compound Semiconductors difference in the f(R) terms of Eq. 4 for the ground and excited states of the impurity. The effect of this correction is to reduce the observed separation below the true excitation energy of the impurity, by an amount that is reduced as the pair separation increases. The limit of the observed separation for low exciting energies is, therefore, taken as an estimate of the true excitation energy. The true separations for s-like states can be more directly measured from the separation of neutral impurity-bound exciton peaks and the corresponding two-particle replicas, when the latter are observable. However, SPL is capable of detecting both s and p-like excited states of acceptors, whereas the p-like states are not usually observable in two-hole transitions due to parity selection rules.l38ll132l The sharp SPL peak is typically superimposed on a background of non-resonantly excited (DO-A”)pair recombination, which is present mainly due to partial migration of the excited e-h pairs to neighboring impurities. A separate sharp peak can typically be observed for each different excited state of the impurity, each of which corresponds to a different pair separation for a fixed exciting laser energy. The sharp structure is most pronounced when it occurs just on the high energy side of the non-resonantly excited (DO-A”) peak since this position offers the optimum trade-off between the density of pairs available with the required separation distance and the recombination lifetime of those pairs. If the lifetime is too long, as may be the case for very distant pairs, there will be a high probability of the excitation migrating prior to recombination, in which case the selectivity is lost. Since SPL involves weakly absorbed below-gap excitation, it works best in thick samples such as bulk crystals. However, it is also frequently effective in epitaxial layers as long as they are not too thin to offer sufficient absorption at the exciting energy. The impurity concentration must not be too low in this case, but neither should it be too high, which would favor inter-impurity migration of the excitation. One must be careful in the case of epitaxial layers to avoid the possibility of detecting signals associated with the substrate due to the penetrating nature of the excitation. In the author’s experience, the effectiveness of SPL measurements in epitaxial material is variable: some samples show only non-resonant broad peaks for reasons that are not always clear. However, the SPL peaks are often easier to detect than resonantly-excited two-hole satellites, although the latter are sharper when they can be observed. An example of well-resolved SPL spectra is shown in Fig. 6 for residual Zn acceptors in a high purity GaAs layer grown by vapor levitation epitaxy. l133lExamples are shown for four different exciting laser energies, which favor different excited states, in-
Optical Characterization
709
chrding the 2~,,~, 2s,,*, 2p,#,), 2p&,), and 3~ levels. In this case, a two-hole (TH) replica of the Zn (AO,X)peaks is also observable when it is resonantly excited on the principal (A”,X) peak at 1.5 120 eV. In measurements in our laboratory, we have found the energy separation of ground and excited acceptor states at a@xed exciting energy to be reproducible within 0.1 meV for a given acceptor species in GaAs and InP, which offers a high degree of precision in acceptor identification. However, larger discrepancies may occur between results reported in different laboratories, presumably due mainly to differences in exciting laser energies.
ENERGY (cv) 1.495
1.490
1.485
1.480
1.475
I
I
I
VLE GaAs SOLID GaAs SOURCE T=1.7K PL = 430 mW/cm2
Figure. 6. Selectively-excited (DO-A”) pair spectra for residual Zn acceptors in an undoped GaAs layer grown by vapor levitation epitaxy, at four different excitation energies (ho,,,). (After ReJ: 133.)
80 9300
8320
8340
8360
WAVELENGTH (A)
8380
8400
710 Compound Semiconductors Finally, we point out that the inverse of the above experiment can be performed, namely PLE of (DO-A”) pair transitions. In this case, the detection energy is fixed at a position on the (Do-A”) pair peak which corresponds to some particular pair separation distance, and the laser is scanned below the bandgap. t134l Peaks occur whenever the laser crosses through the excited states of pairs with the same separation as that being detected. This method is particularly useful for highly excited states of the acceptors, but it suffers from interference due to exciton transitions and is, therefore, used less commonly than SPL. The two techniques, however, are complementary. Perturbation Spectroscopy. Iu studying defect and impurity states, and the nature of observed transitions in PL, it is often useful to apply external fields to the sample during the measurement. The perturbations may include uniaxial stress, hydrostatic pressure, magnetic fields, or electric fields. The response of the observed PL features to these fields is useful in establishing the type of electron-hole complex that is being observed, intrinsic materials parameters such as g-factors and deformation potentials, and the nature and symmetries of impurity and defect states. Perturbations may be virtually essential for impurity identification in some cases such as donor identification in GaAs or InP, which generally requires the use of high magnetic fields. Hydrostatic Pressure. This perturbation probably yields the most accurate values of the net (conduction + valence band) hydrostatic deformation potentials, since the pressure can be calibrated using ruby luminescence. Diamond anvil pressure cells with gaseous pressure transmitting media are typically employed to obtain high pressures. Band structure effects are readily studied such as pressure-induced crossings of conduction band minima, and impurity levels can be examined under pressure to determine to which minimum (if any) they are tied.t1351 Pressure can also be used to tune effective masses and to study defect levels that are resonant with bands at atmospheric pressure. Uniaxial Stress. A typical uniaxial stress apparatus allows the stress to be controllably varied from outside the cryostat for convenience in studying stress-dependent phenomena. t136l A caution with this measurement is that stress calibrations are frequently inaccurate unless based on intrinsic features of the samples being studied, since the stress on the surface of the sample where the PL typically originates may be markedly different from that experienced in the interior. Uniaxial stress, unlike the hydrostatic variety, produces a splitting of the degenerate valence band and
Optical Characterization
711
any exciton or acceptor levels associated with it in zincblende and diamond materials into separate heavy and light hole states.l137l The magnitudes of the splittings depend on the magnitude and direction of the stress, as well as on the tetragonal and rhombohedral shear deformation potentials b and d. If such a splitting already exists (e.g., due to grown-in stress or quantum confinement), the applied stress may be used to modify it and perhaps to induce anti-crossings between the already-split components. Bound excitons split in more complicated ways depending on the stress behavior of the constituent particles and their interactions with each other and with the crystal lattice.l38ll45l Defect levels that split under applied stress may be studied as a function of the direction of the applied stress to ascertain the symmetry and orientation of the defects in the lattice. The applied stress technique is particularly useful because of the relatively large splittings that can be achieved in comparison to typical magnetic field-induced splittings in magnetic fields that are available in the laboratory (the exception being small bandgap or semi-magnetic materials with very large g-factors). Magnetic Fields. Magnetic fields affect both the orbital and spin wave functions of electrons and holes. The conduction band density of states is split into resolvable Landau levels in fields of sufficient intensity, provided that the elastic scattering time is not too short. The change in the density of states is directly reflected, for example, in the luminescence associated with (e-A”) transitions. The observed splittings can be used to determine the effective mass of electrons.144l The valence band behavior is, of course, more complicated, due to its degeneracy. In principle, its splitting should be evident in the (Do-h) transitions, although the usually lower mobilities of holes and potential interference from nearby (D+,X) and other bound exciton peaks conspire to make this experiment very difficult. Both electrons and holes also exhibit spin splittings which, in the hole case, really involve the intrinsic j = 3/2 angular momentum associated with the top of the valence band in diamond and zincblende materials. This momentum derives from the p-like (1 = 1) orbital angular momentum associated with the valence band Bloch functions, combined with the s = l/2 spin angular momentum associated with the spinor portion of the wave functions. The combination 1 = 1 CISs = l/2 yields j = 3/2, which corresponds to the fourfold degenerate top of the valence band, including the twofold degenerate heavy and light hole bands, and j = l/2, which corresponds to the twofold degenerate spin-orbit split-off band. The latter band is normally observable only in absorption-like measurements, since it is thermally depopulated. However, defects containing strong internal axial
712 Compound Semiconductors fields may cause a mixing of the j = 112 and j = 3/2 bands, yielding a quantum mechanical quenching of the orbital angular momentum associated with the valence band. This effect results in bound holes that behave as isotropic, pure spin l/2 particles with g = 2 (like electrons in free space).1381[451 The g-values of free electrons and holes, which contribute, for example, to splittings of free-to-bound recombination peaks, can in principle be calculated theoretically using k*p theory and neglecting remote band effects, although the results thus obtained rarely show agreement with the experimental values. In the case of unbound j = 312holes, two g-factors are needed to describe fully the anisotropic magnetic field splitting pattern. These g-factors, known as Luttinger parameters,l138l are denoted K and q for the isotropic and cubic splitting factors, respectively . In the case of bound electrons and holes, the g-factors are typically modified by the binding. These modifications can be calculated theoretically in the case of simple effective-mass Coulombic binding,*113gl the modified hole values are then denoted either K and L, or i? and Zi.11401 In the case of holes bound to defects with axial symmetry, two additional splitting parameters (usually denoted D and E) are required to determine the g-tensor completely.l45l The symmetries of this tensor can be used to deduce the symmetry of the binding center. The values of the g-factors for both electrons and holes vary in magnitude, and sometimes even in sign, depending on the precise nature of the complex in which they are bound. In practice, they must be determined experimentally. When electrons and holes are bound together in complexes, the interactions among the particles and with the cubic crystal field must be considered together with the magnetic field effects to determine the overall splitting pattern. When identical particles exist in the complex, the Pauli exclusion principle must also be taken into account. For example, the two s = l/2 electrons in a neutral donor-bound exciton complex could combine to yield j = 0 or j = 1, but the latter triplet state is Pauli-forbidden. The electrons are, therefore, nonmagnetic in this type of complex. The splittings are usually modeled using phenomenological perturbation theory, as described above. However, one must be cautious to ensure that magnitude of the perturbation does not exceed that allowed by perturbation theory. For example, the magnetic field-induced free exciton splitting in InP is predicted to be quite complex, involving several crossings in the O-20 T range, when accurate calculations based on a tensor operator formalism are applied.[141l
Optical Characterization
713
Magnetic fields also induce changes in the orbital wave functions of bound electrons and holes. For example, the n = 2 state of neutral donors and of excitons is split into separate 2s, 2p-, 2p”, and 2p+ states (linear Zeeman splitting). Free excitons, neutral donors, and other hydrogenic systems also exhibit the quadratic Zeeman effect, or diamagnetism in applied fields. The latter effect results in a shrinkage of the wave functions, which can in principle be used to probe such factors as interface roughness and compositional fluctuations in alloys on varying length scales. The splitting of donor states is particularly useful, as the 2p--2p+ splitting can be used to determine the effective mass of the electron, and a study of twoelectron transitions in a magnetic field can be used to identify hydrogenic donors in direct gap materials such as GaAs and InP. The diamagnetism helps to reduce inhomogeneous Stark effect broadening associated with residual impurity ions in the latter case. This effect also increases the central cell corrections to the binding energies of neutral donors, as well as the impurity species dependence of the exciton localization energy on neutral acceptors (see below). Electric Fields. These may be applied either perpendicular to the surface, typically using a semitransparent Schottky barrier or p-i-n structure, or parallel to the surface, typically using ohmic contacts on the surface. In bulk materials, applied perpendicular fields typically quench the PL processes, 11421t1431 although under strong forward bias it is possible to observe weak electroluminescence from Schottky barriers, especially if intervening oxide layers are present. 1144111451 In quantum-confined heterostructures, applied fields have been used in fundamental studies of the quantum-confined Stark effectl146ll147l and Wannier-Stark ladders,11481t14gl as well as in studies of vertical transport phenomena (see below). Parallel fields of only a few V/cm can be used to heat the electron and hole populations at low temperatures and, thereby, induce impact ionization of excitons and shallow impurities.1771t1501-t1521 The effects of raising the sample temperature are thereby simulated, though without the reduction in bandgap that occurs when the lattice temperature is raised. These experiments often require pulsed fields and synchronous detection of the PL, however, to avoid sample heating. 3.2
Raman Scattering Theory.
Raman scattering refers to the inelastic scattering of
incident photons from lattice vibrational modes to produce scattered
714 Compound Semiconductors photons with lower (Stokes scattering) or higher (anti-Stokes scattering) energy than the incident photon. These two processes, therefore, correspond to phonon emission or absorption processes, respectively. The processes are induced by the interaction of the incident electromagnetic field with the polarizability tensor of the crystal lattice (viewed on the classical or macroscopic level). Depending on the propagation directions and polarizations of the incident and scattered light, and the structure of this tensor, the scattering is subject to various selection rules which determine whether it is allowed or forbidden.1 153j Certain perturbations may, however, cause normally forbidden scattering to occur, which can be used to probe or monitor those perturbations.[154j Due to conservation of crystal momentum, first-order (single phonon) scattering can only occur for phonons with very small k-vectors, near the center of the Brillouin zone. In periodic structures such as superlattices, the folding of the acoustical phonon dispersion curves back to the T point in k-space by the periodicity can result in detection of a variety of acoustic modes whose scattering is not normally allowed.[155j-t158j Confinement of the optical modes to individual layers gives rise to a similar, though different effect for optical phonons in superlattices.[155j-[158] Finally, Raman scattering is possible from impurityrelated local or resonant mode phonons, although the detection limit is typically rather high (impurity concentrations > 1Or*- 1019 cm3, for example). Another important effect is the occurrence of resonances in the Raman scattering cross-section, which is normally extremely small. These resonances may occur when either the incident or scattered photon energy is degenerate with that of a real electronic transition.[159jt1601 This situation allows the scattering to proceed through this real intermediate state rather than, as is normally the case, through a virtual intermediate state. The cross-section may be enhanced by several orders of magnitude as a result, and normal selection rules may break down under resonant conditions. Resonances occur, for example, near the fundamental (E,) gap, the split-off direct gap (E,+A,), and at higher energy positions. By studying the crosssection as a function of (tunable) incident laser energy, information may thus be obtained using Raman scattering on the electronic states in the material. However, conventional modulation spectroscopy is superior to resonant Raman scattering (RRS) for this purpose in most cases in bulk materials, since the experiment is much easier and the observed peaks are sharper in many cases. The main application of RRS in bulk materials is the determination of electron-phonon deformation potentials.[*60j However,
Optical Characterization
715
RRS is of more interest in layered quantum structures.[1611 In this case, the enhancement of the excitonic binding sharpens the resonance profiles. More importantly, it is possible to probe the spatial extent of the electronic wave functions directly by separately determining the resonance profiles of LO phonons confined in different layers of the structures.[1611[1621 A different type of scattering, known as electronic Raman scattering (ERS), involves electronic rather than vibronic excitations of the crystal. Scattering from free electrons or holes may involve single particle excitations of the charge density or spin density fluctuation type, or collective excitations of a many-body system such as plasmon modes.[1631 The various types of excitations are distinguished and selected using their polarization and resonance behaviors.[1631[1641 Scattering from bound electrons and holes is also possible. For example, an incident photon can scatter from an electron or hole in the ground state of a neutral impurity, leaving it in an excited state. This type of transition requires that the parity of the electronic state of the electron remain unchanged during the scattering event, so that typically only s-states are detected. Resonant enhancements in ERS processes typically occur in the vicinity of excitonic transitions associated with critical points in the band structure; the process is then known as resonantly-enhanced electronic Raman scattering (RERS). This type of process has been used, for example, to determine band offsets in semiconductor heterostructures from the intersubband transition energies.[‘@l Finally, in the presence of a magnetic field, spin flip Raman scattering (SFRS) is possible, in which an electron or hole flips its spin while scattering the incident photon. This type of scattering can be used to determine g-factors of free carriers. Detailed descriptions of the theory of Raman scattering have been given elsewhere, and are repeated here. The reader is referred to Refs. 153164 for further information. Experimental Considerations. Most Raman measurements are currently performed in a backscattering configuration, using above-bandgap light for which the samples are opaque. The use of double or triple monochromators having holographic gratings is virtually imperative in Raman investigations using dispersive spectroscopy, since scattered light from the nearby laser line is, otherwise, likely to overwhelm the signal. Highly sensitive detectors are required, since Raman scattering signals are typically much less intense than PL. Array detectors are commonly used in determining resonance profiles, due to the large number of spectra that must be acquired. The use of a tunable laser is essential when performing RRS
716
Compound Semiconductors
or RERS; the use of resonant enhancement is, in fact, virtually essential to study scattering Corn single-particle excitations in electron and hole gase~.l’~l Polarization optics similar to those used in PL may be employed, particularly when one is studying, for example, forbidden LO phonon scattering or ERS of a two-dimensional electron gas. For Raman studies, the surface quality of the samples is critical, as rough surfaces give rise to a large amount of scattered laser light which interferes with the measurement. Optics with low fluorescence and scattering should be used, and the specularly scattered laser beam must, of course, never be allowed to enter the spectrometer. Many Raman experiments may be performed at room temperature Studies of plasmon although linewidths are reduced at low temperature. modes in material that exhibits freeze-out must, however, be performed at Electronic Raman scattering involving high (usually room) temperature. impurity-bound particles, of course, requires low temperatures, as does PL, since otherwise the impurities will be ionized and inactive. The power density in Raman experiments is typically much higher than that in PL measurements, but care must be taken to ensure that the total laser power is not high enough to heat the sample. Heating can be detected in room temperature measurements by monitoring the ratio of anti-Stokes to Stokes peak intensities, which is temperature dependent. For further experimental details the reader is referred to the literature. 3.3
Reflectance
and Modulated Reflectance
Theory. The reflectance of a semiconductor sample is linked through Maxwell’s equations to its complex refractive index or dielectric constant. The real and imaginary parts of the latter are not independent, but linked (as is the case for any causal function) through the Kramers-Kronig relations (also known as the Hilbert transform). The structure in reflectance spectra is generally linked to the strong variations in the optical properties near critical points in the band structure. Simple reflectance can be especially useful in wide gap II-VI materials, where the structure in simple reflectance is very pronounced near the fundamental exciton gap. However, modulated reflectance is generally more useful for accurate determination of critical point energies in bulk materials and of quantized energy levels in microstructures, due to the derivative-like nature of the spectra it produces. The modulation may be either external (such as strain, electric fields, magnetic fields, temperature, etc.), or internal, such as modulation of the
Optical Characterization
717
incident wavelength. We consider only the former type. External modulation mechanisms can be further classified into those which do or do not preserve the translational (not point) symmetry of the crystal lattice. Examples of modulations which do preserve this symmetry include strain (piezomodulation) and temperature (thermomodulation), while the key example that does not is electric fields (electromodulation). In general, translational symmetry-preserving modulation produces spectra which are first derivatives of the unmodulated dielectric function, while electromodulation (in the low field limit) produces either third-derivative or first-derivative spectra, the first-derivative form applying when bound states such as excitons are involved. Electromodulation is the most experimentally convenient of the above techniques and often yields the sharpest and strongest spectra. Electromodulation may be produced by transverse electrodes on the sample surface (transverse electroreflectance or TER), or by semitransparent metal or electrolyte solutions forming Schottky barriers on the front surface with their associated longitudinal fields. The last two techniques are known as electroreflectance (ER) and electrolyte electroreflectance (EER), respectively. Other techniques include the modulation of preexisting electric fields on a bare surface, using photons to generate free carriers which flatten the bands (photoreflectance or PR), or charging the surface with a low energy electron beam (electron beam electroreflectance or EBER). The PR and EBER techniques have the advantage of being contactless and nondestructive. The EBER technique, while experimentally more complicated, usually produces stronger signals than PR and does not require the sample to exhibit Fermi level pinning to obtain a signal. The ER and TER methods obviously require the formation of Schottky barriers and/or ohmic contacts, and the EER method has the potential to etch the sample inadvertently. In principle, all of the techniques except EER can be extended to low temperatures, but PR often suffers from interference from PL signals in this case and may, therefore, be difficult (especially at liquid He temperature). A disadvantage of all modulated reflectance techniques is the need to perform detailed lineshape fits to extract the peak energies in the frequently complicated spectra. Modulated reflectance measurements are designed to produce signals proportional to AR/R, where AR is the change in reflectance induced by the external modulation, and R is the unmodulated reflectance. The differential change in reflectance is related to the perturbation in the complex dielectric constant by the relation:
718 Compound Semiconductors
Eq. (6)
AR/R= 4El,EJ A&l+ P(El,E2) A&2
where a and l3 are the so-called Seraphin coefjcients,11661and Asi and As2 are the differential changes in the real and imaginary parts of the complex dielectric function, E = pi + is,. Near the fumlarnental gap of bulk materials, p = 0, but this relation is no longer valid in quantum wells. The Seraphin coefficients are functions of the unperturbed dielectric function, but they are also affected by interference phenomena both in bulk materials with exciton-free surface layers due to electric fields,l167l and in layered heterostructures.11681~11721 The latter effect is critical in the analysis of lineshapes in quantum wells and superlattices. For a discussion of the various forms of s and AE in different cases, the reader is referred to various prior reviews11731-[1801and references therein. Some key points are the typically first derivative nature of the modulation mechanisms in quantum well structures where vertical transport is suppressed, and the possibility of obtaining either homogeneously broadened (Lorentzian) or inhomogeneously broadened (Gaussian) lineshapes. In one report, Gaussian broadening was observed at high temperature (e.g., >150 K), while Lorentzian broadening was observed at lower temperatures. tl*ll Zheng et al. have shown, using magnetic-fielddependent measurements, that the excitonic mechanism is typically dominant at room temperature in both bulk and quantum well structures subject to low fields.l’**l In such cases, the excitonic transitions energies are determined from the lineshape fits. The first derivative modulation mechanisms active in the case of exciton states in quantum wells include modulation of the exciton energy gap, the linewidth of the exciton states, and the oscillator strengths of the transitions. The dominant mechanism may be different for different transitions in the same structure. In the case of high field regions in bulk or superlattice materials where the electrons have a continuous energy spectrum and can, therefore, be accelerated by the field, Franz-Keldysh oscillations are typically observed and can be used to determine the magnitudes of electric fields in the structures. Caution must again be exercised, however, in properly accounting for interference effects in this type of analysis.1172l Experimental Techniques. Simple reflectance requires only a broadband light source, such as a tungsten-halogen lamp, and a spectrometer and detector to collect the specularly reflected light. The monochromator could be placed in the incident beam, but it is usually more convenient to place it in the reflected beam when PL will also be performed with the same system.
Optical Characterization
719
Modulated reflectance simply requires the addition of the apparatus used to apply the modulation signal in the cases of Schottky barrier or electrolyte electroreflectance, or piezoreflectance. The reader is referred to the literature for details in these cases. A lock-m amplifier is used to detect the modulation of the reflectance signal. The required optical arrangement becomes different in the case of PR, however. Placing the monochromator after the sample, in this case, will result in substantial band flattening by the broadband incident beam, so that the modulating laser beam has little additional effect. The monochromator must, therefore, be placed in the incident beam when using an incoherent broadband source. An illustration of this type of arrangement is given in Fig. 7. The reflected beam can simply be detected using a photodiode or a photomultiplier tube, which must be filtered to reject the modulating laser light. The alternative is to use a low power tunable laser beam to probe the reflectance, which offers improved immunity to interference from PL signals, but limits the spectral range that can be probed at one time. The DC reflectance signal can be monitored to align the system, after which the alignment of the modulating laser spot with the probe spot is achieved while monitoring the output of the lock-in amplifier with the spectrometer set to a position of known modulated reflectance signal. The lock-in amplifier takes the signal from the laser chopper as its reference input. The signal is usually obtained directly in the desired form (AR/R) by using a servo loop to keep the dc reflectance signal constant as a function of incident wavelength. Typically, the light intensity is varied with a variable neutral density filter, as shown in Fig. 7, or the gain of the detector is adjusted for this purpose. The primary consideration in PR measurements, at least when they are to be performed at low temperature on samples that luminesce strongly, is to avoid interference from PL signals. The latter can strongly degrade the S/N ratio of the reflectance measurement, and can strongly distort the reflectance lineshapes. Several possible solutions exist, including the use of long focal length optics to minimize collection of the Lambertian PL emission, the use of a tunable laser in place of an incoherent probe beam t1831t1841 the use of a second monochromator for the reflected light running in tandem with the first,t185j or the use of special normalization techniques.trg61 The normalization technique of Ref. 186 is implemented in the system illustrated in Fig. 7. Modulation of both the pump and probe beams at different frequencies is also possible, in which case the modulated reflectance signal appears as the sum and difference frequencies. Another possibility is periodic deflection of the pump beam across the probed
720 Compound Semiconductors region, which modulates the reflectance but not the PL signal.[1871 Long focal length optics are probably the simplest solution, although spatial resolution is then sacrificed. For room temperature mapping experiments, a fiber-optic sampling and pumping head may be very useful.
LAOEN mN SECONDARY
Figure. 7. interference
OWE” LlBHT
SOURCE,
Schematic diagram of a photoreflectance (PR) system designed to minimize from photoluminescence signals. (After Rej: 186, used by permission).
4.0
APPLICATIONS
4.1
Intrinsic Materials Properties
Band Structure. Reflectance and modulated reflectance have long been standard techniques for the determination of critical point energies in the band structure of bulk compounds and alloys.t1731-[1771Third derivative low field electroreflectance is particularly useful for this purpose, because of the very sharp structure it produces. To determine the symmetry of the observed critical points, measurements such as electroreflectance, piezoreflectance, or measurements under applied stress can be used, where the orientation of the perturbation is varied.t1771 For details of these
Optical Characterization
721
classical methods the reader is referred to the literature. A few types of features are best probed with RRS. ~1 Alloy Composition. The composition of ternary alloys can be determined from a single measurement of either the free particle or excitonic bandgap, provided that accurate calibrations against a direct compositional measurement (such as x-ray rocking curve analysis, assuming that Vegard’s law is obeyed) are available. A measurement of the free particle bandgap can be performed using room temperature PL, where band-toband recombination is normally dominant in reasonably low-doped material. The excitonic bandgap can be determined using low temperature PL, provided that excitonic recombination is clearly visible and the spectrum is not dominated by extrinsic effects. However, PLE and/or modulated reflectance measurements are more reliable, given their relative immunity to extrinsic factors. Modulated reflectance at room temperature has the advantage of being simple and rapid, and usually more accurate than room temperature PL. As mentioned above, modulated reflectance structure at 300 K has been shown to be excitonic in origin.l’**l An alternative technique to the above is the use of Raman scattering measurements, which like x-ray analysis depend only on the structural properties of the alloys (assuming moderate doping). A good example of this is the determination of the composition of A/Ga,Js, as reported by Saint-C&q et al.[l**l They achieved an absolute accuracy in x of 0.01 by employing the difference in the energies of the GaAs-like and ALAS-likeLO phonon modes, rather than absolute energies of these modes for the determination. The difference is relatively insensitive to variations in sample temperature, eliminating a major source of error. The Raman method can be used to achieve both lateral resolution (for example, using a Raman microprobe) and depth profiling; the latter technique relys on the use of different laser lines with different penetration depths. It is also more sensitive to composition in the indirect gap range of the alloy than techniques which probe the fundamental gap. It is, however, usually a more time-consuming method than photoreflectance or PL, which can also both achieve lateral profiling on a somewhat coarser scale. Band Offsets. Optical techniques can be used to determine band offsets in several ways. A method that has been widely used is the measurement of excitonic energy levels in quantum wells, starting with the determination by Dingle et al. using square AlGaAs/GaAs wells.1189lThe energy levels in square wells have been shown to be relatively insensitive to the offsets, however, and the fits require accurate knowledge of both
722
Compound Semiconductors
electron and hole masses, so that this method is suspect.llgOl Parabolic wellsl1g1l and other shapes and special structuresl1g21l1g3l have been used, with somewhat greater sensitivity and reliability.t1g41 However, these methods still suffer from the need for an independent knowledge of the carrier masses, which are not always known, especially for holes. This area has been reviewed elsewhere in detail.1 1g5111961 An alternative method is the use of PL studies under high pressure, to induce a Type I-Type II crossing as the F states in the wells rise above the X states in the barriers.[1g71t1g81 The above difficulties with Type I band offset determinations are somewhat relaxed in the case of Type II (staggered) offsets, where transitions involving electrons in one layer and holes in the other give a relatively direct measure of the offset.l125l Excitons involving the spatially separated electrons and holes may still have significant binding energies in this case, since the electrons in the indirect (usually X) valleys have larger masses than those at r. ~1 This technique has been applied, for example, to determine the valence band offset between Al,,37Ga,,,3As and AlAs by Dawson et al.l2001 This work, however, ignored the exciton binding energy; more recent work including the exciton effect determined the offset at the GaAs/AlAs interface.t2011 An alternative optical technique is that of RERS, whose application to band offset determinations has been reviewed by MenCndez.t1651 This technique relies on sensing electronic intersubband excitations in the conduction band. It, therefore, avoids the need for accurate hole masses, which are often poorly known. The barrier bandgaps are determined in-situ in this technique using RRS. Exciton Binding Energies. Excitonic bandgaps are determined fairly easily at low temperature by a variety of methods (PL, PLE, modulated reflectance, etc.). If the free particle bandgap could be so easily determined, one could simply subtract to obtain the exciton binding energy. Unfortunately, there is almost no good method of measuring the bandgap, since the optical properties are invariably dominated in pure material by excitons. Thus, the usual method of determining the exciton binding energy, and therefore, by implication, the bandgap, is to measure the energies of the excited states of the exciton. If the n = 2 (and perhaps n = 3) levels of the exciton can be determined, the binding energy can be calculated. In this respect, however, one must remember that the exciton is not a true hydrogenic system due to the degeneracy of the valence band in zincblende materials. Thus, the energy of the n = 2 state is not exactly 314 of the binding energy above the ground state. Fortunately, an accurate
Optical Characterization
723
perturbational solution of the exciton problem in the effective mass approximation exists,t202112031 and can be used to calculate binding energies. The formulas for the binding energies of the 1s and 2s levels (@ and Q, respectively) in MKS units are: Eq. (7)
E::= R,[l+~~(~~,~,,~i)[S,(O)+S,(;5)
and
Eq.(8) where R, = (p,,q4/2h2)(4 KE,E,)-* is the Rydberg of a purely hydrogenic exciton,T@+,,pi,pJ = 8(p&i)* + (p&Q*, S,(O) = 0.22463, S,(O) = 0.7029, A = A&,, A is the spin-orbit splitting, and the functions S, and S, are given in Ref. 203. In these expressions, the inversion asymmetry of the zincblende lattice and the exchange splittings are neglected. In the above, l/p0 = l/m,* + yilm,,, l/pi = y&,,, and l/p, = (243) y3/n+,, where yi, y2, and y3 are the Luttinger parameters of the valence band, ml denotes the electron effective mass, and m, is the free electron mass. Using these formulas, exciton binding energies have been determined in a variety of compounds. Interpolation based on effective mass theory is generally necessary for alloys, since excited states are not generally resolved. The determination of exciton binding energies in quantum wells has been even more problematical. Zero-field determinations have been based on the observation of the 2s state of the light and heavy hole excitons.l204l1205l Early magneto-optical determinations of the binding energies were based on extrapolation of high field data to zero field.1206112071The measurements of Maan et a1.,l207lhowever, implied a larger binding energy for the heavy hole exciton than the light hole exciton, in contradiction to the zero-field results and theoretical expectations. This discrepancy was later clarified by theoretical calculations 12081and by measurements extended to lower fields,t20gl12101where it became clear that the neglect of excitonic effects for the higher index Landau levels in the earlier high-field work was unjustified. Effective Masses and Non-Parabolicity. Several excellent techniques for the determination of electron effective masses (mz) are available using PL. The splitting of the 2p+ and 2p- states of shallow hydrogenic donors in a magnetic field B is given by AE = ho,, where o, = eB/m,* is the cyclotron frequency. (This separation, however, can be slightly reduced by
724
Compound Semiconductors
the effects of quadratic Stark effect broadening, especially at low fields.[*l ll The splitting can be obtained by observing the two electron replicas of the (DO,X)peak, under resonant excitation if necessary. Alternatively, RERS from the donors can be observed, using excitation in the vicinity of the exciton peaks. This technique has been used to determine the electron mass in various materials, including 11#,[*~*1 ZnSe,12131ZnTe,t2141etc. A second technique is the observation of Landau level structure in the (e-A”) transition. The observations must be made at sufficiently high fields (depending on the temperature) to avoid the nonlinear effects of the fielddependent density-of-states on the (e-A”) lineshape. Neglecting spin splittings, the Landau levels are also separated by Ro, (neglecting small corrections for non-parabolic&y), which directly yields the mass. Even if higher order levels cannot be resolved, the energy of the lowest level varies as ho,/2 at sufficiently high fields, as long as the diamagnetism of the acceptor level is negligible. To eliminate the effect of spin splittings, it is sufficient to average the positions of the two n-polarized or the four a+ and a--polarized components, assuming they are resolved.t21sl A further benefit of this approach is that the g-factors of the free electron and acceptorbound hole can be determined at the same time. This technique has been applied to determine effective masses in GaA~,l*~~ll*~~l GaSb,12181 ZnTe,12191 CdTe,12201and ZnSe.1731Finally, magnetospectroscopy of the (e-A”) peak in coupled-well superlattices has been used to determine vertical transport (tunneling) masses of electrons in AlGaAs/GaAs superlattices, in addition to other vertical transport-related effects such as miniband breakdown and the transition from electric to magnetic quantization.12211 In the case of holes, the most fundamental description of the valence band dispersion is commonly given in terms of the so-called Luttinger parameters, yl, y2, and y3, which determine the light and heavy hole masses as a function of direction.1138l Various cyclotron resonance, interband magnetooptical, and magnetophonon resonance methods have traditionally been used to derive these masses. A different approach is to determine some or all of the Luttinger parameters by fitting the energies of the excited states of shallow acceptors (and perhaps excitons) to the effective mass theory of Baldereschi and Lipari. [***IThe excited energy levels are measured using SPL or PLE techniques, for example (infrared absorption could also be used for the p-states). While this method depends on the validity of the effective mass theory, it has been used with some success in GaP,[134l InP,1223112241 ZnTe,1225112261 CdTe,12261, etc. Another technique is the modeling
Optical Characterization
725
of quantized energy levels measured by PLE in quantum wells grown on substrates with various orientations, as reported by Mole&, et a1.t227l A particularly interesting case concerns the measurement of transport masses in two-dimensional electron and hole systems in modulationdoped heterostructures, especially in the case of pseudomorphic p-type heterostructures engineered to have light in-plane hole masses. When PL is visible involving the two-dimensional free carriers, simple application of a magnetic field perpendicular to the interface causes a splitting of the PL peak into Landau level structure. Due to many-body processes involving the free carriers and scattering from the ionized impurities, transitions both obeying and breaking the usual An = 0 selection rule for inter-Landau level transitions can be observed simultaneously.[228j Using these observations, Jones et al. have shown that both electron and hole masses and even the nonparabolic dispersion curve of the holes can be determined.t2291 These determinations are obviously of great value to designers of p-channel HEMTs, for example. Finally, the energies of quantum well and superlattice states, as determined from PL, PLE, and modulated reflectance measurements are often sensitive to non-parabolicity of the band structure in both the well and barrier materials.[2301-[2331While th e use of experimentally determined energy levels is subject to possible uncertainties in the structural and compositional parameters of the layers, as well as being model-dependent, it does represent a possible means of extracting information on nonparabolicity. Alternatively, the (e-A”) magnetospectroscopy technique mentioned above can be used to probe non-parabolicity in some instances. Jn the case of electrons tunneling through high barriers at fairly low energies, for example, the non-parabolicity of the barrier is the primary influence, and can be expressed in terms of a renormalized barrier mas~.[~~~lA measurement of the tunneling mass could then be combined with a KronigPenney-like analysis to provide a measurement of this renormalized mass. Band Mixing. Optical measurements have provided the primary evidence for the phenomenon of valence band mixing in superlattices, which, in combination with exciton effects, causes various normally “forbidden” transitions to become allowed.t235j Key effects include the occurrence of forbidden transitions such as HH3-CB 1 and LHl-CB2 in the PLE and modulated reflectance spectra of quantum wells.[236j Sophisticated optical experiments have also been used to probe mixing of states associated with different conduction band valleys (e.g., F and X) by superlattice potentials, particularly in short period superlattices.tu71
726 Compound Semiconductors 4.2
Impurities and Defects
Identification of residual shallow acceptors has long been a principal application of PL measurements. Typically, acceptor levels are deeper than donor levels in direct gap materials, and therefore, more sensitive to the chemical nature of the impurity, with reference to the purely Coulombic value determined by effective mass theory. Distinct (DO-A”)and (e-A”) peaks are, therefore, usually observable for different acceptor species, making acceptor identification relatively easy. However, the overlapping of (DO-A”) and (e-A”) peaks due to different acceptors requires that the measurements be performed carefully, and measurements of a more definitive nature are frequently required. We list the following techniques which are usetil for this purpose: (i) Measurement of well-resolved (DO-A”)and (e-A”) peaks at very low excitation levels (a few mW/cm* or less), preferably as a function of temperature. The (e-A”) peak positions are much more reliable for this purpose than are (DO-A”)peak positions, which depend on doping level, excitation intensity, and non-radiative recombination rate.[791 The sample doping must be low enough to permit clear resolution of the two types of peaks, to achieve reliable identifications. (ii) Measurement of two-hole replica positions under high above-gap excitation intensity, or better yet, using resonant excitation of the principal (A”,X) peaks with a tunable laser. (iii) SPL and/ or PLE measurements of the acceptor excited states (SPL is generally more useful). (iv) Measurements of the principal (A”,X) peak positions at very high magnetic fields, where the differences in exciton localization energies between different acceptor species are enhanced.[1241 These peaks are of relatively limited usefblness at zero field, due to the low sensitivity of the localization energy to the central cell correction for acceptors. In particular, we emphasize that Haynes’s Rule,[ =*I which implies a linear relation between exciton localization energy and acceptor binding energy, does not apply to acceptor levels in direct gap materials like GaAs, InP, ZnTe, or ZnSe.[381[2391[2401 The relation is typically weak, retrograde, and nonlinear in these cases. This fact is generally well appreciated in III-V materials, but this invalid rule continues to be applied by many workers in II-VI materials. This unfortunate situation can be traced to the very early paper by Halsted and Aven, which purported to establish its validity.I2411It must be realized, however, that the correspondence between acceptor peaks and bound excitons for the mostly deep levels considered in that study was not, and is still not, well established. Even if it were valid for deep levels, it remains Identification
of Shallow
Acceptors.
Optical Charactetization
727
invalid for accurate determinations of the binding energies of different shallow acceptor levels. (‘v) Measurements of (e-A”) peak positions in magnetic fields, which help to narrow and separate them from other peaks (e.g., Fig. 8, discussed below). (vi) ERS of acceptor excited states, using below-gap excitation (e.g., a Nd:YAG laser at 1.06 um).t2421-t2451 This last technique is experimentally more difficult than the others, but offers better possibilities of quuntiJLing acceptor concentrations. ~--.-.--..---.----.-‘.-
ccc-A”l t
Td.7 K PL=26 tnW/wr12
6-O
-..
T 1.49
1.46
Energy (ev)
Figure. 8. The (e-A”) peaks in an undoped GaAs layer grown by IvB3E under moderately low level infrared excitation at 1.5235 eV, at B = 0, 0.5, and 1.0 T with B 1) [OOl] in Faraday configuration. The unlabeled (e-A”) peaks marked with tic marks are due to different defect-related acceptor levels. The preferential polarization of the spectrometer is aligned in the [loo] direction of the sample.
Of the above six techniques, we have found the first and third to be the most commonly useful and reliable (preferably in combination). If tunable excitation is not available, the second technique is recommended in place of the third, although it will only work in some samples. The fifth technique above is particularly useful when many different acceptors are present with slightly different binding energies. As an example, we use the set of defect-related acceptors in MBE GaAs, whose (A”,X) and two-hole satellites were discussed above in connection with
728
Compound Semiconductors
Figs. 4 and 5. Fig. 8 shows the (e-A”) peaks involving those acceptor levels in an undoped p-type MBE sample for B = 0, 0.5, and 1.0 T. While the zero-field data suggest the existence of a number of different levels, they are hardly resolved. With the application of a modest magnetic field, however, the structure becomes much better resolved due to the changes in the density of states. The peaks shift linearly to higher energy, as expected for the n = 0 Landau level. At least twenty different acceptor levels can be resolved, corresponding to defect pairs of various spacings. One of these levels is shallower than the residual C acceptor. The (e-A”) peaks also exhibit linear polarization effects (not shown). The above techniques really only identify a particular level, not its chemical identity. The chemical origin of the level can only be established by careful back-doping experiments in high purity material. (The one possible exception to this statement is when clear isotope splittings are evident in bound exciton-related peaks, with peak heights proportional to known natural abundances.) The maintenance of fairly high purity is essential to avoid spectral distortions from high doping levels. This type of experiment is, in general, very difficult, since one may easily introduce the “wrong” impurity by accident if the desired impurity has limited solubility or an unfavorable segregation coefficient. Low dose ion implantation experiments are one way around this problem,t2461[2471although they must be very carefully controlled. Neutron transmutation doping can also be used to introduce a very limited number of impurity species. Fortunately, a fairly complete catalog of levels exists for chemical impurities in GaAs,[l lgl although unidentified levels,t2481 including the defect-related acceptors of Fig. 8 are known to exist. Moreover, almost nothing is known about double acceptors (or donors) in GaAs, unlike the case of Si or Ge. Using the known identifications in GaAs, the characteristic residual acceptors have been identified for virtually every important growth technique.t811[1181t1 1g1t24gl-t*5*~ The incorporation behavior of amphoteric impurities in GaAs grown by various methods has also been studied using a combination of PL and photothermal ionization spectroscopy.t2531 The situation in InP is much less clear at this writing. The most common residual acceptors are 2% and a level known as A,, which occurs in bulk, LPE, and hydride VPE materiaLI 2471 The same levels also predominate in OMCVD InP,[2541t2551 although questionable reports have appeared in the literature claiming that C incorporates in this material. These reports have generally been based on spectra which were insufficiently well resolved for reliable impurity identification.t2551 The A, level was originally
Optical Characterization
729
speculated to be C,l 2561but the ion implantation experiments of Skromme et al. definitely ruled out this possibility. 1247lThese experiments also showed that the A, energy level is very close to that of both Mg and Be, within the experimental error of the methods used in Ref. 247. Intentional Si implantations failed to show any clear evidence for its relation to Si, although the presence of residual A, levels in the material used for the Si implantations makes the conclusion less than certain. The uncertainty is compounded by the tendency of Si to incorporate mainly on the In site as a donor, particularly under the P-rich annealing conditions used in Ref. 247. In other Si implantation experiments, Pomrenke has reported (Do-A”) and (e-A”) peaks involving a Si acceptor level with an ionization energy of about 37 meV, substantially less than that of A, .1257lThose samples were annealed under less P-rich conditions, using a S&N, cap instead of phosphosilicate glass, which might enhance the incorporation of Si on the P site. However, no variable temperature or other clear data was presented to verify the nature of the observed peaks, which were weak and barely resolved. Control experiments for the effects of the ion implantation damage, using implants of an electrically inactive ion of comparable mass, were not performed. Also, peaks in this vicinity have previously been assigned to surface-related luminescence.l2gl130l Thus, further work, preferably with more accurate techniques such as SPL, appears necessary to establish the existence of a Si acceptor level in InP with any certainty. Other experiments involving Ca, Mg, and Zn doping in bulk InP were reported by Kubota et al.,12581who determined values for the binding energy of each acceptor. However, these measurements employed samples with doping levels of -10” cm” or higher for the Mg and Cadoped cases, which makes it impossible to resolve separate (DO-A”)and (e-A”) peaks. Their peaks were, in fact, very broad (15 meV PWHM or more), so that the determinations of acceptor binding energy cannot be considered very accurate (doping levels of -1015 cmW3are normally used for this purpose). If their value for the ionization energy of Ca is at least approximately correct, it would lie between, and very close to, those for A, and C (note that Kubota et al. mistakenly identified A, with C). High precision measurements (such as SPL) on fairly high purity material would then be required to distinguish the different impurities accurately. Subsequent measurements of not-intentionally doped InP grown by solid source MBE125glconcluded that both Ca and Mg acceptors were present, based on a comparison with the results of Kubota et al. and on
730 Compound Semiconductors SIMS data. However, the PL peaks were very broad and not well resolved in this study, due to the relatively high background doping levels, and the identification of specific acceptors must be considered somewhat questionable. The observation of certain impurities by SIMS, moreover, does not prove that they are necessarily present in electrically active form as substitutional acceptors. A subsequent study of CBE InP grown using metalorganic sources and phosphine[2601reported (DO-A”)and (e-A”) peaks close to the positions expected for the A, level, which those authors assigned to either Mg, Be, or Ca. An additional peak was assigned to the C (DO-A”) transition, although the possibility that it is actually the LO replica of the free exciton peak should also be considered.t25sl Clearly, the acceptor situation in InP requires further clarification, and in particular, the more widespread use of techniques like SPL to achieve reliable identifications. For acceptor identification in other materials, the reader is referred to the literature. It should be noted that this problem is more difficult, though not impossible in alloys. The difficulty stems from broadening of the spectrum due to alloy disorder, and uncertainty concerning the value of the bandgap of any given sample. The SPL technique is particularly interesting in this case.[2611 Identification of Shallow Donors. The identification of donors in direct gap materials has generally been more problematical, due to the smaller binding energies and reduced sensitivity to the chemical nature of the impurity. In wider gap unstrained materials like ZnSe, the principal (D”,X) and (D+,X) peaks are well enough separated to perform identitications, particularly if the two-electron transitions are also detected.f2131This is not true, however, in GaAs and InP, where no central cell structure can be discerned at zero magnetic field on the principal (DO,X)peaks. In very high purity GaAs, Almassy et al. first succeeded in observing such structure on the two-electron replicas, although the resolution and lineshapes were far from idea1.t2’j21 Subsequently, moderate magnetic fields were applied, yielding some improvement. [263l Subsequently, the application of high magnetic fields was shown to yield substantially improved results, in both GaAs,12641[2651 and InP.12@jlHowever, discrepancies between the PL results and conventional far infrared photothermal ionization measurements were observed in the GaAs case, both in terms of the relative amplitudes of peaks involving different donors and the energy separations between those peaks.[2651 Subsequent measurements by Skromme et al., using even higher magnetic fields, up to 12 T, combined with circular polarization techniques,
Optical Characterization
731
clarified these discrepancies. Gaul These measurements yielded the first direct resolution of the central cell structure on the principal (D”,X) peaks in conventional PL spectra. By measuring the separations between the twoelectron replica for each donor species, reduced by the separations of the corresponding principal @“,X) peaks, accurate agreement with photothermal ionization data was obtained. Additionally, it was shown that selective excitation of two-electron satellites corresponding to different donor species is possible by resonant excitation of the corresponding principal (D”,X) lines. This effect apparently went unnoticed in the earlier work,[*‘j51and the unintentional spectral distortion that resulted probably accounts for the discrepancies with the relative peak amplitudes in the photothermal ionization data. These distortions can be avoided by using excitation at the free exciton position or above, or by excitation on very highly excited states of the (D”,X) system.11241Resonant excitation with an etalon-narrowed laser line can be very useful in narrowing the linewidths of two electron satellites by reducing inhomogeneous broadening effects.11241 This approach was used by Harris et al. to identify donors in bulk GaAs, even though the nonresonantly excited spectrum of the excitons was extremely broad.12’j41Also, it was shown that RERS satellites which track the laser energy occur, and can potentially be confused with two electron PL transitions.1124l This effect may account for the P and P ’ peaks reported in Ref. 267, which were assigned to unidentified donor species in that work. An enhancement of the central cell shift to the localization energy of acceptor-bound excitons was also observed in Ref. 124 at high field, which was found to be useful in identifying residual acceptor species in some cases that could not be detected by any other means. A detailed comparison of the PL techniques described above and the more traditional photothermal ionization spectroscopy (PTIS) approach has been given in Ref. 124. The quality of the PL spectra is virtually comparable to that of PTIS. To illustrate, some two-electron satellites are shown in Fig. 9 for a series of GaAs samples containing various donor species. The corresponding principal (D”,X) and (D+,X) structures are illustrated in Fig. 10, where as stated above, the central cell structure corresponding to several different donors can again be resolved. Even better resolution has recently been demonstrated by Thewalt et al., using Fourier transform PL,[l’l although no fundamentally new features were revealed. We have obtained results similar to our earlier work on GaAs in InP material, as illustrated for example in Fig. 11. This particular sample,
732
Compound Semiconductors
(30 I
T-1.7
K
D’.X+ B-1
1.5151
1.51 53
1.5155
2.0
Figure. 9. A selected component of the 2p two electron satellites at high magnetic field in a set of four high purity GaAs samples, grown by the indicated techniques. Excitation (-1.3 W/cmZ) is performed into a highly excited state of the principal @‘,Xx) peaks at 1.5225 eV, to avoid selective excitation. The data were recorded detecting a single circular polarization in Faraday configuration. The spectra have been rigidly shitied by as much as 0.06 meV to align corresponding principal (D”,X) and (AoX) peaks (not shown). The donors are identified by comparisons to previous photothermal ionization data. (After Ref 124.)
T
1.5157
Energy (ev)
Figure. 10. The principal (D”,X) and (D+,X) PL peaks in the sample of Fig. 9, recorded under -1.3 W/cm* excitation at 1.5303 eV (just below the bandgap) but using otherwise identical conditions to Fig. 9. The unlabeled tic marks indicate the peaks associated with different donor speties within each component (A, B,, B,, etc.); the identities of the donor species are indicated in each case. The relative intensities of peaks involving different donors correspond very well to those of the corresponding peaks in Fig. 9. (After ReJ: 124.)
_ In ‘-’ S 4 J $ s
1.52
Energy (ev)
1.521
Optical Characterization
733
grown by low pressure OMCVD, exhibits peaks in the C’ component of the 2p- two electron satellites corresponding to four different donor species, which correspond to S, Si, and the unidentified Pi and P3 donors previously observed by Lee et al. using photothermal ionization spectroscopy.l268l The latter two donors have not previously been observed by magnetoluminescence. This C’ component is the dominant one in the 2p- satellites for the kpolarized Voigt configuration employed here; it is displaced slightly from the C component (in the notation of Ref. 266) observed in Faraday configuration at the same field. The bottom spectrum in Fig. 11 corresponds to non-preferential excitation with a broad linewidth dye laser tuned to the principal (D”,X) component denoted D by Dean et a1.;l266lthe nonselective nature was verified by comparing the data with that for above-gap excitation. The upper four spectra correspond to selective excitation of the individual principal (D”,X) line corresponding to each donor species, using an Ctalon-narrowed dye laser. The peaks are clearly selectively enhanced by the tunable excitation, which is the first time this effect has been reported in InP. The non-resonantly-excited peaks show small shifts from their usual positions due to RERS satellites (this effect was observed more clearly in samples with other sets of donors). While this experiment provides clear evidence of the existence of chemical shifts in the principal (D”,X) lines for these donors, direct resolution of those (D”,X) peaks in PL has not yet been obtained. We have, however, partially resolved the central cell structure in the principal (D”,X) peaks of bulk InP containing a different set of donors, identical to those previously reported by Dean et a1.[26glThis structure is illustrated in Fig. 12, where the corresponding 2p- two-electron satellites are also visible. Two-electron satellites are observed for S and Si, as well as for the unidentified L3 and L4 donors. Two peaks, corresponding to the main L3 and S donors are resolved in the principal (D”,X) components A, B, and C; the corresponding L4 and Si peaks are presumably present as unresolved shoulders. Selective excitation of each of the different donors in this sample was also achieved by exciting into the principal B (D”,X) lines resolved in PL, verifying the correspondence. The larger central cell corrections, characteristic of the unusual donor species in the bulk material, make the resolution of the different principal (D”,X) peaks possible in PL, which has not been possible in past work. The conclusion of the comparison between PL and PTIS in Ref. 124 is that the PL method offers several important advantages, perhaps the most significant being the lack of spectral distortions due to absorbance
734 Compound Semiconductors
s
(D”.N2p-
1
B-l 2.5 T
1.4159
1.4157
Figure. 11. The C’ component of the 2p- two electron satellites at high magnetic field in a high purity InP layer grown by low pressure OMCVD, for five different laser energies (hq). All of the spectra are for -1-1.3 W/cm2 excitation into the D component of the principle @“,Xx) peaks, but the bottom spectrum is for a broad, non-selective laser line (no &Ion) while the upper four are for selective excitation using an &Ion-narrowed laser line which is tuned into resonance with the position of the principle (D”,X) peak component for each of the four different donor species. The arrows indicate which donor is selectively excited in each case.
Energy (ev)
.41 2
1.41 5
1.410
1.421
1.424
Energy (ev)
Figure. 12. Complete spectra of the (DOS peak.
277
278
2.7 9
2.8
Energy (ev)
2.81
2.02
748 Compound Semiconductors
2.820 s g
2.815
i! .!! 2.810 c :: y 2.805 I dp
2.800
In fraction in substrate (%) Figure. 20. Positions of the X, and X, PL peaks in pseudomorphic ZnSe layers grown on bulk InGaAs substrates as a function of In fraction in the substrate. Based on data including that in Fig. 19. The circles and squares are the data points, and the solid lines are a tit based on deformation potential theory with a = -4.39 eV and b = -1.06 eV (interaction with the spin-orbit split-off band is negligible). The unstrained ZnSe excitonic bandgap determined from the tit is 2.8029 eV, and the ZnSe, GaAs, and InAs lattice constants are taken to be 5.66801, 5.65325, and 6.0584 A, respectively. The In content of the substrate was determined in each case using low temperature PL of its bound exciton peak.
4.4
Surfaces and Interfaces
Surface Passivation. Optical techniques have played a key role in many recent investigations of chemical and photochemical passivation of compound semiconductor surfaces. For example, increases in room temperature PL efficiency on n and p-type GaAs were interpreted as evidence for unpinning of the Fermi level at the GaAs surface after photowashing experiments by Offsey et al.13261Actually, such PL increases by themselves do not establish that the Fermi level is unpinned, since re-pinning of the Fermi level close to either band edge will produce the same result.t611 To recall the essential features of this problem, we note that the radiative efficiency T) = rrW1/(trW1 + r,l), w h ere rr and r, are the effective radiative and non-radiative lifetimes, respectively. When surface recombination is present, its effects can be incorporated into T, in a way that depends on the geometry in question.[327) In general, surface recombination through a given level of area1 density N, with electron and hole capture cross-sections cr,,and or, respectively, is characterized by a velocity S, where:
Optical Characterization
749
where S, = Nsop v:, S,, = N,o, v:, v: and v,” are the thermal velocities of electrons and holes, respectively, no and p, are the equilibrium bulk electron and hole densities, ns and p, are the electron and hole concentrations at the surface under illumination, and n* and p* are the electron and hole densities that would be present at the surface if the Fermi level were coincident with the surface trap level. This velocity thus depends on the injection level, the amount of band bending, and the bulk doping level, in addition to the intrinsic properties of the surface traps. These dependencies are, unfortunately, ignored in much of the literature, in which it is often wrongly assumed that surfaces can somehow be characterized by a fixed recombination velocity, which depends only on their intrinsic properties. One can define such a velocity under flat band conditions, but the quantity thus defined must be combined with effects of band bending in most realistic problems involving surface recombination. An examination of Eq. 9 shows that S depends strongly in most cases on ns and/or p,, which are in turn determined by the bulk doping and injection levels combined with the amount of band bending. The entire problem must be solved self-consistently.1328113291 A common misconception in studies of surface passivation is that the surface charge responsible for the band bending is only that contained in the recombination centers (fast states) themselves. It is equally possible that some or most of this charge may be fixed (e.g., slow states or ionic charges), and not vary with Fermi level position. This type of behavior was documented in initial studies of Na,,S passivation of GaAs, for example.1330l However, even if the surface charge is primarily that residing in recombination centers, reductions in S do not necessarily imply a lack of pinning, which is itself a rather nebulous concept under photoexcited conditions.1331l If the Fermi level is strongly re-pinned near either band edge, either ns or p, will be large, regardless of the bulk doping, and S will be reduced on both n and p-type material. Large values of S follow from the surface Fermi level being located near mid-gap due to a high density of recombination centers and/or fixed charge on the surface; this situation minimizes ns + p, (note that nsp, = nf is a constant). Thus, independent measurements of the surface Fermi level position (or equivalently, the band bending) are necessary to verify
750 Compound Semiconductors claims of unpinning. Improvements in PL intensity by themselves are not sufficient. In the case of Na$ passivated GaAs surfaces, the elimination of notches in the (D”,X) and free exciton peaks in the low temperature PL spectrum has been shown to provide the sort of independent confirmation of unpinning that is nccded,r611at least on a qualitative level. More quantitative assessments can be made by measurements of conductivity in thin, partially depleted doped layers. Another optical technique that has been used to assess surface passivation techniques is that of Raman scattering from surface depletion layers in heavily doped (-1018 cms3) n-type material.[3321 This method relies on the simultaneous observation of the L+/L_plasmon scattering from the undepleted bulk and the unscreened LO phonon scattering from the surface depletion region. The relative magnitudes of the two types of features can be analyzed in conjunction with the known penetration depth of the light to calculate the width of the depletion region, which then yields the surface potential. The serious (in the author’s opinion) drawback of this method is that the depletion layer width is measured under the strong illumination associated with the Raman measurement itself, which may act to flatten the bands. The band flattening will occur regardless of whether the original band bending is due to fixed charge or true pinning behavior.[2271 This effect may not be significant under conditions of strong pinning, but could be very pronounced in the case of a reasonably well passivated surface. r2271Thus, the measurement gives only a lower limit on band bending, and its quantitative accuracy seems questionable. Radiative Surface States. Recent reports of radiative PL emission from surface states on InP[2glare quite remarkable, because this may be the first welldocumented observation of its kind. Further investigation of this area is clearly warranted, especially to determine if similar observations can be achieved in other materials such as GaAs. The observation of radiative recombination also raises the possibility that the symmetry of the surface states could be studied using techniques like ODMR, which could yield new insights into their nature and origin. Interface Roughness and Interdiffusion. Optical techniques have played a key role in the assessment of interface quality in quantum confined structures such as quantum wells and superlattices. Particularly notable is the ability to resolve monolayer steps in well thickness using PL, PLE, and modulated reflectance spectroscopies, as first reported by Deveaud et al.[333] The interpretation of such spectra has been quite controversial in recent years, particularly regarding comparisons between PL measurements
Optical Characterization
751
and TEM studies.l3341 However, the ability to obtain useful information on interfacial steps from optical data seems to be well established. The reader is referred to recent extensive reviews for further discussion of this area_1335113361 Raman scattering, which by its nature is highly sensitive to structural properties, has been a particularly useful tool to study interfaces in superlattices.t3371-13411The period of the superlattice is readily obtained from the energies of the folded longitudinal acoustic modes, while the sharpness of the periodic compositional profile is reflected in the relative strengths of the higher order acoustic doublets. The energies of the confined optical modes are sensitive to the individual layer thicknesses and to the compositional profiles within each layer. Jusserand et al. have defined a well shape parameter in terms of the optical mode energies, which is useful in assessing interfacial roughness and interdiffusion.l337l The sensitivity of Raman measurements to strain and composition can be combined with these methods to obtain a comprehensive characterization of superlattices. However, more traditional x-ray diffraction methods are likely to yield greater precision in most cases, although spatial profiling is much more difficult with x-rays.
5.0
SUMMARY
We have attempted to give a broad review of the use of ex-situ optical techniques for the characterization of compound semiconductor materials and heterostructures. Nonetheless, we have given only very brief discussions on, or completely ignored, a number of important topics. Some of these include the characterization of quantum confinement in layered structures, as well as in zero and one-dimensional structures; characterization of piezoelectric effects in strained structures on non-(00 1) oriented substrates; characterization of electric fields using modulation spectroscopy and Raman measurements; studies of process-induced damage and ion implantation effects by PL, Raman, and other methods; monitoring of inter-facial chemical reactions in III-V/II-VI heterostructures using Raman spectroscopy; observation and study of two-dimensional carrier systems using PL and related techniques; characterization of vertical transport phenomena in coupled-well superlattices; and characterization of actual device structures such as HRTs, FETs, and optical modulators. Still other omissions include the optical determination of carrier concentrations; optical characterization
752 Compound Semiconductors of extended defects using luminescence techniques; the study of hydrogenation of impurities and defects and light-induced reactivation of same; the study of deep levels and isoelectronic impurities using PL; investigations of many-body effects using optical spectroscopy; investigations of ordering phenomena in alloys; and many others. Clearly, the scope of this list, as well as the variety of topics we discussed above, demonstrates that optical spectroscopy will continue to be of primary importance in compound semiconductor characterization.
ACKNOWLEDGMENTS The author wishes to thank the many crystal growers who contributed samples for the work described herein, including G. N. Maracas, R. Droopad, R. Bhat, J. Harbison, H. M. Cox, S. G. Hummel, P. D. Dapkus, M. C. Tamargo, and G. Antypas, as well as Y. Zhang for his contributions in acquiring and analyzing some of the data. We thank F. H. Pollak for supplying Fig. 7. The author is supported by the National Science Foundation under Grant Nos. DMR-9106359 and ECS-9202664.
LIST OF ACRONYMS
(AO,W
P+,W (4X) (D”,W (DO-A”) (DO-h) (e-A”) CBE CCD cw DC EBER EER ER ERS FEorX
Neutral acceptor-bound exciton Ionized donor-bound exciton Defect-bound exciton Neutral donor-bound exciton Donor-to-acceptor Donor-to-valence band Conduction band-to-acceptor Chemical beam epitaxy Charge-coupled device Continuous wave Direct current Electron beam electroreflectance Electrolyte electroreflectance Electroreflectance Electronic Raman scattering Free exciton
Optical Characterization FET GSMBE HBT HEMT LO MBE MKS NIR ODLTS ODMR OFHC OMCVD PL PLE PMT PR PTIS RERS RRS SIN SFRS SPL TAC TEM TER TH TMG TO Uv xhh xlh YAG
Field-effect transistor Full width at half maximum Gas source molecular beam epitaxy Heterojunction bipolar transistor High electron mobility transistor Longitudinal optical Molecular beam epitaxy Meter-kilogram-second Near infrared Optical deep level transient spectroscopy Optically detected magnetic resonance Oxygen-free high conductivity Organometallic chemical vapor deposition Photoluminescence Photoluminescence excitation spectroscopy Photomultiplier tube Photoreflectance Photothermal ionization spectroscopy Resonantly-enhanced electronic Raman scattering Resonant Raman scattering Signal-to-noise ratio Spin-flip Raman scattering Selectively-excited donor-acceptor pair luminescence Time-to-amplitude converter Transmission electron microscopy Transverse electroreflectance Two-hole Trimethyl-gallium Transverse optical Ultraviolet Heavy hole free exciton Light hole free exciton Yttrium aluminum garnet
753
754 Compound Semiconductors REFERENCES 1. Abraham, D. L., Veider, A., Schonenberger, C., Meier, H. P., Arent, D. J., and Alvarado, S. F., Appl. Phys. Lett., 56: 1564-1566 (1990) 2. Qian, L. Q. and Wessels, B. W., Appl. Phys. Lett., 58:1295-1296 Qian, L. Q. and We&s, B. W., Appl. Phys. Lett., 58:2538-2539
(1991); (1991)
3. Alvarado, S. F., Reneaud, P., Abraham, D. L., Schiinenberger, C., Arent, D. J., andMeier, H. P., J. Vuc. Sci. Technol., B 9:409-413 (1991)
4. Berndt, R., S&littler, R R, and Gimzewski, J. K., J. Vuc. Sci. Technol., B 9:573-577 (1991) 5. We ignore the alternative techniques of Hadamard transform spectroscopy and Fabry-Perot interferometry, which are of limited value for the applications discussed here. 6. For a general discussion of spectroscopic principles and techniques, see, for example, Harrison, G. R., Lord, R. C., and Loolbourow, J. R., Practical Spectroscopy, Prentice Hall, NY (1948); Sawyer, R., Experimental Spectroscopy, Dover, NY (1963); James J. F. and Stemberg, R. S., The Design ofoptical Spectrometers, Chapman and Hall, London (1969); and Ref. 7. 7. Busch, K. W. and Busch, M. A., Multielement Detection Spectrochemical Analysis, Wiley, New York (1990) 8. Bell, R. J., Introductory Fourier Transform Spectroscopy, York (1972)
Systems for
Academic, New
9. Chamberlain, J., The Principles of Interferometric New York (1979)
Spectroscopy,
10. Griffiths, P. R. and de Haseth, J. A., Fourier Spectrometry, Wiley, New York (1986)
Transform
11. Williams, R., Appl. Spectrosc. Rev., 25:63-79
Wiley, Infrared
(1989)
12. Winefordner, J. D., Avni, R., Chester, T. L., Fitzgerald, J. J., Hart, L. P., Johnson, D. J., and Plankey, F. W., Spectrochem. Actu., 3 1B: 1-19 (1976)
13. Winefordner, J. D., Fitzgerald, J. J., and Omenetto, N., Appl. Spectrosc., 29:369-383
(1975)
14. Bilhom, R. B., Sweedler, J. V., Epperson, P. M., and Denton, M. B., Appl. Spectrosc. 41:1114-l 125 (1987); Bilhom, R. B., Epperson, P. M., Sweedler, J. V., and Denton, M. B., Appl. Spectrosc., 41: 1125-l 136 (1987)
15. Hamilton, B., Clarke, G., Rogers, D., Wood, D., Walling, R. H., Davies, J. I., and Scott, M. D., Semicond. Sci. Technol., 3:1067-1072 (1988) 16. Hamilton, B. and Clarke, G., Mater. Sci. Forum, 38-41:1337 (1989)
Optical Characterization
755
17. Thewalt, M. L. W., Nissen, M. K., Beckett, D. J. S., and Lundgren, K. R., Impurities, Defects, and DifJirsion in Semiconductors: Bulk and Layered Structures, ( Wolford, D. J., Bemholc, J., and Haller, E. E., eds.), pp. 221231, Mater. Res. Sot., Pittsburgh (1990) 18. Young, R. B. and Rowell, N. L., Proceedings of the 7th International Conference on Fourier Transform Spectroscopy, (D. G. Cameron, ed.), pp. 80-86, SPIE, Bellingham, Washington (1989) 19. Rowell, N. L., Internat. Conf on Raman and Luminescence Spectroscopy in Technology, (J. E. Griftiths and F. Adar, eds.), pp. 161-171, SPIE, Bellingham, Washington (1987) 20. Duncan, W. M., and Eastwood, M. L., Internat. Conf on Raman and Luminescence Spectroscopy in Technology, (J. E. Griffiths and F. Adar, eds.), pp. 172-180, SPIE, Bellingham, Washington (1987) 21. Rowell, N. L., Fourier Transform Spectroscopy, (D. G. Cameron, ed.), pp. 636-638, SPIE, Bellingham, Washington (1989) 22. Harris, K. A., Blanks, D. K., Cook, J. W., Schetzina, J. F., Otsuka, N., Baukus, J. P., and Hunter, A. T., Appl. Phys. Lett., 48:396-398 (1986) 23.
Rowell, N. L., Infrared Phys., 28:37 (1988)
24. Fuchs, F., Lusson, A., Wagner, J., and Koidl, P., Proceedings of the 7th International Conference on Fourier Transform Spectroscopy, (D. G. Cameron, ed.), pp. 323-326, SPIE, Bellingham, Washington (1989) 25. An S-l tube with an effectively slit-shaped cathode is available, for example, from ITT Corp. (Model FW 118) 26. See, for example, Smith, D. S., Wimmers, J. T., Hermann, J. A., and Bailey, G. C., Instrumentation in Astronomy IV, pp. 29-34, SPIE, Bellingham, Washington (1982) 27. Nagai, H. and Noguchi, Y., J. Appl. Phys., 50:1544-1545 (1979) 28. Krawczyk, S. K. and Hollinger, G., Appl. Phys. Lett., 45:870-872 (1984) 29. Kim, T. S., Lester, S. D., and Streetman, B. G., J. Appl. Phys., 61:20722074 (1987) 30. Lester, S. D., Kim, T. S., and Streetman, B. G., J. Appl. Phys., 62:29502954 (1987) 3 1. Lester, S. D., Kim, T. S., and Streetman, B. G.,J. Appl. Phys., 63:853-857 (1988) 32. Leyral, P., Bouredoucen, H., Commere, B., and Krawczyk, S., J. Lumin., 40&41:753-754 (1988) 33. Nagai, H., Tohno, S, and Mizushima, Y., J. Appl. Phys., 50:5446-5448 (1979) 34. Lester, S. D., Kim, T. S., and Streetman, B. G., J. Appl. Phys., 60:42094214 (1986)
756
Compound Semiconductors
35. Yacobi, B.G. and Holt, D.B., Cathodoluminescence Inorganic Solids, Plenum, New York (1990)
Spectroscopy
of
36. Bebb, H. B. and Williams, E. W., Semiconductors and Semimetals, 8: 181320, (R. K. Willardson and A. C. Beer, eds.), Academic, New York (1972) 37. Dean, P. J., Prog. Crystal Growth Charact., 5:89-174 38. Dean P. J. and Herbert, D. C., Excitons,
(1982)
(K. Cho, ed.), pp. 55-182,
Springer-Verlag, Berlin (1979) 39. Dean, P. J., Collective Excitations in Semiconductors, p. 247, Plenum, New York (1983)
(B. Di Bartolo, ed.),
40. Dean, P. J., Progress in Solid State Chemistty, (J. 0. McCaldin and G. Somorjai, eds.), 8:1-126, Pergamon, Oxford (1973) 41. Robbins, D. J. and Dean, P. J., Advances in Physics, 27:499-532 42. Kaufmann,
U. and Schneider, J., Festkorperprobleme
(1978)
pp. 87-116
Z,
(1980) 43. Queisser, H. J., Czech. J. Phys. B, 30:365-374
(1980)
44. Venghaus, H., J. Phys. C: Solid State Phys., 17:6229-6243 45. Monemar, B. A. I., CRC Critical Reviews
(1984)
in Solid State and Material
Sciences, 15:111-151 (1988) 46. Dumke, W. P., Phys. Rev., 105:139-144 (1957) 47. Kameda, S. and Carr, W. N., J. Appl. Phys., 44:2910-2912 (1973) 48. Kuriyama, T., Kamiya, T., and Yanai, H., Jpn. J. Appl. Phys., 16:465477 (1977) 49. Travnikov, V. V. and Krivolapchuk, V. V., Sov. Phys. SolidState,
24:547-
552 (1982) 50. Lester, S. D., Kim, T. S., and Streetman, B. G., Appl. Phys. Lett., 52:474476 (1988) 51. Shahzad, K. and Cammack, D. A., Appl. Phys. Lett., 56:180-182 (1990)
52. Venghaus, H., J. Lumin., 16:331-341
(1978)
53. Reynolds, D. C., Langer, D. W., Litton, C. W., McCoy, G. L., andBajaj, K. K., Solid State Commun., 46:473-476 (1983) 54. Hopfield, J. J., J. Phys. Sot. Japan Suppl., 2 I:77 (1966) 55. See, for example, Weisbuch, C., and Ulbrich, R. G., J. Lumin., 18/19:273 1 (1979) and references therein.
56. Warwick, C. A., J. Appl. Phys., 59:4182-4184 (1986) 57. Weisbuch, C. and Ulbrich, R. G., Phys. Rev. Lett., 39:654656
(1977)
58. Bloss, W. L., Koteles, E. S., Brody, E. M., Sowell, B. J., Salerno, J. P., and Gormley, J. V., Solid State Commun., 54: 103 (1985) 59. Fischer, B. and Stolz, H. J., Appl. Phys. Lett., 40:56-58
(1982)
Optical Characterization
757
60. Schultheis, L. and Tu, C. W., Phys. Rev. B, 32:6978-6981 (1985) 61. Skromme, B. J., Sandroff, C. J., Yablonovitch, E., and Gmitter, T., Appf. Phys. Lett., 51:2022-2024 (1987) 62. Skromme, B. J., Bhat, R., and Koza, M. A., Appl. Phys. Mt., 52:990-992 (1988) 63. Steiner, T. W., Steele, A. G., Charbonneau, S., Thewalt, M. L. W., Koteles, E. S., and Elman, B., SolidState Commun., 69: 1139-l 142 (1989) 64. Kusano, J., Segawa, Y., Mihara, M., Aoyagi, Y., and Namba, S., Proceedings of the 20th Internat. Conf on the Physics of Semiconductors, (E. M. Anastassakis and J. D. Joannopoulos, eds.), 3:1935-1938, World Scientific, Singapore (1990) 65. Bauer, R, Bimberg, D., Christen, J., Gertel, D., Mars, D., Miller, J. N., Fukunaga, T., and Nakashima, H., Proceedings of the 18th Internat. Conf on the Physics of Semiconductors, (0. Engstriim, ed.), 1:525-528, World Scientific, Singapore (1987) 66. Gil, B., Chen, Y., Lefebvre, P., and Mathieu, H., J. Physique, Colloque CS, 48:C5-549-C5-552 (1987) 67. Wang, B. S., and Birman, J. L., Phys. Rev. B, 43:12458-2463 (1991) 68. Zhou, J. F., Jung, P. S., Song, J. J., and Tu, C. W., Appl. Phys. Lett., 56: 1880-1882 (1990) 69. Rtlhle, W. and Klingenstein, W., Phys. Rev. B, 18:701 l-7021 (1978) 70. Herbert, D. C.,J. Phys. C: SolidStute Phys., 10:3327-3344 (1977) 7 1. Le Si Dang, Nahmani, A., and Romestain, R., Solid State Commun., 46:743-746 (1983) 72. Ulbrich, R., Phys. Rev. B, 8:5719-5727 (1973) 73. Zhang, Y., Skromme, B. J., and Cheng, H., Phys. Rev. B, 47:2107-2 121 (1993) 74. Dean, P. J., andMerz, J. L., Phys. Rev., 178:1310-1318 (1969) 75. Skromme, B. J. and Stillman, G. E., Phys. Rev. B, 29:1982-1992 (1984) 76. Reynolds, D. C., Litton, C. W., Smith, E. B., and Bajaj, K. K., Solid State Commun., 44147-50 (1982) 77. Skolnick, M. S. and Dean, P. J., J. Phys. C: Solid State Phys., 15:58635874 (1982) 78. Lorenz, M. R., Morgan, T. N., and Pettit, G. D., Proc. LX’lnternat. Conf on the Physics of Semiconductors, Moscow, 1968, (S. M. Ryvkin, ed.), 1:495499, Nat&a, Leningrad (1968) 79. Kamiya, T. and Wagner, E., J. Appl. Phys., 48:1928-1934 (1977). See also corrections discussed in Ref. 75. 80. White, A. M., Dean, P. J., Taylor, L. L., Clarke, R. C., Ashen, D. J., and Mullin, J. B.,J. Phys. C: SolidState, 5:1727-1738 (1972)
758 Compound Semiconductors 81. See, for example, Skromme, B. J., Low, T. S., Roth T. J., Stillman, G. E., Kennedy, J. K., and Abrokwah, J. K., J. Electron. Muter., 12:433-457 (1983) 82. Rossi, J. A., Wolfe, C. M., Stillman, G. E., andDimmock, J. O., SolidState Commun., 8:2021-2024 (1970) 83. Bhargava, R N., J. Crystul Growth, 86:873-879 (1988) 84. Zhang, Y., Skromme, B. J., and Cheng, H., unpublished data. 85. Dean, P. J. and White, A. M., SolidState Electron., 21:1351-1355 (1978) 86. Molva, E. and Magnea, N., Phys Stat. Solidi (b), 102:475486 (1980) 87. See Oh&hi, N., Makita, Y., Mori, M., Irie, K., Takeuchi, Y., and Shigetomi, S.,J. Appl. Phys., 62: 1833-1836 (1987), and references therein. 88. Zhang, Y., Skromme, B. J., and Turco-Sandroff, F. S., Heteroepituxy of Dissimilar Materials, pp. 235-240, (J. P. I&r-bison, A. Zangwill, R.F.C. Farrow, and P. S. Peercy, eds.), Materials Research Society, Pittsburgh (1991) 89. See, for example, Hoplield, J. J., Thomas, D. G., and Lynch, R. T., Whys Rev. Lett., 17:312-315 (1966) 90. Monemar, B. and Grimmeiss, H. G., Prog. Cry&l Growth Charact., 5:4788 (1982) 91. Milnes, A. G., Advances in Electronics and Electron Physics, 61:63-160, Academic, New York (1983) 92. Nishino, T., Fujiwara, Y., Kojima, A., and Hamakawa, Y., Spectroscopic Characterization Techniquesfor Semiconductor Technology, (F. H. Pollak and R. S. Bauer, eds.), Proc., 452:2-8, SPIE, Bellingham, Washington (1983) 93. Bishop, S. G., Optical Characterization Techniques for Semiconductor Technology, 276:2-10, SPIE, (D. E. Aspnes, S. So, andR. F. Potter, eds.), Bellingham, Washington (198 1) 94. Uihlein, C., Applications of High Magnetic Fields in Semiconductor Physics, pp. 203-213, Grenoble, (G. Landwehr, ed.), Springer-Verlag, Berlin (1983) 95. Nissen, M. K., Steiner, T., Beckett, D. J. S., and Thewalt, M. L. W., Phys. Rev. Lett., 65:2282-2285 (1990) 96. Pomrenke, G. S. and Park, Y. S., J. Lumin., 28:5363 (1983) 97. Dean, P. J., Phys. St&. Sol. (b) 98:439-447 (1980) 98. Dean, P. J., Herbert, D. C., Werkhoven, C. J., Fitzpatrick, B. J., and Bhargava, R. N., Phys. Rev. B, 23:48884901 (1981) 99. Zemon, S. and Lambert, G., Solid State Commun., 70:855-858 (1989) 100. Miller, R. C., Gossard, A. C., Tsang, W. T., and Munteanu, O., SolidState Commun., 43:519-522 (1982)
Optical Characterization
759
101. Juang, F.-Y., Nashimoto, Y., and Bhattacharya, P. K., J. Appl. Whys., 58:1986-1989 (1985) 102. Nomura, Y., Shinozaki, K., and I&ii, M., J. Appl. Phys., 58:1864-1866 (1985) 103. Miller, R C., Kleinman, D. A., Gossard, A. C., and Munteanu, O., Phys Rev. B, 2516545-6547 (1982) 104. Charbonneau, S., Steiner, T., Thewalt, M. L. W., Koteles, E. S., Chi, J. Y., and Elman, B., Whys Rev. B, 38:3583-3586 (1988) 105. Schmidt, M., Morgan, T. N., and Schairer, W., Whys Rev. B, 11:50025007 (1975) 106. Skromme, B. J., Tamargo, M. C., de Miguel, J. L., and Nahory, R. E., Epitaxy of Semiconductor Layered Structures, pp. 577-582, @ T. Tung, L. R Dawson, and R. L. Gunshor, eds), Mater. Res. Sot., Pittsburgh (1988) 107. Bogardus, E. H. and Bebb, H. B., Whys.Rev., 176:993-1002 (1968). The (A-,X) peaks discussed in this paper are really @“-A”)/(e-A”) in nature; (A-,X) complexes are unstable in GaAs and most other materials. 108. Sell, D. D., Stokowski, S. E. Dingle, R., and DiLorenzo, J. V., Phys Rev. B, 7:4568-4586 (1973) 109. Ktinzel, H. and Ploog, K., Gallium Arsenide and Related Compounds, 1980, Vienna, pp. 51-528, (H. W. Thim, ed.), Inst. of Phys., London, (1981); Ktinzel, H. and Ploog, K., Appf. Phys. Lett., 37:416-418 (1980) 110. Eaves, L. and Hall&y, L709 (1984)
D. P., J. Whys. C: Solid State Phys., 17:L705-
111. Skolnick, M. S., Harris, T. D., Tu, C. W., Brennan, T. M., and Sturge, M. D., Appl. Phys. Left., 46:427-429 (1985) 112. Skolnick, M. S., Hall&y, D. P., and Tu, C. W., Phys. Rev. B, 38:41654179 (1988) 113. Charbonneau, S. and Thewalt, M. L. W., Phys. Rev. B, 41:8221-8228 (1990) 114. Contour, J. P., Neu, G., Leroux, M., Chaix, C., Levesque, B., and Etienne, P., J. Vat. Sci. Technol. B, 1:811-815 (1983) 115. Skolnick, M. S., Tu, C. W., and Harris, T. D., Phys. Rev. B, 33:8468-8474 (1986) 116. Charbonneau, S., McMullan, W. G., and Thewalt, M. L. W., Phys. Rev. B, 38:3587-3590 (1988) 117. Briones, F. and Collins, D. M., J. Electron. Mater., 11:847-866 (1982) 118. Skromme, B. J., Bose, S. S., Lee, B., Low, T. S., Lepkowski, T. R., DeJule, R. Y., and Stillman, G. E., J. Appl. Phys., 58:4685-4702 (1985)
760 Compound Semiconductors 119. Ashen, D. J., Dean, P. J., Hurle, D. T. J., Mullin, J. B., White, A. M., and Greene, P. D., J. Phys. Chem. Solids, 36:1041-1053 (1975) 120. Sromme, B. J., Bhat, R., and Koza, M. A., SolidState Commun., 66:543547 (1988) 121. See, for example, Bachrach, R. Z., Rev. Sci. Instrum., 43:734-737 (1972) 122. See, for example, Steiner, T., Thewalt, M. L. W., Koteles, E. S., and Salerno, J. P, Phys. Rev. B, 34: 1006-1013 (1986) and references therein. 123. Heim, U. and Hiesinger, P., Phys. Stat. Sol. (b), 66:461-470 (1974) 124. Skromme, B. J., Bhat, R., Cox, H. M., and Colas, E., IEEE J. Quantum Electron., 25: 1035-1045 (1989) 125. Wilson, B. A., IEEE .I. Quantum Electron., 24: 1763-1777 (1988) 126. Miller, R. C. and Bhat, R., J. Appl. Phys., 64:3647-3649 (1988) 127 Miller, R.C., Kleinman, D. A., Nordland, W. A., Jr., and Gossard, A. C., Phys. Rev. B, 22:863-871 (1980) 128. Miller, R. C. and Kleinman, D. A., J. Lumin., 30:520-540 (1985) 129. Baliga, A. and Anderson, N. G., Appl. Phys. Lett., 60:283-285 (1992) 130. Tews, H. and Venghaus, H., SolidState Commun., 30:219-221 (1979) 13 1. Henning, J. C. M., E. Fermi Summer School on ExcitedState Spectroscopy (1985) 132. Henry, C. H. and Nassau, K., Phys. Rev. B, 2:997-1004 (1970) 133. Skromme, B. J., Cox, H. M., and Hummel, S. G., Internat. Sympos. on GaAs and Related Compounds, Las Vegas, (1986), pp. 177-182, (W. T. Lindley, ed.), Inst. of Phys., Bristol (1987) 134. Street, R. A. and Senske, W., Phys. Rev. Lett., 37:1292-1295 (1976) 135. See, for example, WoIford, D. J., Mariette, H., and Bradley, J. A., Internat. Sympos. GaAs and Related Compounds, Biarritz, (1984), pp. 275-280, Inst. of Phys., Bristol (1985) 136. Ramdas, A, K. and Rodriguez, S., The Spectroscopy of Semiconductors, pp. 137-220, (Seiler, D. G. and C. L. Littler, eds.), Academic, New York (1992) 137. Bir, G. L. and P&us, G. E., Symmetry and Strain-induced Eficts Semiconductors, Wiley, New York (1974)
in
138. Luttinger, J. M., Phys. Rev., 102:1030-1041 (1956) 139. Cho, K., Suga, S., Dreybrodt, W., and Willmann, F., Phys. Rev. B, 11:1512-1521 (1975); andErratum, 12:1608 (1975) 140. The relationship between these two sets of parameters is K = -2i?, L = -2i. 141. Lipari, N. 0. and Altarelli, M., SolidState Commun., 33:47-50 (1980) 142. Langmann, U., Appl. Phys., 1:219-221 (197)
Optical Characterization
761
143. Yamawaki, M. and Hamaguchi, C., Phys. Stat. Sol. (a), 112:201-210 (1982) 144. Livingstone, A. W., Turvey, K., and Allen, J. W., Solid State Electron., 16:351-356 (1973) 145. Skromme, B. J. and Stillman, G. E., unpublished data on Au/GaAs diodes. 146. Miller, D. A. B., Chemla, D. S., Damen, T. C., Gossard, A. C., Wiegmann, W., Wood, T. H., and Burrus, C. A., Phys. Rev. Lett., 53:2173 (1984); Phys. Rev. B, 32:1043-1060 (1985) 147. Klipstein, P. C., Tapster, P. R., Apsley, N., Anderson, D. A., Skolnick, M. S., Kerr, T. M., and Woodbridge, K., J. Phys. C: Solid State Phys., 19:857-871 (1986) 148. Mendez, E. E., Agullb-Rueda, F., and Hong, J. M., Phys. Rev. Lett., 60:2426-2429 (1988) 149. Voisin, P., Bleuse, J., Bouche, C., Gaillard, S., Alibert, C., and Regreny, A., Phys Rev. Lett., 61:1639-1642 (1988) 150. Schairer, W. and Stath, N., J. Appl. Phys., 43:447-450 (1972) 151. Bludau, W. and Wagner, E., Phys Rev. B 13:5410-5414 (1976) 152. Skromme, B. J. and Stillman, G. E., Phys Rev. B, 28:460211607 (1983) 153 Loudon, R., Adv. Phys, 13:423-481 (1964) 154. See, for example, Menendez, J. and Cardona, M., Phys. Rev. B, 3 1:36963704 (1985) and references therein. 155. Jusserand, B., and Cardona, M., Light Scattering in Solids V, pp. 49-152, (M. Cardona and G. Giintherodt, eds.), Springer, Berlin (1989) 156. Menendez, J., J. Lumin., 44:285-314 (1989) 157 Klein, M. V., IEEEJ. Quantum Electron., 22:1760-1770 (1986) 158 Sapriel, J., Sur$ Sci. Rep., 10: 189 (1989); Sapriel, J., Spectroscopic Characterization Techniques for Semiconductor Technology III, pp. 136145, (0. J. Glembocki, F. H. Pollak, andF. Ponce, eds.), SPJE, Bellingham, Washington (1988) 159. See, for example, Martin, R. M. and Falicov, L. M., Light Scattering in Solids 1, 2nd Ed. pp. 79-145, (M. Cardona, ed.), Springer, Berlin (1983) 160. Cardona, M., Light Scattering in Solids ZI, p. 19, (MCardona Gtintherodt, eds.), Springer, Berlin (1982)
and G.
16 1. See Mentndez, J., Proc. Internat. Co@ on Modulation Spectroscopy, pp. 275-279 (F. H. Pollak, M. Cardona, and D. E. Aspnes, eds.), SPIE, Bellingham, Washington (1990), and references therein. 162. Suemoto, T., Fasol, G., and Ploog, K., Phys. Rev. B, 34:6034 (1986)
762 Compound Semiconductors 163. See Klein, M. V., Light Scattering in Solids I, 2nd Ed., pp. 147-204, (M. Cardona, ed.), Springer, Berlin (1983); Pinczuk, A., Abstreiter, G., and Cardona, M., Light Scattering in Solids IK pp. 5-150, (M. Cardona and G. Gtintherodt, eds.), Springer, Berlin (1984) 164. See Pinczuk, A. and Abstreiter, G., Light Scattering in Solids V, (M. Cardona and G. Gtintherodt, eds.), Springer, Berlin (1989); Abstreiter, G., Merlin, R., and Pinczuk, A., IEEE J. Quantum Electron., 2211771-1784 (1986); Pinczuk, A., Heiman, D., Valladares, J. P., Pfeiffer, L. N., and West, K. W., Raman and Luminescence Spectroscopies in Technology II, pp. 2-12, (F. Adar and J. E. Griffiths, eds.), SPJE, Bellingham, Washington (1990) 165. See Menendez, J. and Pinczuk, A., IEEEJ. Quantum Electron., 24: 16981711 (1988) and references therein. 166. Seraphin, B. 0. and Bottka, N. O., Phys. Rev., 139:A560-A565 (1965) 167. Evangelisti, F., Frova, A., andFischbach, J. U., Phys. Rev. Lett., 29:10011004 (1972) 168. Klipstein P. C., and Apsley, N., J. Phys. C: Solid State Phys., 19:6461-
6478 (1986) 169. Thorn, A. P., Shields, A. J., Klipstein, P. C., Apsley, N., and Kerr, T. M., J. Phys. C: Solid State Phys., 20:4229-4239 (1987) 170. Zheng, X. L., Heiman, D., Lax, B., and Chambers, F. A., Spectroscopic Characterization Techniques for Semiconductor Technology III, pp. 4347, (0. J. Glembocki, F. H. PO&& and F. Ponce, eds.), SPIE, Bellingham, Washington (1988); Zheng, X. L., Heiman, D., Lax, B., and Chambers, F. A., Appl. Phys. Lett., 52:287-289 (1988) 171. Shields, A. J. and Klipstein, P. C., Superlatt. (1990)
Microstruct.,
7:397-401
172. Batchelor, R. A. and Hamnett, A., J. Appl. Phys., 71:2414-2422 (1992)
173 Cardona, M., Modulation Spectroscopy,
Academic, New York (1969)
174. Aspnes, D. E., Handbook on Semiconductors, ed.), North-Holland, Amsterdam (1980)
2:109-154,
(T. S. Moss,
175. See various chapters in Semiconductors and Semimetals, (R. K. Willardson and A.C. Beer, eds.), Vol. 9: Modulation Techniques, Academic, New York (1972) 176. Hamakawa, Y. and Nishino, T., Optical Properties of Solids: New Development, p. 259, (B. 0. Seraphin, ed.), North-Holland, New York (1976) 177. See various papers in the Proc. of the First Internat. Conf on Modulation Spectroscopy, in Surf: Sci., 37 (1973)
Optical Characterization
763
178 Glembocki,
0. J. and Shanabrook, B. V., The Spectroscopy of pp. 221-292, (D. G. Seiler and C. L. Littler, eds.), Academic, Boston (1992)
Semiconductors,
179. Pollak, F. H. and Shen, H., J. Electron. Muter., 19:399-406 180
(1990)
Pollak, F. H. and Glembocki, 0. J., Spectroscopic Characterization Techniquesfor Semiconductor Technology III, pp. 2-35, (0. J. Glembocki, F. H. Pollak, and F. Ponce, eds.), SPIE, Bellingham, Washington (1988); see also Pollak, F. H., Encyclopedia of Materials Characteization, pp. 385-400, (C. R Brundle, C. A. Evans, Jr., and S. Wilson, eds.), ButterworthHeinemann, Boston (1992)
181. Glembocki O.J., and Shanabrook, B.V., Superlatt. Microstruct.,
3:235-
238 (1987) 182. Zheng, X. L., Heiman, D., Lax, B., Chambers, F. A., and Stair, K. A., Appl. Phys. Lett., 52:984-986 (1988) 183. Glembocki, 0. J. and Shanabrook, B. V.,Modem Optical Characterization Techniques for Semiconductors and Semiconductor Devices, pp. 74-80,
(0. J. Glembocki, F. H. Pollak, and J. J. Song, eds.), SPIE, Bellingham, Washington (1987) 184. Shanabrook, B. V., Glembocki, 0. J., and Beard, W. T., Phys. Rev. B, 35:2540-2543 (1987) 185. Wang, E. Y., Albers, W. A., Jr., and Bleil, C. E., Internat. Conf: on II-VI Semiconducting Compounds, pp. 136-146, (D. G. Thomas, ed.), W.A. Benjamin, New York ( 1967) 186. Shen, H., Parayanthal, P., Lui, Y. F., and Polk& F. H., Rev. Sci. Instrum., 58:1429-1432
(1987)
187. Shen, H. and Dutta, M., Appl. Phys. Lett., 57:587-589
(1990)
188. Saint-Cricq, N., Landa, G., Renucci, J. B., Hardy, I., and Mufioz-Yague, A.,J. Appl. Phys., 61:1206-1208 (1987)
189. Dingle, R., Wiegmann, W., and Henry, C. H., Phys. Rev. Lett., 33:827830 (1974) 190. Pi&z, W., Porod, W., andFerry, D. K., Phys. Rev. B, 32:3868-3875 (1985)
191. Miller, R. C., Gossard, A. C., Kleinman, D. A., and Munteanu, O., Phys. Rev. B, 29:3740-3743 (1984); Miller, R. C., Kleinman, D. A., and Gossard, A. C., Phys. Rev. B, 29:7085 (1984) 192. Meynadier, M. H., Delalande, C., Bastard, G., Voos, M., Alexandre, F., and L&in, J. L., Phys. Rev. B, 3 1:5539-5542 (1985) 193. Miller, R. C., Gossard, A. C., and Kleinman, D. A., Phys. Rev. B, 32:5443-5446 (1985) 194. Potz, W. andFerry, D. K., Phys. Rev. B, 32:3863-3867 (1985) 195. Duggan, G., J. Vat. Sci. Technol. B, 3:1224-1230
(1985)
764 Compound Semiconductors 196. See various articles in Heterojunction Band Discontinuities:
Physics and Device Applications, (F. Capasso and G. Margaritondo, eds.), NorthHolland, Amsterdam (1987)
197. Venkateswaran, U., Chandrasekhar, M., Chandrasekhar, H. R., Vojak, B. A., Chambers, F. A., and Meese, J. M., Phys. Rev. B, 33:8416-8423 (1986) 198. Wolford, D. J., Kuech, R. F., Bradley, J. E., Gell, M. A., Ninno, D., and Jaros, M., J. Vat. Sci. Technol. B, 4: 1043-1050 (1986) 199. Duggan, G. andRalph, H. I., Phys. Rev. B, 3:4152 (1987) 200. Dawson, P., Wilson, B. A., Tu, C. W., and Miller, R. C., Appl. Phys. Lett., 48541-543 (1986) 20 1. Dawson, P., Moore, K. J., and Foxon, C. T., Quantum Well andSuperlattice Physics, Proc., 792:208-213, SPIE, Bellingham, Washington (1987) 202. Baldereschi, A. and Lipari, N. O., Phys. Rev. Lett., 25:373-376 (1970) 203. Baldereschi, A. and Lipari, N. O., Phys. Rev. B, 3:439451
(1971)
204. Miller, R. C., Kleinman, D. A., Tsang, W. T., and Gossard, A. C., Phys. Rev. B, 24:1134-1136 (1981) 205. Dawson, P., Moore, K. J., Duggan, G., Ralph, H. I., and Foxon, C. T. B., Phys. Rev. B, 34:6007-6010 (1986); Moore, K. J., Dawson, P., and Foxon, C. T., Phys. Rev. B, 3416022-6025 (1986) 206. Miura, N., Iwasa, Y., Tarucha, S., and Okamoto, H., Proc. 17th hzternat. ConJ Physics Semiconductors, pp. 359-362, (J. D. Chadi and W. A. Harrison, eds.), Springer, New York (1984) 207. Maan, J. C., Belle, G., Fasolino, A., Altarelli, M., and Ploog, K., Phys. Rev. B, 30:2253-2256 (1984) 208 Yang, S. R. E. and Sham, L. J., Phys. Rev. Lett., 58:2598-2601 (1987) 209. Rogers, D. C., Singleton, J., Nicholas, R. J.,Foxon, C. T., and Woodbridge, K., Phys. Rev. B, 34:4002-4009 (1986) 210. Plaut, A. S., Singleton, J., Nicholas, R. J., Harley, R. T., Andrews, S. R., and Foxon, C. T. B., Phys. Rev. B, 38: 1323 (1988) 211. Stillman, G. E., Larsen, D. M., and Wolfe, C. M., Phys. Rev. Lett., 27:989-992 (1971) 212. Dean, P. J. and Skolnick, M. S.,J. Appl. Phys., 54:346-359 (1983) 213. Merz, J. L., Kukimoto, H., Nassau, K., and Shiever, J. W., Phys. Rev. B, 6:545-556 214.
(1972)
Dean, P. J., Herbert, D. C., and Lahee, A. M., J. Phys. C: Solid State Phys., 13:5071-5079 (1980)
215. Dean, P. J., Venghaus, H., and Simmonds, P. E., Phys. Rev. B, 18:68136823 (1978)
Optical Characterization
765
216. Rossi, J. A., Wolfe, C. M., andDimmock, J. O., Phys. Rev. Lett., 25: 16141617 (1970) 217. Bimberg, D., Phys Rev. B, 18: 1794-1799 (1978) and references therein. (Note that the notations o+ and cr- are everywhere reversed in this paper.) 218. Bimberg, D. and Rtlhle, W., Proc. 12th Internat. Co& Phys. Semicond., pp. 561-565, (M. H. Pilkuhn, ed.) Teubner-Verlag, Stuttgart (1974) 219. Dean, P. J., Phys Rev. B, 18:6813-6823 (1978) 220. Kuhn, T. A., Ossau, W., Waag, A., Bicknell-Tassius, Landwehr,G., J. Crystuf Growth, 117:660-665 (1992)
R. N., and
221. Skromme, B. J., Bhat, R, Koza, M. A., Schwarz, S. A., Ravi, T. S., and Hwang, D. M., Phys. Rev. Lett., 65:2050-2053 (1990) 222. Baldereschi, A. and Lipari, N. O., Phys. Rev. B, 8:2697-2709 (1973); Baldereschi, A. and Lipari, N. O., Phys Rev. B, 9:1525-1539 (1974); Lipari, N. O., Phys Lett., 81A:75-77 (1981). The third of these three references contains the most accurate results, since higher order effects of the cubic term were included in this case. 223. Dean, P. J., Robbins, D. J., and Bishop, S. G., Solid State Commun., 32:379-384 224.
(1979)
Barthrutf, D. and Haspeklo, H.,J. Lumin., 24/25:181-184 (1981)
225. Herbert, D. C., Dean, P. J., Venghaus, H., and Ffister, J. C., J. Phys. C: Solid State, 11:3641-3650 (1978) 226. Said, M. and Kanehisa, M. A., J. Crystal Growth, 101:488-492 227.
(1990)
Mole&, L. W., Eppenga, R., ‘t Hooft, G. W., Dawson, P., Foxon, C. T., andMoore, K. J., Phys. Rev. B, 38:4314-4317 (1988)
228. Lyo, S. K., Jones, E. D., and Klem, J. F., Phys. Rev. Lett., 61:2265-2268 (1988) 229.
See Jones, E. D., Lyo, S. K., Fritz, I. J., Klem, J. F., Schirber, J. E., Tigges, C. P., and Drummond, T. J., Appl. Phys. Lett., 54:2227-2229 (1989), and references therein.
230. Bastard, G., Phys Rev. B, 24:5693-5697 (1981); and Bastard, G., Phys. Rev. B, 25~7584-7597 (1982) 23 1. White, S. R. and Sham, L. J., Phys. Rev. Lett., 47:879-882 232.
(1981)
Nelson, D. F., Miller, R. C., andKleinman, D. A., Phys. Rev. B., 35:77707773 (1987)
233.
Ekenbcrg, U., Phys Rev. B, 40:7714-7726 (1989)
234. Brozak, G., de Andrada e Silva, E. A., Sham, L. J., DeRosa, F., Miceli, P., Schwarz, S. A., I&bison, J. P., Florez, L. T., and Allen, S. J., Jr., Phys. Rev. Lett., 64:471-474 235.
(1990)
Chang, Y.C. and Schulman, J. N., Appl. Phys. Lett., 43:536-538 (1983); Chang Y. C. and Schulman, J. N., Phys. Rev. B, 3 1:2069-2079 (1985)
766 Compound Semiconductors 236. See, for example, Miller, R. C., Gossard, A. C., Sanders, G. D., Chang, Y. C., and Schulman, J. N., Phys Rev. B, 32:8452-8454 (1985) 237. See, for example, Ge, W., Sturge, M. D., Schmidt, W. D., Pfeitfer, L. N., and West, K. W., Appl. Phys. Lett., 57:55-57 (1990), and references therein. 238. Haynes, J. R., Whys Rev. Letf., 4:361-363 (1960) 239. Dean, P. J., St&us, W., Neumark, G. F., Fitzpatrick, B. J., and Bhargava, R. N., Phys Rev. B, 27:2419-2428 (1983) 240. Venghaus, H. and Dean, P. J., Whys Rev. B, 21:1596-1609 (1980) 241. Halsted, R. E. and Aven, M., Whys Rev. Lett., 14:6445 242.
(1965)
Hetzler, S. R., McGill, T. C., andHunter, A. T., Appl. Phys. Lett., 44:793795 (1984)
243.
Wan, K. and Bray, R., Phys Rev. B, 32:5265-5272
244.
Wagner, J., Seelewind, H., andKaufmann, U., Appl. Phys. Lett., 48:10541056 (1986); Wagner, J. and Ramsteiner, M., Appl. Phys. Lett., 49: 1369-
(1985)
1371 (1986) 245. Harris, T. D., Lamont, M. G., and Seibles, L., Defects in Electronic Materials, pp. 479-482, (M. Stavola, S. J. Pearton, and G. Davies, eds.),
Mater. Res. Sot., Pittsburgh (1988) 246
Stringfellow, G. B., Koschel, W., Briones, F., Gladstone, J., and Patterson, G., Appl. Phys. Lett., 39:581-582 (1981)
247.
Skromme, B. J., Stillman, G. E., Oberstar, J. D., and Chan, S. S., J. Electron. Muter., 13:463-491 (1984)
248. Skromme, B. J., J. Electron. Mater., 15:345-348 249.
(1986)
Ilegems, M. and Dingle, R., Proc. Internat. Sympos. GaAs and Related Compounds, Deauville, 1974, pp. l-9, Inst. of Physics, Bristol (1975)
250. Skromme, B. J., Low, T. S., and Stillman, G. E., Proc. Internat. Sympos. GaAs and Related Compounds, Albuquerque, 1982, pp. 485-492, (G. E. Stillman, ed), Inst. of Phys., Bristol (1983) 251. Hess, K. L., Dapkus, P. D., Manasevit, H. M., Low, T. S., Skromme, B. J., and Stillman, G. E., J. Electron. Muter., 11: 1115-l 137 (1982) 252. Skromme, B. J., Ph.D. Thesis, Univ. of Illinois at Urbana-Champaign 253. Low, T. S., Skromme, B. J., and Stillman, G. E., Proc. Internat. Sympos. GaAs and Related Compounds, Albuquerque, 1982, pp. 5 15-522, (G. E. Stillman, ed.), Inst. of Phys., Bristol (1983) 254. Uwai, K., Yamada, S., and Takahei, K., J. Appl. Phys., 61:1059-1062 (1987) 255. Bose, S. S., Szafranek, I., Kim, M. H., and Stillman, G. E., Appl. Phys. Lett., 56:752-754 (1990)
Optical Characterization 256
767
Hess, K., Stath, N., and Benz, K. W., J. Electrochem. Sec. 121: 12081212 (1974)
257. Pomrenke, G. S., J. Crystat Growth, 64:158-164 (1983) 258. Kubota, E., Ohmori, Y., and Sugii, K., J. Appf. Phys., 55:3779-3784 (1984) 259.
Iliadis, A. A. and Gvadia, S., J. Appl. Phys., 63:5460-5463
260.
Andrews, D. A., Davey, S. T., Tuppen, C. G., Waefield, B., andDavies, G. J., Appl. Phys. L&t., 52:816-818 (1988)
261.
Henning, J. C. M., Noijen, J. J. P., and de Nijs, A. G. M., Phys. Rev. B, 27:7451-7459 (1983)
(1988)
262. Almassy, R. J., Reynolds, D. C., Litton C. W., Bajaj, K. K., and McCoy, G. L., Solid State Commun., 42:827-830 (1982) 263.
Reynolds, D. C., Bajaj, K. K., Litton, C. W., and Smith, E. B., Phys. Rev. B, 28:3300-3305
264.
(1983)
Harris, T. D., Skolnick, M. S., Parsey, J. M., Jr., and Bhat, R., Appl. Phys. Lett., 52:389-391
265.
(1988)
Bose, S. S., Lee, B., Kim, M. H., and Stillman, G. E., Appl. Phys. Lett., 51:937-939
(1987)
266.
Dean, P. J., Skolnick, M. S., and Taylor, L. L., J. Appl. Phys.., 55:957-963
267.
Bose, S. S., Kim, M. H., and Stillman, G. E., Appl. Phys. Lett., 53:980-982
(1984) (1988) 268.
Lee, B., Kim, M. H., McCollum, M. J., and Stillman, G. E., Zndium Phosphide and Related Materials for Advanced Electronic and Optical Devices, pp. 39-47, (R. Singh and L. J. Messick, eds.), SPIE, Bellingham, Washington (1989)
269. Dean, P. J., Skolnick, M. S., Cockayne, B., MacEwan, W. R., and Iseler, G. W., J. Crystat Growth, 67:486 (1984) 270.
Stillman, G. E., Low, T. S., and Lee, B., Solid State Commun., 53:10411047 (1985)
271.
Hummel, S. G., Zou, Y., Beyler, C. A., Grodzinski, P., Dapkus, P. D., McManus, J. V., Zhang, Y., and Skromme, B. J., Appl. Phys. Lett., 60: 1483-1485 (1992); and additional data presented at the 1991 Electronic Materials Conference, Boulder, paper F8.
272. Tajima, M., Appl. Phys. Lett., 32:719-721 (1978); Tajima, M. and Yusa, A., Neutron Transmutation Doped Silicon, pp. 377-394, (J. Guldberg, ed.), Plenum, New York (1981) 273. Nam, S. B., Langer, D. W., Kingston, D. L., and Luciano, M. J., Appl. Phys. Lett., 31:652454
(1977)
768 Compound Semiconductors 274. Picketing, C., Tapster, P. R., Dean, P. J., Taylor, L. L., Giles, P. L., and Davies, P., J. Crystal Growth, 64: 142-148 (1983), and references therein. 275. Ambrose, S., Kamp, M., Wolter, K., Weyers, M., Heinecke, H., Kurz, H., and Balk, P., J. Appl. Phys., 6450985101 (1988) 276. Lu, Z. H., Harm, M. C., Szmyd, D. M., Oh, E. G., and Majerfeld, A., Appl. Phys. L&t., 56: 177-179 (1990) 277.
Steiner, T. W., Zhang, Y., Thewalt, M. L. W., Maciaszek, M., andBult, R. P., Appl. Phys. Lett., 561647-649 (1990)
278.
Isshiki, M., Masumoto, K., Uchida, W., and Satoh, S., Jpn. J. Appl. Phys., 30:515-516 (1991)
279. Schmidt, T., Daniel, G., and Lischka, K., J. Crystal Growth, 117:748-752 (1992) 280. Eagles, D. M., J. Phys. Chem. Solids, 16:76-83 (1960) 28 1. See, for example, Wagner, J., Light Scattering in Semiconductor Structures and Superlattices, pp. 275-290, (D. J. Lockwood and J. F. Young, eds.), Plenum, New York (1991); and Newman, R. C., Growth and Characterization of Semicoductors, pp. 105-l 17, (R. A. Stradling and P. C. Klipstein, eds.), Adam Hilger, Bristol (1990) and references therein. 282. Moore, W. J., Optical Characterization Techniques for Semiconductor Technology, pp. 101-103, (D. E. Aspnes, S. So, and R. F. Potter, eds.), SPIE, Belligham, Washington (198 1) 283. Martin, G. M., Appl. Phys. Lett., 39:747-748 (1981) 284. Glembocki, 0. J., Bottka, N., and Furneaux, J. E., J. Appl. Phys., 57:43237 (1985) 285.
Pikhtin, A. N., Airaksinen, V.-M., Lipsanen, H., and Tuomi, T., J. Appl. Phys., 65:2556-2557
286.
(1989)
Bastard, G., Phys. Rev. B, 24:4714 (1981). Note that Fig. 3 is incorrect in this paper, having been based on Eq. (14) which is valid only for -L/2 1O8 ohm-cm). However, the highest resistivity material may not necessarily be the best for use as substrates for ion implantation. It would appear that a controlled and known density of carbon is better than a small uncertain amount. Resistivities in the range of lo7 to 1O8ohm-cm appear to be better suited for use as ion implant substrates for most GaAs IC processes. h Ed Ef
EL2
G EV
Figure
4.
The energy level diagram for LEC
SI GaAs showing the relationship of the
shallow Si donor (Ed, the shallow C acceptor (E,J, and the deep EL2 donor levels with the valence (EJ and conduction (E,) band edges, and the Fermi energy (Er).
Beyond the growth of the crystalline ingot, the wafers of GaAs are prepared in a fashion similar to Si wafers. However, GaAs is significantly more fragile than Si; it breaks easily, and quite often it does so without cause. Because it is also softer than Si, the polishing of GaAs wafers tends to be problematic. In addition, without appropriate polishing methods, it is possible to leave subsurface damage in the wafer which can reappear later during wafer processing. In spite of the numerous technical difficulties of producing GaAs wafers, a large number of commercial vendors can produce high quality substrates for use in the fabrication of GaAs ICs. Although the 3” diameter wafer is the most common substrate, the 100 mm diameter wafer is quickly assuming the dominating position. It is likely that 150 mm diameter wafers will be commercially available within the next few years. Some typical electrical and physical characteristics of semi-insulating LEC GaAs wafers for ion-implanted devices are shown in Table 2. The listed properties summarize only the bare essentials. Purchase specitications by users will typically dictate many parameters of concern, including the level of cleanliness of the surface, serialization of wafers retaining its position in the crystal, and a consistent “final” chemical composition of the
Gallium Arsenide Microelectronic
Devices and Circuits
783
surface. Unfortunately for the wafer manufacturers, different users require somewhat different properties of their substrates. Because of that, there is no standard GaAs substrate which can be used by the many IC manufacturers. However, it appears that differences in processing styles among different users are becoming less distinct. This tendency is likely to evolve into a situation where a more standard wafer may become acceptable to many users. Table 2. Some of the Important Electrical and Physical Properties of SemiInsulating LEC GaAs Wafers for Ion-Implanted Devices PROPERTY
ELECTRICAL
Resistivity: Mobility: Dopant:
PHYSICAL Orientation: Diameter: Thickness: Thickness Variation: Bow: Orientation Flats: Etch Pit Density: Surface: Edge:
3.0
TYPICAL SPECIFICATION
~10’ ohm-cm >4cMxlcm=/v.s “Undoped” [loo] +/-0.25’ 100 +/-0.0625 mm 625 +I-25 urn 4.0 urn 40 um/lOO mm Primary @ [Oil] Seconda?=@ [Oil] CCW