W. Menz, J. Mohr, 0. Paul
Microsystem Technology
Further Titles of Interest
M. Kohler Etching in Microsystem Techno...
236 downloads
2056 Views
35MB Size
Report
This content was uploaded by our users and we assume good faith they have the permission to share this book. If you own the copyright to this book and it is wrongfully on our website, we offer a simple DMCA procedure to remove your content from our site. Start by pressing the button below!
Report copyright / DMCA form
W. Menz, J. Mohr, 0. Paul
Microsystem Technology
Further Titles of Interest
M. Kohler Etching in Microsystem Technology ISBN 3-527-29561-5 S. A. Campbell, H. J. Lewerenz (Ed.) SemiconductorMicromachining ISBN 3-471-98084-6 S. Sinzinger, J. Sahns Microoptics ISBN 3-527-29428-7
W. Menz, J. Mohr, 0. Paul
Microsvstern Technology
@WILEY-VCH Weinheim - New York - Chichester Brisbane - Singapore * Toronto
Prof. Dr. Wolfgang Menz IMTEK (Institut fur Mikrosy stemtechnik) Albert-Ludwim-Universitat Georges-Kohl&-Allee 103 D-79110 Freiburg
Dr. Jurgen Mohr Institut fur Mikrostrukturtechnik Forschungszentrum Karlsruhe Postfach 3640 D-76201 Karlsruhe
Prof. Dr. Oliver Paul IMTEK (Institut fur Mikrosystemtechnik) Albert-Ludwigs-Universitat Georges-Kohikr-Allee 103 D-79110 Freiburg
This book was carefully produced. Nevertheless, authors and publisher do not warrant the information contained therein to be free of errors. Readers are advised to keep in mind that statements, data, illustrations, procedural details or other items may inadvertently be inaccurate.
Illustration on front page: Array of CMOS-compatible silicon bulk micromachined thermoelectric infrared detector pixels (courtesy of the Physical Electronics Laboratory, ETH Zurich)
Library of Congress Card No. : applied for A catalogue record for this book is available from the British Library. Die Deutsche Bibliothek - CIP Cataloguing-in-Publication-Data A catalogue record for this publication is available from Die Deutsche Bibliothek ISBN 3-527-29634-4
0 WILEY-VCH Verlag GmhH, D-69469 Weinheim (Federal Republic of Germany). 2001 Printed on acid-free and chlorine-free paper. All rights reserved (including those of translation in other languages). No part of this book may be reproduced in any form - by photoprinting, microfilm, or any other means - nor transmitted or translated into machine language without written permission from the publishers. Registered names, trademarks, etc. used in this hook, even when not specifically marked as such, are not to be considered unprotected by law. Composition: Hagedorn Kommunikation, D-68519 Viernheim. Printing: Strauss Offsetdruck GmbH, D-69503 Morlenbach. Bookbinding: Wilhelm Osswald & Co., D-67433 Neustadt. Printed in the Federal Republic of Germany.
To Margrit, Sonja and Corinne
Preface Writing a book about microsystem technology is no simple task, since technology evolves at such high speed and new variants are reported all the time. If as an author one had to keep one’s manuscript up-to-date, one would have to continuously rewrite it, making a publication impossible. There is a further reason hindering the publication of such a book: the rapid expansion of microsystem technology into new fields of application. Not so long ago, applications in metrology, e. g., in domotics or in the automobile sector, dominated. Today, solutions in minimally invasive surgery, health care, or biochemistry are claiming a rapidly growing share of the market. When we set out to write this book it was not our intention to report the most recent research and development results. This should remain the privilege of the proceedings of relevant technical meetings. However we do believe that the microsystems engineer currently benefits from a large body of experience that has accumulated since the pioneering days of microsystem technology. With this book, we therefore wish to provide the student and the interested engineer with a source of information describing the necessary fundamentals and the basic techniques of the field. In particular is was our wish to show how many of its techniques have evolved out of microelectronics by transcending the limits of electronics, and how they have conquered new areas in physics, chemistry, and biology. On this basis, systems with mechanical, optical, fluidic, chemical and biochemical content can be built. Some day these will perhaps parallel the economic success of microelectronics. The material in this book has been taught and optimized in several courses at the University of Karlsruhe, at the Swiss Federal Institute of Technology ETH Zurich, and finally at the new Faculty for Applied Sciences of the University of Freiburg. The German predecessor of the book, i. e., ,,Mikrosystemtechnik fur Ingenieure” of VCH-Weinheim, in its second edition, was elaborated on the basis of these course notes. In view of the fact that microsystem technology is undissociable from the idea of international exchange and communication, we now present
VI
Prefuce
an English edition. The material contained in the German edition was thoroughly revised and Chapter 6 on silicon-based microsystems was considerably expanded. With these improvements, we hope that the book will add another bright piece to the colorful mosaic of the international endeavor in our fascinating field. Finally it is our pleasure to thank the numerous colleagues and collaborators who have contributed to this project with their contributions, proposals, and constructive criticism. An eminent role was played by Dr. Eric Kay, Mendocino CA, who reworked the manuscript with respect to language and technical content, so that hopefully the book can successfully compete on the market of technical literature in English. Freiburg, July 2000
Wolfgang Menz Jurgen Mohr Oliver Paul
Content
1 1.1 1.2
General Introduction to Microstructure Technology . . . . . . . What is Microstructure Technology? . . . . . . . . . . . . . . From Microstructure Technology to Microsystems Technology .
2 2.1 2.1.1 2.1.2 2.2 2.2.1 2.2.2 2.2.3 2.2.4 2.3 2.3.1 2.3.2 2.4
The Parallels to Microelectronics . . . . . . . . . . . . . . . The Production of Single Crystal Wafers . . . . . . . . . . . Production of Silicon-Single Crystals . . . . . . . . . . . . . Production of GaAs Single Crystals . . . . . . . . . . . . . . Basic Technical Processes . . . . . . . . . . . . . . . . . . . . Film Deposition . . . . . . . . . . . . . . . . . . . . . . . . . Lithography (Film Patterning) . . . . . . . . . . . . . . . . . Surface Modification . . . . . . . . . . . . . . . . . . . . . . Etching (Film Removal) . . . . . . . . . . . . . . . . . . . . . Packaging Technology . . . . . . . . . . . . . . . . . . . . . Requirements for Packaging Technology . . . . . . . . . . . Hybrid Technology . . . . . . . . . . . . . . . . . . . . . . . Clean Room Techniques . . . . . . . . . . . . . . . . . . . .
3 3.1 3.1.1 3.1.2 3.1.3 3.1.4 3.2 3.2.1 3.2.2 3.3 3.3.1 3.3.2 3.3.3 3.4
The Physical and Chemical Basics in Microtechnology . . . . . . Crystals and Crystallography . . . . . . . . . . . . . . . . . . Lattice and Types of Lattices . . . . . . . . . . . . . . . . . . Stereographic Projection . . . . . . . . . . . . . . . . . . . . The Silicon Single Crystal . . . . . . . . . . . . . . . . . . . Reciprocal Lattice and the Analysis of the Crystal Structure . . . Methods to Determine the Crystalline Structure . . . . . . . . . X-ray Diffraction . . . . . . . . . . . . . . . . . . . . . . . . Electron Beam Diffraction . . . . . . . . . . . . . . . . . . . Basic Concepts of Electroplating . . . . . . . . . . . . . . . . The Electrode-Electrolyte Interface . . . . . . . . . . . . . . . Polarization and Overpotential . . . . . . . . . . . . . . . . . Mechanisms of Cathodic Metal Deposition . . . . . . . . . . . Materials of Microsystems Technology . . . . . . . . . . . . .
. . . . .
.
1 1
9 15 15 17 24 26 27 30 31 35 36 36 37 39 45 45 46 48 53 55 62 62 64 66 69 73 74 81
VIII
Content
4
Basic Technologies in MEMS . . . . . . . . . . . . . . . . . . Basic Principles of Vacuum Technology . . . . . . . . . . . . . The Mean Free Path . . . . . . . . . . . . . . . . . . . . . . The Monolayer Time . . . . . . . . . . . . . . . . . . . . . . Velocity of Atoms and Molecules . . . . . . . . . . . . . . . . Gas Dynamics . . . . . . . . . . . . . . . . . . . . . . . . . The Classification of Technical Vacuums . . . . . . . . . . . . Vacuum Production . . . . . . . . . . . . . . . . . . . . . . . Pumps for Rough- and Fine Vacuums . . . . . . . . . . . . . . High Vacuum- and Ultrahigh Vacuum Pumps . . . . . . . . . . Vacuum Measurement . . . . . . . . . . . . . . . . . . . . . . Pressure Transducer . . . . . . . . . . . . . . . . . . . . . . . Thermal Conductivity Vacuum Gauge . . . . . . . . . . . . . . Friction Type Vacuum Gauge . . . . . . . . . . . . . . . . . . Thermionic Ionization Vacuum Gauge . . . . . . . . . . . . . . Cold Cathode Ionization Gauge (Penning Principle) . . . . . . . Leakage and Leak Detection . . . . . . . . . . . . . . . . . . Properties of Thin Films . . . . . . . . . . . . . . . . . . . . Structure Zone Model . . . . . . . . . . . . . . . . . . . . . . Adhesive Strength of the Layer . . . . . . . . . . . . . . . . . Physical and Chemical Coating Techniques . . . . . . . . . . . Evaporation . . . . . . . . . . . . . . . . . . . . . . . . . . . Sputtering . . . . . . . . . . . . . . . . . . . . . . . . . . . . Ion Plating or Plasma Assisted Deposition . . . . . . . . . . . Ion Cluster Beam Technology . . . . . . . . . . . . . . . . . . CVD Processes . . . . . . . . . . . . . . . . . . . . . . . . . Epitaxy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Plasma Polymerization . . . . . . . . . . . . . . . . . . . . . Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . Structuring of Thin Films with Dry Etch Processes . . . . . . . Physical Etch Technologies . . . . . . . . . . . . . . . . . . . Combined Physical and Chemical Etch Technologies . . . . . . Chemical Etching Technologies . . . . . . . . . . . . . . . . . Analysis of Thin Films and Surfaces . . . . . . . . . . . . . . Electron Probe Microanalysis (EPM) . . . . . . . . . . . . . . Auger Electron Spectroscopy (AES) . . . . . . . . . . . . . . . X-Ray Photoelectron Spectroscopy (XPS) . . . . . . . . . . . . Secondary Ion Mass Spectroscopy (SIMS) . . . . . . . . . . . Secondary Neutral Particle Mass Spectroscopy (SNMS) . . . . . Ion Scattering Spectroscopy (ISS) . . . . . . . . . . . . . . . . Rutherford Back Scattering Spectroscopy (RBS) . . . . . . . . . Scanning Tunneling Microscope . . . . . . . . . . . . . . . . .
4.1 4.1.1 4.1.2 4.1.3 4.1.4 4.1.5 4.2 4.2.1 4.2.2 4.3 4.3.1 4.3.2 4.3.3 4.3.4 4.3.5 4.3.6 4.4 4.4.1 4.4.2 4.5 4.5.1 4.5.2 4.5.3 4.5.4 4.5.5 4.5.6 4.5.7 4.5.8 4.6 4.6.1 4.6.2 4.6.3 4.7 4.7.1 4.7.2 4.7.3 4.7.4 4.7.5 4.7.6 4.7.7 4.7.8
109 109 110 112
114 115 116
117 118 119 125 125
126 126 127 127 128
129 129 132 133
133 135
139 140 143 146 148 148 151 155
158 162 164 165
166 168 168 169 169 169 170
Content
IX
5 5.1 5.2 5.3 5.4 5.4.1 5.4.2 5.4.3 5.5 5.5.1 5.5.2 5.5.3 5.5.4 5.5.5 5.6 5.6.1 5.6.2 5.6.3 5.6.4 5.6.5 5.7 5.8 5.8.1 5.8.2 5.8.3 5.8.4
Lithography . . . . . . . . . . . . . . . . . . . . . . . . . . Overview and History . . . . . . . . . . . . . . . . . . . . . . Resists . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Process of Lithography . . . . . . . . . . . . . . . . . . . . . Computer Aided Design (CAD) . . . . . . . . . . . . . . . . . CAD-Layout . . . . . . . . . . . . . . . . . . . . . . . . . . Alignment Patterns and Test Structures . . . . . . . . . . . . . Organization of the Design (Hierarchy. Layers) . . . . . . . . . . Electron Beam Lithography . . . . . . . . . . . . . . . . . . . Gaussian Beams . . . . . . . . . . . . . . . . . . . . . . . . Write Strategy with Gaussian Beams . . . . . . . . . . . . . . Shaped Beams . . . . . . . . . . . . . . . . . . . . . . . . . Post Processor . . . . . . . . . . . . . . . . . . . . . . . . . Proximity Effect . . . . . . . . . . . . . . . . . . . . . . . . Optical Lithography . . . . . . . . . . . . . . . . . . . . . . . Masks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Shadow Projection . . . . . . . . . . . . . . . . . . . . . . . Imaging Projection . . . . . . . . . . . . . . . . . . . . . . . Further Developments . . . . . . . . . . . . . . . . . . . . . . Optical Lithography for Micromechanics . . . . . . . . . . . . Ion Beam Lithography . . . . . . . . . . . . . . . . . . . . . X-Ray Lithography . . . . . . . . . . . . . . . . . . . . . . . Masks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . X-Ray Sources . . . . . . . . . . . . . . . . . . . . . . . . . Synchrotron Radiation . . . . . . . . . . . . . . . . . . . . . Application of X-ray Lithography . . . . . . . . . . . . . . . .
171 171 171
6 6.1 6.1.1 6.1.2 6.2 6.2.1 6.2.2 6.2.3 6.2.4 6.2.5 6.2.6 6.3 6.3.1 6.3.2 6.3.3 6.3.4 6.4 6.4.1 6.4.2
Silicon Microsystem Technology . . . . . . . . . . . . . . . . . Silicon Technology . . . . . . . . . . . . . . . . . . . . . . . IC Processes and Substrates . . . . . . . . . . . . . . . . . . . Foundry Technologies . . . . . . . . . . . . . . . . . . . . . . Silicon Micromachining . . . . . . . . . . . . . . . . . . . . . Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . Wet Etching . . . . . . . . . . . . . . . . . . . . . . . . . . Basic Etch Shapes . . . . . . . . . . . . . . . . . . . . . . . Etching Control . . . . . . . . . . . . . . . . . . . . . . . . . Characterization of Anisotropic Wet Etchants . . . . . . . . . . Dry Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . Surface Micromachining . . . . . . . . . . . . . . . . . . . . Polysilicon Micromachining . . . . . . . . . . . . . . . . . . . Sacrificial Aluminum Micromachining . . . . . . . . . . . . . Sacrificial Polymer micromachining . . . . . . . . . . . . . . . Stiction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Micro Transducers and Systems Based on Silicon Technology . . Mechanical Devices and Systems . . . . . . . . . . . . . . . . Thermal Micro Devices and Systems . . . . . . . . . . . . . .
209
175
176 177 178 181 182 182 185 187 189 190 192 193 194 196 198 200 202 202 203 204 204 208
210
210 214 215
215 220 227 234 239 241 248 250 253 254 255 257 257 263
X
Content
6.4.3 6.4.4 6.4.5 6.4.6 6.5
Devices and Systems for Radiant Signals . . . . . . . . . . . . Magnetic Devices and Systems . . . . . . . . . . . . . . . . . Chemical Microsensors . . . . . . . . . . . . . . . . . . . . . Micromachined Devices for Electrical Signal Processing . . . . Summary and Outlook . . . . . . . . . . . . . . . . . . . . .
273 275 280 285 287
7 7.1 7.2 7.2.1 7.2.2 7.2.3 7.2.4 7.2.5 7.2.6 7.3 7.3.1 7.3.2 7.3.3 1.3.4 7.4 7.4.1
The LIGA Process . . . . . . . . . . . . . . . . . . . . . . . Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . Mask Production . . . . . . . . . . . . . . . . . . . . . . . . The Principle Construction of a Mask . . . . . . . . . . . . . . Production of the Carrier Foil . . . . . . . . . . . . . . . . . . Structuring of the Resist for X-ray Intermediate Masks . . . . . Electroplating with Gold for X-ray Masks . . . . . . . . . . . . Production of Process Masks . . . . . . . . . . . . . . . . . . Window for Alignment in X-ray Process Masks . . . . . . . . . X-ray Lithography . . . . . . . . . . . . . . . . . . . . . . . Production of Thick Resist Layers . . . . . . . . . . . . . . . Beam Induced Reactions and Development of Resists . . . . . . Requirements on the Absorbed Radiation Dosage . . . . . . . . Influences on the Quality of the Structure . . . . . . . . . . . . Galvanic Deposition . . . . . . . . . . . . . . . . . . . . . . Galvanic Deposition of Nickel for the Production of Microstructures . . . . . . . . . . . . . . . . . . . . . . . Mold Insert Fabrication . . . . . . . . . . . . . . . . . . . . . Electrodeposition of Further Metals and Alloys . . . . . . . . . Plastic Molding in the LIGA Process . . . . . . . . . . . . . . Production of Microstructures by Reaction Injection Molding . . Fabrication of Microstructures by Injection Molding . . . . . . . Fabrication of Microstructures by Hot Embossing . . . . . . . . Production of Metallic Microstructures from Molded Plastic Structures (Second Electroplating) . . . . . . . . . . . . . . . . Variatioiis and Additional Steps of the LIGA Technology . . . . Sacrificial Layer Technology . . . . . . . . . . . . . . . . . . 3D-Structuring . . . . . . . . . . . . . . . . . . . . . . . . . Production of Light-Conducting Structures by Molding . . . . . Examples of Applications . . . . . . . . . . . . . . . . . . . . Rigid Metallic Microstructures . . . . . . . . . . . . . . . . . Moving Microstructures. Microsensors and Microactuators . . . Fluidic Microstructures . . . . . . . . . . . . . . . . . . . . . LIGA-Structures for Optical Uses . . . . . . . . . . . . . . . .
289 289 291 291 294 295 298 299 301 301 302 303 306 310 316
7.4.2 7.4.3 7.5 7.5.1 7.5.2 7.5.3 7.5.4 7.6 7.6.1 7.6.2 7.6.3 7.7 7.7.1 7.7.2 7.7.3 7.7.4
316 321 322 324 324 327 333 337 341 341 344 347 349 349 353 366 368
XI
Content
8 8.1 8.1.1 8.1.2 8.2 8.2.1 8.2.2 8.3
Alternative Processes of Microstructuring . Mechanical Micromanufacturing . . . . . Production Process and Primary Structures Examples of Applications . . . . . . . . . . Electro-Discharge Machining . . . . . . . The Basics of EDM . . . . . . . . . . . . . Applications of EDM for Microsystems . . Laser Micromachining . . . . . . . . . . .
9 9.1 9.1.1 9.1.2 9.1.3 9.1.4 9.2 9.2.1
Packaging and Interconnecting Techniques (PIT) . . . . . . . Hybrid Technology . . . . . . . . . . . . . . . . . . . . . . . Substrates and Pastes . . . . . . . . . . . . . . . . . . . . . . Layer Production . . . . . . . . . . . . . . . . . . . . . . . . Placement and Soldering of the Circuit Components . . . . . . Mounting and Contacting of Silicon Dies . . . . . . . . . . . Wire-Bonding Techniques . . . . . . . . . . . . . . . . . . . . Thermocompression Wire-bonding (Hot-Pressure Welding Bonding) . . . . . . . . . . . . . . . Ultrasonic Wire-bonding (Ultrasonic Bonding) . . . . . . . . Thermosonic Wire-bonding (Ultrasonic Hot-pressure Welding) . . . . . . . . . . . . . . . Ball-Wedge Bonding . . . . . . . . . . . . . . . . . . . . . . Wedge-Wedge Bonding . . . . . . . . . . . . . . . . . . . . . Advantages and Disadvantages of the Wire-bond Processes . . Test Processes and Alternatives . . . . . . . . . . . . . . . . New Contacting Technologies . . . . . . . . . . . . . . . . . The TAB Technology . . . . . . . . . . . . . . . . . . . . . . The Flip-Chip Technologies . . . . . . . . . . . . . . . . . . Adhesion . . . . . . . . . . . . . . . . . . . . . . . . . . . . Isotropic Adhesion . . . . . . . . . . . . . . . . . . . . . . . Anisotropic Adhesion . . . . . . . . . . . . . . . . . . . . . . Anodic Bonding . . . . . . . . . . . . . . . . . . . . . . . . Wafer-to-Glass Bonding . . . . . . . . . . . . . . . . . . . . . Wafer-to-Wafer Bonding . . . . . . . . . . . . . . . . . . . . New Packaging Technologies . . . . . . . . . . . . . . . . . Low Temperature Cofired Ceramics (LTCC) . . . . . . . . . .
9.2.2 9.2.3 9.2.4 9.2.5 9.2.6 9.2.7 9.3 9.3.1 9.3.2 9.4 9.4.1 9.4.2 9.5 9.5.1 9.5.2 9.6 9.6.1
. . . . . . . .
. . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
381 381 382 386 394 394 397 399
. 403 404 404 407 . 408 . 412 413
. 413 . 414 . 414
. . . .
. .
415 416 417 417 418 418 420 422 422 424 425 425 427 428 428
XI1
Content
10 10.1 10.2 10.3 10.4 10.4.1 10.4.2 10.5 10.5.1 10.5.2 10.6 10.7
System Technology . . . . . . . . . . . . . . . . . . . . . . . Definition of a Microsystem . . . . . . . . . . . . . . . . . . Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Actuators . . . . . . . . . . . . . . . . . . . . . . . . . . . . Signal Processing . . . . . . . . . . . . . . . . . . . . . . . . Signal Processing for Sensors in Microsystems . . . . . . . . . Neural Data Processing for Sensor Arrays . . . . . . . . . . . . Interfaces of Microsystems . . . . . . . . . . . . . . . . . . . The IE-Transfer . . . . . . . . . . . . . . . . . . . . . . . . . The S-Transfer . . . . . . . . . . . . . . . . . . . . . . . . . The Module Concept of Microsystem Technology . . . . . . . . Design. Simulation. Integration. and Test of Microsystems . . .
Literatur . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
431 431
433 438 439 440 444
449 452 455 456
461 465
1 General Introduction to Microstructure Technology
1.1 What is Microstructure Technology? Microsystems technology leads the engineer away from a comprehensible seize regime to an area which is beyond the natural realms of perception. He must learn to work with these new opportunities as well as use his own experience, but not arbitrarily impose it onto the new technology. This mental transformation already started with microelectronics, the problem though was not as much apparent, since the electrical engineer is used to deal with “abstract” matter such as electricity. The real conflict with personal experience began initially with the merging of microelectronics with mechanical structures. Nowadays microsystems technology is mentioned everywhere. Unfortunately this does not contribute to clarity, but on the contrary the concepts seem frequently unclear and misunderstandings remain. First, however, the basic difference between microstructure technology and microsystems technology has to be clarified, although the choice of words should make it quite unequivocal. Microstructure technology is the tool, with which a particular geometrical structure of a body can be produced, whose dimensions lie in the micrometer region. In some cases however, only one of the body’s dimensions lies in the micrometer range, whilst the other two dimensions remain in the millimeter range. In other cases they are already in the sub-micrometer region. However, the actual dimensions are less important than the technology, which derives from microelectronics, and its potential to enter the micrometer region. Nanotechnology is even more difficult to define than microtechnology. Of course, it would be wrong to talk of nanotechnology if a structure is described, whose dimensions are only a fraction of a micrometer. Here too one must refer to the technology which enables the production and measurement of nanostructures. Both technologies have very different origins, therefore it would be very wrong to presume that one technology continually evolves into the other. On many occasions the terms microstructure technology and microsystems technology are mixed up or at least not well defined. By means of microstructure technology, it is possible to manufacture microbodies or microcomponents,
2
1 General Introduction to Microstructure Technology
whereas microsystems technology integrates these microcomponents to arrays, adds signal processing and controlling, and provides interfaces to the outside macroscopic world. An example from microelectronics should clarify this point: The smart connection of a hundred or thousand “dumb” transistors leads to an “intelligent” microsystem, the microprocessor, which constitutes the initial efficient capability of microelectronics. This book deals firstly with the basics of microstructure technology, followed by a discussion of microsystems and the technological requirements which lead to them. A basic question about the microsystem will be put to the reader first:
What is the motivation to pursue microstructure technology? To answer this question it is necessary to understand the development of microelectronics over the last 40 years. What has happened during this time? Before microelectronics, there existed conventional electronics and electronic components like resistors, capacitors and electron tubes. These components were assembled to form an electronic circuit, tested and adjusted by trimming the parameters of the components, until the circuit met the required specifications. Therefore each circuit was in its own way unique. The packing density and likewise the function density of an electronic circuit was limited by the size of the individual elements. By introducing microelectronics a dramatic change took place in electronics. Components were no longer produced and assembled mechanically but were optically transferred and multiplied using photolithography onto the workpiece i. e. the silicon wafer. It is noteworthy, that by optical imaging only two-dimensional structures could be transferred. At first this appeared to be a considerable disadvantage for the technology as a common concept was to design and manufacture in three dimensions. This disadvantage of optical imaging is, however, outweighed by its technological advantages because (i) it is possible to transfer structures whose dimensions are only limited by the wavelength of light, (ii) the imaging of the patterns is free of deterioration and thus highly reproducible and (iii)the optical transmission is parallel enabling an extremely high data flow (in state-ofthe-art-lithography 4.108 pixels are transferred with one exposure). Over the course of the last decades the development of microelectronics led to dimensions of the components being reduced by many orders of magnitude. These days critical dimensions far into the sub-micrometer region (0.3 pm) can be achieved. As the manufacturing process occurs “batchwise” i. e. with a group of wafers onto each of which millions of transistors are placed, many integrated circuits can be manufactured in parallel. It is also possible to reduce the cost of production by many orders of magnitude because of the increase in packing density. An important sign of quality of a circuit, which is necessary for a computer, is the switching speed. This can be greatly decreased by shortening the length of internal conductance paths and thereby improving the overall quality of an integrated circuit. Microelectronics rules our everyday lives. Microelectronics has infiltrated into all technical areas and has set the criteria for the development of the information
I . 1 What is Microstructure Technology? age. These influences are difficult to quantify, but taking the improvement of quality over a timespan of three decades, multiplied by the decrease in fabrication costs one receives a value of 10000 000. For comparison, take any other technology like manufacture of steel or construction of vehicles, and the huge differences against such developments become obvious. With microelectronics being successful to such a degree, the question arose whether similar technological advancements in other non-electronic areas are possible. Can these development concepts, processes, and materials not be transferred to mechanical, optical, fluidic or chemical and biological areas ? The answer to this question finally lead to microsystems technology. It can be stated :
Microsystems technology is the inevitable, logical development of microelectronics into non-electronic areas. Therefore microsystems technology profits from the enormous technological and theoretical base of knowledge of microelectronics, which has been developed over several decades. Many technologies that we take for granted today, were developed from the economically booming microelectronics industry involving high financial and personal expenditure. So for example, photolithography remains an essential ingredient. This notion will be emphasized throughout this book. Thin film technology, surface analysis and simulation are also areas, which have gained critical momentum from microelectronics. In order to be able to build on these experiences of a new technology it is first necessary to explore the underlying “philosophy” of microelectronics. In other words to define the recipe of success of microelectronics in order to be able to modify it so that its significance to other technologies can be ascertained. From numerous procedures and thought processes three topics can be highlighted, which will now be dealt with in turn. The design of an integrated circuit is done almost exclusively on the computer. The design of a circuit in the traditional trial and error approach, to find an optimum solution, is not feasible in microelectronics for economical reasons. The development steps must already be performed on the computer using expensive design- and simulation-methods. For an established process, a circuit (at least a digital circuit) already fills the requirements at the first production run. Only in a few cases a second production run is required to optimize the product. The simulation programs have been developed at great expense using many thousands of man years. It is both noteworthy and new, that knowledge of theoretical physics such as quantum mechanics, leads directly into the product configuration. Nowhere else does scientific basic research and product configuration come into such close contact as within microelectronics. A concept which illustrates this state is the phrase “band gap engineering” in which the knowledge of theoretical solid state physics and production know-how overlap with each other. The design, simulation and optimization of the product by means of the computer can be considered as objective one.
4
1 General Introduction to Microstructure Technology
The second objective is the transfer of the computer generated structure onto the work piece. The transference of geometrical data is done by optical means. The advantage of this method of transference is that it is free of “wear and tear” and therefore there is no attrition. On imaging, the structure can be reduced to such an extent which is limited only by the wavelength of the light used and by the inaccuracies of the optical system. Optical transference or “photolithography” has the largest technological influence on microelectronics, aside from the production of the basic material, the silicon single crystal. The word “photolithography” is taken from an old printing technology where a flat ground stone (hi000 = stone, ypaQe~v= to write) is appropriately etched, so that is takes on printing ink only at particular parts but prevents it from sticking to others. By reducing the structures to the sub-micrometer region, the packing density per square unit of the components brought onto the work piece is higher by many orders of magnitude than conventional technologies of transmission would allow. Therefore, despite increasing processing costs, the costs for the single element can be greatly reduced. By reducing the linear dimensions by a factor of two it is possible to produce four times as many structures on the substrate using a parallel process. Even if the expense for photolithography increases by a factor of three, one has still achieved an overall profit for the production. Besides the cost advantage, miniaturization brings with it a considerable quality advantage. Integrated circuits are generally measured by their function density and their speed of switching. By miniaturization, the electrical connections within the circuit are correspondingly shortened, which has a direct effect on the speed of signal processing. A simple calculation already shows that the vacuum speed of light amounts to 0,3 mm per picosecond. The pathways per picosecond of a signal on a circuit, which is affected by capacitances and inductances, are accordingly smaller and fall within the geometrical dimensions of the circuit. Optical imaging means a parallel transference of information. With a high quality objective, as is used in lithography, structures with minimum dimensions of 0.5 pm can be transferred onto a panel of 1 cm2. A parallel flow of 4.108pixels is thus achieved. At the same time the process of transference is independent of the pattern transferred. A complex structure requires no higher expenditure than a simple one, as long as the minimum dimensions are not surpassed. If by skilful design, structures are interlaced, it is possible to achieve high packing densities without the need for additional technological advances. At the moment one restriction is the two dimensionality resulting from optical transmission. As the image shows a limited focal depth, all transferred patterns are two dimensional. A microelectronic circuit tends to expand laterally by a few millimeters or even centimeters i. e. in the x- and y- direction. In the z-direction i. e. the depth, it rarely stretches to above 10 pm. Therefore it is claimed that the whole of microelectronics is quasi two dimensional. Of course it is possible with other processes to produce a circuit which is made up of more than one level stacked on top of each other. This does not affect the fact that the structures are transferred two dimensionally. It is actually surprising that one neglects one third of the possibilities of geometrical design, in comparison to conventional
1.1 What is Microstructure Technology?
5
electronics. However the optical transmission of the structure holds many more advantages, which greatly outweigh the disadvantages. The importance of microelectronics is proof enough. The second objective of microelectronics is, without a doubt, the application of photolithography. Due to the higher packing density of the building elements on the wafer, millions of structure elements experience exactly the same process on subsequent processing. In turn the process scattering is very small. Processes which, in the course of development, became more expensive, are compensated for by the minimum costs of producing thousands or millions of building elements on one single wafer. Due to lower process scattering and higher yield the processes in turn can be described more precisely and simulated. Therefore the assertions, which can be made with the software-tools to design the circuit, are more accurate and more realistic which results in a positive feedback in the design routine i. e. a closed loop. Batch fabrication, is considered to be the third objective in microelectronics. What has now changed in the development philosophy of electronics parallel to the manufacturing process towards microelectronics? Multiple individual building elements have been replaced by few, standardized sets of components within close tolerances. However by focusing the research and development onto the comparably less standard types, the performance could be increased by a large order of magnitude in contrast to conventional basic circuits. The basic building blocks, taken from libraries, can be combined and connected to optimal complex circuits using appropriate computer aided design tools. By improving the design-tools, just as by the constant improvement of quality of the building elements, nowadays functions can be obtained, which were technically not feasible a few years ago. An example would be the development of the personal computer, which has already surpassed the performance of the mainframe computers of the 80’s. Therefore, the use of standard components and the application of strictly standardized manufacturing processes are the fourth objective. The same basic concepts of microelectronics also apply to microstructure technology. For the latter, it is of great advantage to take advantage of the enormous technological know-how made available by microelectronics. Even if some of the processes have to be newly developed, essentially it is possible to build on the theoretical and technological basics, which have evolved with microelectronics. In conclusion, microstructure technology demands that: Microstructure technology must follow in general the path of microelectronics in order to be as successful. Within microstructure technology the development philosophy must include: 0
Enabling the supply of sufficiently powerful and efficient software development tools for microcomponents; development, simulation and optimization of structures on the computer, the avoidance of unnecessary process runs.
6
1 General Introduction to ~ ~ c r o ~ ~ r Technology u~tur~ 0
Transference of the structure, designed on the computer, onto the substrate by means of photolithography, using the advantages of a higher packing density and a decrease in the size of the structure.
0
Batch manufacturing with narrow manufacturing tolerances by precise control and monitoring of the process.
0
Development of only a few, but carefully designed basic structures which, by having a higher packing density and miniaturization, can be cost effectively multiplied onto the same substrate and can be integrated by a suitable connection technology to an “intelligent” system. This therefore leads (just as it did in electronics) to a complete change of ideas in the sensor and actuator technology and in precision engineering and finally mechanical engineering.
Although the processes of microstructure technology were closely related to those of microelectronics, the means to develop a microstructure into the third dimension had to be developed, since it is not supplied by microelectronics. Over the course of years this has lead to many variants, and each would fill a whole chapter of a book. Two of the most important are briefly described here and will be discussed in more detail in Chapter 6 and 7 in this book. Silicon micromechanics rely heavily in every aspect on microelectronics. Not only has it taken over a similar manufacturing process, but also the silicon single crystal is again the basic material for the microstructure. At the beginning of the 80’s and as the leading authority of this process K. E. Petersen, at that time a coworker of IBM, had already written a fundamental publication [Pete82]. The third dimension was developed from an anisotropic etching process, with which the single crystal can be subtractively levelled to a desired shape. Special etching solutions strip the material anisotropically from a single crystal, corresponding to the crystal morphology. Using silicon oxide or silicon nitride masks, parts of the silicon surface are exposed to the etching solution, which etches different crystal planes at different rates. Artificial layers can be build into the crystal, which serve as additional etch stop layers. Using suitable etching masks, etch stop layers and the application of isotropic and anisotropic etching solutions, three dimensional structures can be produced from silicon wafers, which in turn form the basic elements for sensors or any other components (Fig. 1.1-1). The specific advantage of silicon micromechanics lies in the ability to install on the same substrate both the microstructure body (e. g. sensor element) as well as the suitable electronic evaluation circuits. A second important process is the so-called LIGA technology which was developed at the beginning of the 80’s at the Nuclear Research Center, Karlsruhe (today Research Center, Karlsruhe) under the guidance of Erwin Becker, then head of the Institute for Nuclear Process Technology (today the Institute for Microstructure Technology), and Wolfgang Ehrfeld, as leading scientist. With this technology it was possible to manufacture components for isotope separation of uranium-hexafluoride, UF6 [Beck86].
1.1 What is Microstructure Technology?
7
Fig. 1.1-1 Array of front micromachined infrared detector pixels fabricated using commercial CMOS technology followed by compatible anisotropic silicon etching [Schn98] (Courtesy of the Physical Electronics Laboratory, ETH Zurich).
Fig. 1.1-2 Typical microstructure fabricated in LIGA technology. For comparison a human hair is put across the structure. The inner width amounts to 80 pm, and the wall thickness is 8 pm.
Figure 1.1-2 shows an array of identical geometric shapes, which are used as mechanical filter. This microstructure was produced using the LIGA process, a structure building process which employs X-ray lithography, electroplating and molding. This process is described in Chapter 7. A structure design which is generated on the computer is transferred by means of an electron beam writer onto a mask. The structure of this mask is imaged onto the workpiece using par-
8
1 General Introduction to Microstructure Technology
allel X-ray light (synchrotron radiation) as a shadow projection onto a radiation sensitive polymer layer. Because of the low absorption of the X-ray radiation in this polymer layer, the radiation penetrates without noticeable scattering deep into the layer. A layer thickness of more than one hundred micrometers can be irradiated without distortion of the structure. In microelectronics, light in the visible or near ultra violet region can be used to irradiate the photosensitive layer, since these so-called photoresists are only one micrometer or less thick. The parallel beam of the X-ray light and the extreme layer thickness permits the manufacture of structures with an aspect ratio (i. e. the ratio of structure height to the smallest possible lateral structure) of over 100. In microelectronics aspect ratios of only about 1 are normal. In this first step of the LIGA process a structured microbody is obtained with the lateral structure of the mask and a structure height, which is determined by the layer thickness of the resist. In further processing steps the irradiated and later removed polymer is replaced by electroplated metal. After the non-irradiated polymer is subsequently also removed, the negative form of the structure now remains as metal. This metal structure serves as a mold for further copying of the microstructure using processes such as injection molding or hot embossing. Although the manufacture of the original structure is relatively cost intensive, the process can be used for mass production of a variety of materials and therefore microstructure bodies can be manufactured highly economically. In addition to these two fundamental processes there are numerous variants which use some processes of the above described production technologies and which, for special applications, have their particular advantages. These alternative processes are fully described in a special chapter. At this point an example of mechanical microproduction (Fig. 1.1-3) should be mentioned [Bier88]. A microprofile is milled in a flat metal surface with an appropriately formed diamond. By
Fig. 1.1-3 Typical microstructure manufactured in mechanical microproduction. The structure is fabricated by milling a brass substrate with a diamond tool. The pitch size is about 100 pm (courtesy of Research Center Karlsruhe).
1.2 From Microstructure Technology to Microsystems Technology
9
manufacturing regular structures and by suitable stacking of microformed foils on top of each other, relative inexpensive three-dimensional microstructure bodies can be produced [BieBO]. The production method is different compared with both previously mentioned processes. However, partial steps from the other processes can be used such as, for example, the electroplating of the structure to produce a molding tool for the mass production of microbodies by injection molding or hot embossing.
1.2 From Microstructure Technology to Microsystems Technology The examples of microstructure technology given above would only be of moderate technological importance would it not have the potential to integrate components to a microsystem. Only then can microsystems technology develop to its full potential. This is again exemplified by microelectronics with the development of transistors which filled the basic requirements for economic success and which acted as a trigger on the development of microprocessors. Also within microsystems technology, the production of microcomponents is the basis, from which system technology derives. If however, technology were to idle at this stage, then the microsystems technology would be reduced to only replacing conventional components with microcomponents. If this were the case a technological revolution would not be under discussion. Only the integration of many sensors to an array, the connection with actuators and the control of all components by efficient signal processing on site makes an “intelligent” system out of “dumb” components. Microbodies which are manufactured using microstructure technology must be connected to each other on a common substrate. At first only the pure mechanical mounting of a structure onto a suitable support should be considered. This is not trivial if one thinks about the optical communication technology. At the moment a suitable method, which is stable over a long period and is inexpensive, is still being sought to precisely orientate a mono-mode glass fibre to an optoelectronics component within a fraction of a micrometer. Another problem illustrates the connection of several components with different thermal expansion coefficients. A particular problem exists in sensor technology, where on the one hand the delicate microstructure must be protected from damage and corrosion and on the other hand, be exposed as widely as possible to the environment to obtain a true and undistorted value of the physical and chemical properties of the environment. The connection and packaging technology plays a key sole, which can be seen later in many examples of the microsystems technology. A microsystem consists not only of a purely mechanical construction but also of a large amount of interfaces between the single components as well as between the macroscopic external environment and the microsystem and vice versa. These in-
10
1 General Introduction to Microstructure Technology
terfaces are of different types. The electrical interface, which predominates in microelectronics, is only one of many. For these interfacial processes microelectronics offers only techniques such as soldering, wire-bonding, the TAB (Tape Automated Bonding) technology or the flip chip process. Because the microsystem includes more than electronics, such interfaces as optical, mechanical, fluidic or acoustic interfaces must also be considered. The technologies for these are for a large part not yet developed. They differ greatly from electrical interfaces, so that it is necessary to find a new name which replaces the concept “interface” from microelectronics with its electrical joining processes. A suggestion would be to introduce the concept “coupling site”. An important method to protect sensitive microstructures mechanically is covering them with a glass plate. A suitable process for this is anodic bonding. The surfaces of an assembly (preferably silicon and glass) are brought into contact with one another. By heating to about 400°C and with the aid of an electrical field, ions in the dielectric are irreversibly displaced. The resulting electrostatic energy is large enough to bind both surfaces continually together leading finally to the formation of a chemical bond. Using the described processes, the technological requirements are met to integrate micromechanics, microoptics, microfluidics etc., and microelectronics within monolithic or hybrid solutions to complex systems. This would open a channel for new fundamental concepts of sensor technology, measuring and control technology, communication technology, environment and medical technology and other applications, which perhaps have not yet been thought of. The previous discussion dealt with the technological requirements which must be fulfilled for the production of microsystems. The following sections will discuss the role which information and software development plays in microsystems technology. The most important property of a microsystem is the possibility of having a whole array of sensors with high packaging density at low manufacturing cost, rather than one individual sensor. During a conventional construction, the analogue value of the one sensor is amplified and “delivered” at the output of the amplifier for further treatment. On the other hand, the intelligent system has the ability to pick up the signal using many sensors in parallel and process it on site. Every sensor displays cross sensitivity also for parameters, which are not supposed to be measured. A pressure sensor generally has a temperature dependence and is in addition dependent on the medium to be measured. If we consider a sensor array, then the true value without distortion by other parameters can be calculated, if the parameter function of each individual sensor element is known. The problem is then described as finding the solution of a system of n equations with m unknowns, with n as the number of independent sensor elements and rn the number of parameters to be measured. In other words, for every measurement with a sensor array, several parameters can be calculated in parallel. This can be a demanding task for an on-board microprocessor with mostly very limited capability. In many cases however, the quality of a measurement already increases significantly, if calculation of one or two of the most influential parameters is achieved.
I .2 From Microstructure Technology to Microsystenzs Technology
11
With the same technique it is possible to improve the selectivity of a sensor system. If for example a gas sensor had the task of detecting a complex gaseous composition in low concentrations, a single sensor e. g. CHEMFET (chemical field effect transistor) could not achieve this task. A whole array of CHEMFET’s each with a different parameter function, could solve such a task by using suitable algorithms for sample recognition. The special feature of this sensor system would be that with unchanged hardware configuration many different gas compositions could reliably be detected with a high degree of selectivity. The combination of sensor elements with analogue-digital converters, a microprocessor and an interface to the exterior are demanding requirements for a microsystem. Other components like multiplexers, ROM and RAM, complement the systems capabilities (Fig. 1.2-1). By storing a parameter mapping for a sensor array, the cost intensive laser trimming could be eliminated in the first operation and on replacement of a sensor array. Ageing processes could be identified by the recorded thermal history of sensors and can be compensated for. By averaging of several sensors the quality of measurement could be improved. Sensors with different ranges of sensibility could be connected and thus the working range of the sensor system could be increased considerably. Using microactuators, which again effect the sensor, feedback and motion compensated physical measurement systems can be released. Even though the list of possibilities is endless we should limit ourselves in this introduction. However at this point the ability to adapt such an intelligent system
Fig. 1.2-1 Scheme of a complete microsystem. The device consists o f arrays o f sen-
sors and actuators, as well as on-board signal processing and interfaces to the outside (macroscopic) world.
12
I General Introduction to Microstructure Technology
to a certain task will be highlighted once more. Microsystems could be used to explore unknown, dangerous, or usually inaccessible areas. These properties would be of an enormous advantage for applications such as environmental monitoring, exploration tasks, space missions and medical implants. In many cases a microsystem must be able to communicate with other systems. The microsystem must be able to transmit and receive data. These data must be transferred free of errors in sometimes highly disturbed surroundings. The compatibility with other systems or a central computer, with which the system communicates, is also of importance. Using simulation methods the system’s performance is to be checked whether it runs in a controlled mode or changes into a chaotic state. What are the tasks in microsystems technology for information technology? An important requirement for the concept of a microsystem is the system specification and the simulation of its properties on the computer. From this, one begins to establish the system specification and as a consequence describes the components and in particular, the desired properties of the sensor. If these properties are not realizable the system concepts must be varied until the optimum one has been discovered. As well as the system specification, the appropriate signal processing algorithms are of great importance. Here concepts must be developed in order to be able to solve complex tasks of measurement and control with the greatest computing efficiency for microprocessors in real time. Another field is the enabling of test routines for the self-test of microsystems. In some applications the requirements in reliability are crucial to such a degree, that the system must be tested at regular intervals or even continually. In case of malfunction the system will self correct or switch itself off in a defined way. In this way the bus system connecting a set of other systems or subsystems remains in operation and in emergencies other participating systems on this same bus system could take over the tasks of the switched off system. It has already been mentioned that an important property of microsystems must be the ability to communicate. However, these communications take place partly in a highly disturbed surrounding e.g. on a welding robot or next to the spark plugs in an automobile. Therefore for transfer free of distortion, methods of channel coding must be developed or present methods modified within microsystems technology. Production processes and performance of a microstructure are closely related. Here too the close parallels to microelectronics can be seen. The modern semiconductor plant of the late 90’s operates on strict computer integrated manufacturing, in which the production line is kept in the ideal 100%-yield state by a constant input-output comparison between the simulation program and the measured production parameters. For this, expert systems must be developed not only for the product development but also for the process control. The microsystems technology must go in the direction of these expensive methods, if it is to fulfill the technological demands. The opportunities of microsystems technology are so diverse, that only a small segment at this point can be mentioned. In which direction microsystems techno-
1.2 From Microstructure Technology to Microsystems Technology
13
logy will move is anybody’s guess. Likewise at the beginning of the development of microelectronics no one could predict that these developments would lead to personal computers and thereby revolutionize the whole of data processing. The future will undoubtedly bring still more surprises. For studying, it is important to acquire a wide knowledge and for the expert it is important to be interactive with other disciplines and be constantly prepared to move in new directions.
This Page Intentionally Left Blank
2 The Parallels to Microelectronics
As already mentioned in the introduction, microstructure technology is based on an immense background of technology, which has been optimized and finally brought to almost perfection over the past decades. Studying the processes of microstructure and microsystems technology it is essential to get to grips with the methods of microelectronics. In the following paragraphs both the concepts of production of microelectronics and directions for future development with respect to production technology and the product, that is the integrated circuit, will be discussed. The packaging technology as well as clean room techniques have come about, as a result of these developments. They are integral components of circuit production and therefore should be dealt with in the introduction. The techniques, which operate microsystems technology, will be focussed on again in later chapters in more detail.
2.1 The Production of Single Crystal Wafers Silicon is the basic material for microelectronics as well as for the majority of areas within microsystems technology. Firstly the electronic properties of silicon single crystals are of prime importance and secondly their mechanical and chemical properties are significant. Although silicon is one of the most thoroughly researched materials (there are myriads of publications on the subject), its excellent mechanical parameters had almost been forgotten until the well known publication of “Silicon as a Mechanical Material” in 1982 by K. E. Petersen [Pete82]. This paper revealed to a wide audience the potential of silicon single crystals and how they could be used within silicon micromechanics for industrial production. In the following table a comparison between some of the physical properties of silicon and other materials is highlighted (Table 2.1-1). Note the tensile strength of silicon which overtakes that of stainless steel manifold.
16
2 The Parallels to Microelectronics
Table 2.1-1
Physical properties of silicon in comparison with other materials
Material
Quartz Silicon
Stainless Steel
Density [g/cm31
Hardness WaI
Young's Modulus [GPal
Tensile Strength [GPal
2.20 2.32
8.2 8.5-11
0.5-0.7 2.8-6.8
7.9-8.2
5.5-9
87 (100) 129.5 (110) 168.0 (111) 186.5 206-235
0.5-1.5
In general, semiconductors are solids whose electrical conductivity lies between that of metals ( 2 lo-' S .cm-') and that of dielectric materials ( 5 S .cm-'). By appropriate doping, the electrical conductivity of the semiconductor can be adjusted by many powers of ten. The electrical conductivity is also strongly dependent on temperature. The mechanism is fundamentally different from that of metals. In the case of a metal many electrons can be found in the conduction band and therefore a large transport of current can be achieved. In contrast, the electrons in a semiconductor must be raised from the valence band to the conduction band by thermal collisions. In the case of metallic conductors thermal collisions affect electrons within the lattice and with each other and lead to a reduction of electrical conductivity, whilst for semiconductors these thermal collisions make conduction possible, albeit to a much lesser degree than in metals. If one heats a semiconductor, the specific resistance decreases whereas if one heats up a metal it increases. Although there are many materials that can be classified as semiconductors, from a technical point of view most are of little importance. Semiconductors are categorized into elemental and compound semiconductors. Elemental semiconductors are, for example B, C (diamond), Si, Ge, S, Se and Te. Examples of compound semiconductors are binary compounds such as GaAs, InP and CdS. There are also a number of ternary semiconductors such as Hg,-, Cd,Te or Ga,_,Al,As as well as quarternary systems such as Gal-, In,As,-, P,. Even though the above mentioned semiconductors could be put to special use, silicon is now and also in the foreseeable future, the most promising semiconductor material for microelectronics. No other semiconductor combines such excellent physical, mechanical and chemical properties. The fact that silicon forms an oxide, that possesses special physical and chemical properties, has contributed to its prominent position within semiconductor technology. Compound semiconductors are available in a number of combinations of materials, which at best come into use for special applications such as the utilization of specific physical effects. To these material combinations belong the photoelectric sensors for wavelengths to which silicon is not sensitive. Of some technical significance are InSb, PbS, PSe, PbTe, CdS, CdSe and CdTe.
2.1 The Production of Single Cjystal Wafers
17
Most compound semiconductors are limited to special applications because of their particular properties, but also because of their limited availability, their high costs and expensive technology. However, GaAs is an exception because of its special band structure. It is a so-called “direct” semiconductor with the ability to emit light, in contrast to silicon. It has found a wide range of applications in optoelectronics, for microwave circuits and special sensory applications. The properties will be dealt with later in Chapter 3 . The technical difficulties in the production of GaAs single crystals leaves silicon’s leading role unchallenged.
2.1.1 Production of Silicon Single Crystals Silicon is not only widely used in microelectronics but it is also a basic material within microsystems technology. The next section will focus on the preparation of the purest material and the growth of an almost perfect single crystal [Sze88]. Over the last decade an enormous amount of labor and money has been invested in silicon technology. The result is a single crystal which far exceeds any naturally occurring material in its chemical and crystallographic purity. The requirements for purity of these crystals is almost unimaginable. In wafers for the production of VLSI-devices less than 1 heavy-metal atom is allowed among 1 trillion silicon atoms! The merit of success lies not only in the fact that one can produce such a highly pure material, but that large amounts are available on the market at low price. The production process which leads to a single crystal silicon disc or “wafer”, can be separated into the following steps: 0 0 0 0
Preparation and cleaning of the raw material, production of highly pure, polycrystalline silicon, growth of single crystals, mechanical processing of single crystal silicon bodes.
Raw silicon is obtained by reduction of the raw starting material, quartz sand. This reduction step is the most energy intensive within the whole chain of the production process, as 7 kWh per kg of silicon must be invested. The extraction takes place in an electric-arc furnace with carbon electrodes according to the following equation: SiO2
+ 2 C + Si + 2 CO at 2100 K
(2.1)
The reaction enthalpy AH,,,, is +695 kJ. The silicon obtained in this way has a purity of about 98 % and is known as “metallurgical grade silicon”. Further purification of the silicon is best carried out by fractional distillation. For that the material must be converted to the liquid form which occurs via a reaction with HCI. Trichlorosilane (SiHCl,) is formed which has a boiling point of 318 “C. The reaction goes as follows:
18
2 The Parallels to Microelectronics Si
+ 3 HC1+
SiHC13
+ HZ at 600 K
with a reaction enthalpy of AH600= -218 kJ. By successive fractional distillation the impurities, which would impair the electrical properties, are reduced down to a ratio with silicon of 10-9:l. After this purification step the reaction is essentially reversed, in order to obtain solid, elementary silicon. Therefore the process temperature must be adjusted in order for the reaction equilibrium to shift in the opposite direction.
4 SiHC13
+ 2 H2 -+3 Si + Sic14 + 8 HC1 at 1400 K
(2.3)
with AH,,,o = f964 kJ. At low pressure the trichlorosilane vaporizes and dissociates under the influence of thermal energy in a “chemical vapor deposition” process (see Section 4.5.5). In this step, very pure polycrystalline silicon is precipitated from the gas phase onto heated rod-like silicon substrates. So in a process which extends over a few days rods are formed, which have a diameter of over 200 mm and a length of several meters. The rods form the raw material, the so-called “electronic grade silicon” for the production of single crystal silicon. The fourth step of the production chain involves the growing or “pulling” of single crystals. Two processes have been developed on an industrial scale: 0 0
the Czochralski method, the Float Zone method.
The Czochralski Method In this process (abbreviated CZ) polycrystalline (electronic grade) silicon is melted in a quartz crucible by an induction or resistance heater in an inert gas atmosphere above 1415 “C, the melting point of silicon (Fig. 2.1-1). The inner melting crucible is made of quartz. As quartz at this temperature is already soft, the inner crucible is protected by an external casing made of graphite. Next, a nucleating crystal (seed crystal) of single crystal silicon with the desired crystallographic orientation, is brought into contact with the surface of the melt. By slowly rotating and retracting the seed crystal, and rotating the crucible in the opposite direction, a larger single crystal forms with a definite orientation and a constant diameter (apart from the initial “neck region”). During growth process the crystal can be “doped”, i. e. a defined amount of impurities can be introduced, which change the electrical properties in a pre-determined way. The doping elements that can be used are either trivalent e. g. boron, or pentavalent e.g. phosphorous, arsenic or antimony. Thereby it is possible to obtain a p- or n-doped raw material. The maximum permissible pulling speed depends on the material properties such as melt enthalpy and heat conducting coefficients as well as on technical parameters such as maintaining a flat isothermal surface in the melt and the crystal. Common pulling speeds are between 1 and 3 m d m i n .
2.2 The Production of Single Crystul Wufers
19
& ,--~
Axis of rotation Seed crystal
Vacuum,
Single crystal Melt Quartz crucible Heater
Radiation shield
Support (Graphite)
a
Fig. 2.1-1 Czochralski method for silicon crystal pulling. a) Scheme of the mechan-
ical set-up, b) view into the crucible with an ingot forming (Courtesy of Wacker Siltronic, Burghausen).
These pulled single crystals can weigh up to 60 kg and be up to 3 m long (Fig. 2.1-2). They are cut at either end. Crucible drawn material is available commercially with a diameter of up to 300 mm. Wafers with an even larger diameter (400 mm) are being introduced into pilot production in the near future.
20
2 The Purullels to Microelectronics
Fig. 2.1-2 A finished ingot being removed froin the pulling gear. Note the thin neck at the upper end carrying the full load of the ingot with a weight of up to 60 kg (Courtesy of Wacker Siltronic, Burghausen).
Float Zone Method
A further important process for the production of large silicon single crystals is the float-zone (abbreviated FZ) method. A rod of polycrystalline silicon, which already shows the external dimensions of the later single crystal, is clamped in a mounting so that the underside is in contact with a seed crystal. Using an induction coil, which melts only a small area of the polycrystalline rod and whose axis is movable, the melt- and solidification zone is moved over the length of the silicon rod to the upper end. On solidifying, the single crystal material grows in the same orientation as the seed crystal. At the trailing edge of the moving melt zone the polycrystalline material is transformed into a single crystal which exhibits the same orientation as the seed crystal (Fig. 2.1-3). This process must be carried out under high vacuum or in an inert gas atmosphere (usually argon). Using the float-zone process, crystals are obtained containing only minute amounts of chemical impurities. One advantage of this process is the absence of a crucible, which could be a possible source of contamination. A further advantage is the utilization of the segregation effect, which describes the difference in the equilibrium solubilities of the impurities of different materials, or different phases of the same material. The segregation coefficient ko = C, /C1 is defined as the relationship between the atomic concentration C, of an impurity content in the solid state (s = solidus) to that in the melt C1 (1 = liquidus).
2.1 The Production of Single Crystal Wafers Inert or
21
I Polycrystalline material Melting zone Movable induction coil
Monocrystalline material Seeding crystal
.p
to Pump
Fig. 2.1-3 a) Principle of float zone process, b) view of the melting zone and the movable coil (Courtesy of Wacker Siltronic, Burghausen).
The purification effect by zone-melting or segregation is then obtained when ko is smaller than 1, since then the contamination can accumulate at the rod’s ends (see Table 2.1-2) [SzeSS]. This purification process is, of course, also effective
22
2 The Parallels to Microelectronics
in the CZ crystal pulling method but in contrast to the CZ process the float zone method allows a multiple repetition of the melting and resolidification process, in order to obtain extremely pure crystals.
Table 2.1-2 Segregation coefficients ko of some elements in silicon Element
k0
Element
ko
A1 As Au B
2.10-~ 3.10-l 2.5.10-5 %lo-’ 4.1OP6
Fe Ga
8.10-6 %lop3
cu
Mg Na 0
1.6.10-3 1.25
As the melt-zone has no mechanical rigidity, both ends of the rod, above and below the melt-zone must be precisely fixed. The design of the induction coil and the corresponding field play an important role in the quality of the single crystal. The length of the melt zone should not be too extended, as the surface tension of the melt and the induced eddy currents must prevent the melt zone from collapsing and subsequently molten material running down the crystal. Phosphine (PH,) or diborane (B2H6) are typical doping materials, which are added to the ambient inert-gas atmosphere. FZ-silicon is available up to a diameter of 150 mm. A typical doping level for microelectronic application is 30 ppbw (parts per billion by weight) boron, which amounts to 1.5 x 1015 boron atoms per cubic centimeter of silicon or only 3 boron atoms to 100 million silicon atoms. The single crystal rods (also called ingots) must be processed further to discs or “wafers”. The blocks are accurately ground to cylinders and the crystallographic orientation is ascertained by means of an X-ray diffractometer. The orientation as well as the type of doping will be marked by means of so-called “flats” onto the cylinder surface. The coding is illustrated in Fig. 2.1-4. Then the ingots are cut using an inner diameter saw. Using this kind of saw the cutting edge can be put under tension and therefore kept in a stable position. The width of the cut has, of course, direct economical impact since the goal is to loose only as small an amount as possible of the costly single crystal. The very big diameter (300 mm and up) can not be cut in this way anymore, instead wire cutting saws are in use (Fig. 2.1-5). After cutting, further steps are taken to improve the wafer surface e. g. mechanical lapping and etching. This improves the surface crystal layers, which are damaged by the previous mechanical treatment. Finally a mechanical-chemical polishing step finishes the wafer surface. The contouring of the edges of the wafers is also important. This means a “trimming” of the edges, in order to avoid tiny silicon splinters in the manufacturing process arising from the edges of the wafer.
2.1 The Production of Single Crystal Wafers
23
Fig. 2.1-4 The coding marks used on a silicon wafer to distinguish doping type and crystallographic orientation.
Diamond coated cutting edge
a
b
Fig. 2.1-5 The slicing of the ingot into wafers. For wafers up to about 8 inches, this is done with a so-called inner diameter saw (a). Due to the circumferential tension the saw blade is stabilized and enables better cuts with thinner blades. For larger diameters the multiple wire saw is used (b).
Using the CZ method a relatively high fraction of oxygen is present in the crystal from the crucible material. The oxygen occupies interstitial sites and leads to deterioration of the advantageous electronic properties of the semiconductor. Therefore, frequently the backside of the wafer is either mechanically or electrochemically roughened. During a subsequent tempering process these oxygen atoms migrate from within the crystal and become anchored to the mechanical “traps” on the backside where they can cause no further damage. In the past the diameter of wafers increased by one inch every four years in order to meet the rapidly increasing demand for larger silicon surfaces.
2 The Parallels to Microelectronics
24
Alongside a growth in productivity due to ever increasing wafer size, there was also the miniaturization of the structures, which allowed a large increase in packing density. However, the delicacy of the structures demanded an ever improving flatness of silicon wafers. Ten years ago a flatness of 1 pm per 200 mm was required, today 0.3 pm per 300 mm has to be met.
2.1.2 Production of GaAs Single Crystals The production of GaAs single crystals is much more complicated than that of silicon. This is mainly due to the fact that the components Ga and As have differing vapor pressures which complicates a stoichiometric precipitation over a large crystal volume. These days three production methods are dominating and will now be briefly introduced: 0 0 0
the horizontal Bridgman method, the gradient freeze-method, and the modified crucible-crystal pulling method with sealing fluid according to Czochralski (LEC = liquid encapsulated Czochralski).
Bridgman and Gradient Freeze Methods Because of the different vapor pressure of both components Ga and As in the melt, the reactants are molten in a quartz ampoule, together with a separate reservoir of arsenic to compensate for the higher partial pressure. This additional amount of arsenic prevents thus the melt to deviate from the stoichiometric balance of Ga and As. A seed crystal must be introduced at an appropriate position, from which the crystal growth spreads out. The mixture in the ampoule is now melted in a furnace, without loosing the seed crystal. Then the ampoule is slowly moved out of the furnace in such a way that the solidification front of the seed crystal moves to the opposite end of the melt (Fig. 2.1-6a). Crystals which have been produced via this process do not exhibit a circular diameter but are D-shaped, rectangular or square. Another variation of this is to move vertically an ampoule hanging by a wire through the oven with an analogous temperature gradient. The advantage of this process is the ability to produce round wafers. As a consequence this method is called the “vertical” Bridgman method. A variation of this is the gradient freeze method, by which the oven and the melt are not moved relative to one another, but the solidification front moves through the melt by controlling the heater sections of the oven (Fig. 2.1-6b). The advantage of this process is that the agitation of the melt by movement of the ampoule is avoided, so less dislocation lines appear and therefore a higher quality of crystal is realized.
2. I The Production of Single Crystal Wafers
25
Fig. 2.1-6 Bridgman and gradient freeze method for GaAs. With the Bridgman method (a) the ampoule is pushed physically out of the furnace. The liquidus-solidus plane runs from the left (location of the seeding crystal) to the right. (b) The gradient freeze method avoids any mechanical movement by switching off the furnace section by section, resulting in a solidification front moving from left to right.
Fig. 2.1-7 The LEC (liquid encapsulated crystal) method is very similar to the Czochralski method sketched in Fig. 2.1-2. To prevent the evaporation of the components, the melt and the ingot is covered by a barrier layer.
26
2 The Parallels to Microelectronics
LEC Method The LEC method resembles the Czochralski method for silicon (Fig. 2.1-7). However, to maintain the stoichiometric relationship of the components, vaporization of the more volatile component (in this case arsenic) is prevented by covering up the melt and the hot single crystals with a gas-tight barrier layer. This barrier layer could be a liquid layer of B,O, which is chemically inert and in addition acts as a getter. Otherwise the process of crystal-pulling is identical to that of silicon single crystal production. The film of boron oxide remains on the outside of the ingot and must be ground off before cutting the wafers. The quality of the crystal, which has been manufactured by the LEC method is lower than that of the previously described processes. This is due to a steeper temperature gradient present in the LEC method, and therefore the density of the formed dislocation lines is larger than in Czochralski-silicon. Although crystals can be produced up to 100 mm in diameter with this method, common diameters that are produced on an industrial scale are between 50 mm and 75 mm only [Ober88].
2.2 Basic Technical Processes The production process of an integrated circuit leads back to relatively few basic technological steps, which repeat themselves a number of times in the whole process of fabrication of integrated circuits either individually or in combination. Individual steps are as follows: 0 0 0 0
film deposition, lithography (film patterning), surface modification (oxidation, doping), etching (film removal).
After manufacture of an integrated circuit on the wafer level, the wafer is cut into chips. All the subsequent processes can be defined by the term packaging technology with the subtitles: 0
0 0
dicing (cutting the wafer into chips), connecting (electrical contacting of the chips), housing (integrating the components to systems, encasing).
The earlier mentioned processes are outlined in the following. Processes with importance to microsystem technology will be treated in detail in later chapters.
2.2 Basic Technical Processes
27
2.2.1 Film Deposition The most important processes of film deposition, especially with respect to silicon technology, will be introduced briefly in the next section. Their impact on microstructure technology will be dealt with in more detail in Chapter 4.
Spin Coating Usually the first coating process consists of covering the wafer with a photosensitive layer of polymer, which is exposed by means of a mask and then developed. Upon exposure the solubility of the polymer changes, so that the exposed areas can subsequently be removed selectively by certain developers. With this the structural information of a mask can be transferred into the polymer film. After development and thermal treatment the polymer layer acts as a kind of stencil, adherent to the surface of the wafer and resistant to subsequent processes such as etching, doping, or other treatment. Therefore this polymer layer is also called resist or photoresist.
Chemical Vapor Deposition (CVD) The most versatile and definitely the most important layer deposition technology is the chemical deposition from the gas phase (Fig. 2.2-1). Variations of this are low pressure (LPCVD) and plasma enhanced (PECVD) deposition technology. The basic process of the CVD method is the condensation of a material from a thermally unstable, gaseous compound onto the substrate. The threshold energy of dissociation of the reactant molecule is supplied either thermally, by heating the
Exhaust
A
Heater Wafer boat
Fig. 2.2-1 A typical reactor for CVD processes. The tray of silicon wafers can be heated up to 1200°C.
2 The Parallels to Microelectronics
28
substrate, or by electrical or optical energy in a gas discharge. For the process to work it is important that the reaction products (except for the desired deposited material) are gaseous so that they can be removed (pumped off). In the last few years CVD has virtually replaced the evaporation technology within semiconductor technology. Using the technology of CVD it is possible to produce all the layers necessary for an integrated circuit. Single crystal growth can be accoinplished in CVD using epitaxial approaches and will be dealt with in the next paragraph. Single crystal layers can be manufactured from polycrystalline silicon (as produced by the standard CVD technology), if an "inner" epitaxy is present, by heating the film in the presence of seed crystals. Epitaxy Epitaxy from the gas phase, carried out at normal pressure as well as low pressure, is the standard method for the growth of thin single crystal silicon films. Figure 2.2-2 shows two standard reactor types. In the case of gas phase epitaxy accurate temperature control plays a crucial role in the quality of the layers. Therefore it is not only a matter of maintaining and controlling the absolute values of temperature of the wafer from 1050 "C to 1150 "C but also of minimizing the temperature variations across the wafer. In the case of low pressure processes, on heating the wafer, convection is of little influence, whereas heat conductivity (by direct contact of the whole surface) and radiation play a major role. Uniform heating of a substrate in vacuum is problematic since the wafer can not be fixed by a vacuum chuck nor can it be clamped down mechanically to the heater without destroying the delicate surface of the wafer. The largest possible surface area for thermal contact is Reactants
Si-wafers Radiation heater
Exhaust Reactants
Exhaust
b
a Fig. 2.2-2 Reactor types for epitaxy, with
a) an induction heater ("pancake"-reactor), and b) an reactor with radiation heating.
2.2 Basic Technical Processes
29
required. At high temperatures frozen-in stresses in the wafer are released and may lead to additional warping and consequently to non-uniform heating of the surface. In the case of a so-called “pancake” reactor a graphite support heats up the wafer. As described above, this leads to temperature gradients on the wafer, which in turn results in epitactic layers with a high number of crystalline faults. With cylindrical reactors, and heating the wafer without mechanical contact (thermal conduction) and using infra-red radiators, a uniform thermal distribution is obtained thereby yielding better results. The reaction gas consists of compounds such as SiH,, SiC1, or other gaseous silicon compounds (e. g. SiHC13) which are diluted with an inert carrier gas e. g. H2. On collision with a heated surface of a silicon wafer the molecule dissociates into its components some of which condense (in this case silicon atoms) onto the substrate. At the same time the temperature must be very precisely controlled, so that the deposition conditions remain constant over the whole wafer. At this process temperature, the silicon atom retains so much kinetic energy that it can migrate on the surface and occupy the most energetically favorable site on the surface. As a single crystal represents an energy minimum in the solid state, the deposited layer grows as a single crystal. If an appropriate mixture is added to the reaction gas, the deposited layers are correspondingly doped. Thereby, doping of greater than 10’’ atoms/cm3 can be achieved.
Physical Deposition Process (PVD) Almost without exception sputtering is the only remaining PVD processes for manufacture of semiconductors. Argon ions are accelerated onto the target by an externally applied voltage. Due to the transfer of energy from the ions to the surface layers of the target neutral particles are expelled from the target and subsequently condensed on a substrate. In sputtering the particles which arrive at the substrate have an energy 10 to 100 times larger than those in (thermal) evaporation. Consequently the adhesion to the substrate is much better with sputtered films. There are several variations of the sputtering process: 0 0 0
DC (direct current) sputtering, RF (radio frequency) sputtering, reactive sputtering.
The special features of these particular processes are emphasized in Chapter 4. The final breakthrough of the sputter technology resulted from the development of the so-called magnetron sputtering. Compared with conventional sputtering it is possible to achieve a higher growth rate ( e . g. 1 to 5 pm per minute with aluminum) which is comparable to a standard coating rate using evaporation.
30
2 The Parallels to Microelectronics
2.2.2 Lithography (Film Patterning) With photolithographic processes, the lateral structures of the electronic elements, designed and optimized on the computer, are transferred onto the wafer surface (a full description is given in Chapter 5). The semiconductor wafer is covered with a photosensitive coating (photoresist), onto which the lateral information of a photomask is imaged and fixed by development. The patterned coating protects against processes which may otherwise influence or attack the surface. As the coating is resistant to these effects, it is called “resist” (protective coating). The resist acts as an etching mask which is fixed onto the substrate and therefore, only exposed areas of the wafer surface can be changed by the subsequent process step. Different regions of the electromagnetic spectrum for the optical structure transference are used depending on the minimum structure sizes, which are to be transferred. Light in the visible region or near ultraviolet (UV) can be used for structures from above 0.5 pm. Far ultraviolet light (193 nm wavelength) is used for structures down to about 0.15 pm. X-rays (synchrotron radiation with wavelength between 0.2 and 20 nm) are still in the research and development state. For structures with dimensions down to a few nanometers, electron or ion beam lithography is used. In electron beam lithography an electron beam is guided in a scanning mode (similar to that used in television receivers) to the substrate and at the same time the beam is rapidly switched on and off. In contrast to the projection methods, which are used for mass production, electron beam writing is a serial information transfer process. It is therefore a slow process but with high accuracy. The key domain for electron beam lithography is in the production of precise masks, by which the CAD data are sequentially written into the electron sensitive layer. The production output is of secondary importance compared with the high precision which is required for the mask patterning. With today demanding precision of structure transfer, the optical (reduced) image impinges on its physical limits. No lens can transfer a structure with submicrometer details in “full wafer” exposure onto a wafer of 200 mm in diameter. As a consequence of greater demands on structural verification, only sections of the wafer are exposed at a time. The wafer is then moved mechanically under the optical set-up to the next position where the process of the exposure is repeated. This process is called “step and repeat”. The above also applies to electron beam lithography. Here the maximum writing area of an electron beam writer is about 1x1 m2. After every writing operation, the stage with the mask is mechanically shifted to the next writing field. As many structures spread over more than one field, the positioning error of the mechanical displacements may amount to only a fraction of the smallest structure, so that no misalignment is noticeable within a structure. Therefore these mechanical stages with interferometer control are very costly components of the lithography machines. An important feature of the optical irradiation process is the scaling of the mask. One can distinguish between a shadow projection, whereby the mask has the same size on the wafer as the transferred structure and the imaging (reduction) process. Imaging processes generally have scaling down ratios of 1O:l to 4:l.
2.2 Basic Technical Processes
31
Photoresists used in semiconductor technology are modified upon exposure to light in such a way that they change their molecular structure and therefore their chemical properties e. g. solubility. The maximum sensitivity generally lies in the UV-region and decreases for longer wavelengths. The resist can be handled under yellow light, without unintentionally exposing it. Therefore rooms in which the coated wafers are processed, are illuminated with yellow light (“yellow rooms”). The photolithographic process embraces different processes: 0 0 0 0
substrate preparation (cleaning), application of coating (spin on), drying, exposure, development, locally modifying the silicon surface, resist removal (stripping).
2.2.3 Surface Modification Thermal Oxidation At higher temperatures and in an oxygen rich atmosphere silicon forms a gas-impervious, chemically resistant layer of silicon dioxide (Si02). This layer plays an important role in the dominant position silicon holds within microelectronics. Silicon dioxide layers are found in applications such as: 0 0 0 0
passivation layers, masking layers, insulating layers, dielectric layers, adhesion promoting layers.
During thermal oxidation, the silicon atoms in the surface react layer by layer with atmospheric oxygen. The oxidation layer does not grow linearly with time, but exponentially. The oxygen atoms must diffuse through the ever thickening layer of silicon dioxide in order to meet with reactive silicon atoms. The process temperature for silicon is between 800°C and 1200°C. In the absence of water vapor in the atmosphere this process is referred to as a “dry” process. Water-free oxides have excellent dielectric properties and are mostly free of defects. However, the process time is about 10 h for an oxide with a thickness of 0.1 pm. If the oxygen in the reaction chamber is enriched with water vapor the process is referred to as a “wet” oxidation. Compared to dry oxidation, “wet” oxide layers are more porous and thus easier to penetrate by subsequent water molecules for reaction with new silicon atoms. Thick oxides are therefore produced by wet oxidations. The wet oxidation process produces layers with less density and a lower breakdown voltage compared with those prepared by dry oxidation processes.
2 The Parallels to Microelectronics
32
Diffusion
Doping in microelectronics is most important in producing p-n junctions. These junctions form the central element of each component within the semiconductor technology. During crystal pulling the ingots are already doped to n- or p-type semiconductors. On manufacture of electrical elements, laterally structured doping gradients must be introduced. Dopant impurities, such as boron or phosphorous atoms, are incorporated in minute amounts. The impurity concentrations are in the range of to lop4. Three boron atoms among 100 million silicon atoms is a conventional doping level. Doping is performed either by means of diffusion or ion implantation. During diffusion, regular lattice atoms are replaced with doping atoms in a thermodynamic equilibrium process. This has the effect of changing the electric properties of semiconductors. The two most important methods for doping are: 0 0
the constant-surface-concentration diffusion, and the constant-total-dopant diffusion.
In the “constant-surface-concentration diffusion” the dopant is supplied from a “non-exhaustible” gas source, so that at the outer surface of the crystal the concentration of the dopant remains constant over the whole process of the diffusion. In the case of the “constant-total-dopant diffusion” the surface of the crystal is initially covered with a solid layer of the dopant. However, the dopant supply is limited and eventually “exhausted” as the diffusion process proceeds. In the “constant-surface-concentration” approach, where the doping atoms are supplied from gas phase a constant doping concentration is regulated on the crystal surface, which corresponds to a particular doping agent solubility in silicon at a given temperature (Fig. 2.2-3a). If a doping layer of thickness dx and surface area A is placed on the surface of a silicon wafer as the constant-total-dopant source and the number of components at a given unit of time that can be diffused into the solid is N = A.J, where J is the particle current per unit area, then by applying Fick’s second law of diffusion: (2.4) The doping profile can be determined in the solid state as a function of the depth x and the number of particles N . D is the diffusion coefficient. In order to be able to solve the differential equation, the following boundary conditions are defined; at a time t = 0, all the particles No are kept at x = 0 in a surface A. The solution of the differential equation is therefore;
N ={ N ~ / A T D ~ ) ~ ~ ~ } ~ - ~ ~ ~ ~ ~ ~
(2.5)
The concentration profile is represented graphically in Fig. 2.2-3b. As can be seen from the Fig. 2.2-3a and 3b there is no diffusion profile which has its con-
2.2 Basic Technical Processes
33
Fig. 2.2-3 Doping profiles in a solid state body by a) constant-surface-concentration diffusion, b) constant-total-dopant diffusion, and c) ion implantation.
centration maximum below the surface, as this would be a violation of the first law of thermodynamics.
Ion Implantation During ion implantation ionized doping atoms are electrically accelerated at room temperature (i. e. in a thermodynamic non-equilibrium) with energies of some keV up to 1 MeV and are shot into the crystal (Fig. 2.2-4). Penetration depths of 10 nm to about I pm can be achieved. At certain orientations of the crystal with respect to the direction of the ion beam, the crystal is more transparent to incident ions than is described by the statistical scattering theory. The ions can penetrate deeply into the channels in the lattice of the crystal at these special crystal orientations. This is called the channelling effect. Common ions used in the manufacture of semiconductors are e. g. B’, P+ and As+. 0 ’ and Ff are known as “special ions”. In the manufacture of semiconductors usual ion energies are 200 keV, but energies of up to 1 MeV or multiple charged ions can be used. The apparatus used can be separated into low and middle current implanters (ion currents up to 2 mA), and high current implanters for currents up to 25 mA. For more precise and low doping of up to about IOl4 atoms/cm3 the technology of ion implantation is applied. For higher doping, deeper penetration, and comparatively “crude” patterns a diffusion process is more cost effective. As most of the implanted ions end on arbitrary interstitial sites of the crystal, every implantation is followed by an annealing process which places the dopants into predetermined lattice sites and activates them electrically. The annealing is
34
2 The Parallels to Microelectronics
+200 kV DC
+I5 0 kV DC
Analyzer magnet
Aperture
Electrostatic
Fig. 2.2-4 Principle of an ion implanter. Ions are generated by means of a plasma. Ions with different mass and charge are separated by a mass spectrometer and then accelerated toward the target (silicon wafer). Usually the ion beam is scanned across the surface of the wafer.
carried out with silicon usually at temperatures between 900 "C and 1000 "C. Standard annealing times are between 10 and 30 minutes. In Fig. 2.2-3c a typical doping profile manufactured by means of implantation is shown. Independent of solubility limits and thermodynamic equilibrium, it is possible by ion implantation to produce practically any desired doping profile (also buried profiles) with almost any element. Therefore ion implantation is a useful tool for research and development of novel products. However, ion implanters together with equipment for lithography represent the largest investment in a semiconductor production line. One disadvantage of ion implantation is the contamination of the wafer by extraneous sputter products. The accelerated ion beam has a considerable sputter effect on the aperture and other internal pieces of the apparatus. Consequently the undesired impurities precipitate onto the single crystal wafer. One solution is to redesign the beam path: all components that the ion beam "sees" must be made of highly pure silicon.
2.2 Basic Technical Processes
2.2.4
35
Etching (Film Removal)
Until the late 70’s etching techniques were carried out by a wet chemical process in a dipping bath down to a structure size of 3 pm. The wet chemical etching process of amorphous, polycrystalline, and single crystal materials is basically isotropic i e. the etch attack is uniform in all directions. The resulting etch profile through a small opening in the resist mask is a spherical cavity. This process requires a precise control of the etch parameters and time in order to maintain the optimum between over etching and under etching of the wafer surface which is partially covered with resist. With ever smaller structures it becomes increasingly more difficult to maintain this optimum. On the structural level under 2 pm, the wet chemical etching has been taken over by a directional dry etching technique. In a diluted gas atmosphere with a relatively large mean free path of the particles, it is possible to accelerate ions electrostatically in a preferred direction, which results in the anisotropic etching process on the surface of the wafer. For liquids it is not possible for such an oriented transport process because of the short mean free path of the particles. A special process which plays only a secondary role within microelectronics is wet chemical anisotropic etching in which the removal rate of Si by alkali etching agents depends on the crystallographic orientation of the crystal to be etched. This latter process forms the basis of silicon micromechanics and is explained in detail in Chapter 6. The above mentioned etching principles can be classified according to the degree of anisotropy and selectivity of materials. The main processes are: 0
Plasma etching and barrel etching. The etching effect stems mainly from the chemical reaction of reactive species i.e. radicals which are formed by plasma discharge. Due to the relatively high working pressures the etching process is highly isotropic. By choosing the appropriate radicals the process can be made highly selective.
0
Reactive ion etching (RIE). This is a combination of physical and chemical processes. In the diluted plasma, an oriented movement of the highly energetic reactive species is maintained at right angles to the etching surface by an accelerating voltage.
0
Sputter etching or ion milling. This can be considered as a purely physical process. Chemically inert ions e . g . rare gas ions, are produced in a plasma and are accelerated onto the substrate with the aid of an electric field and give rise to physical sputtering.
These processes cover the full range in etch characteristics; plasma etching is isotropic but can be made highly selective, sputter etching on the other hand is strongly anisotropic with reduced selectivity, whereas RIE is a process in between, with medium anisotropy and fairly good selectivity.
36
2 The Parallels to Microelectronics
2.3 Packaging Technology All technological steps to manufacture systems and system subgroups by integrating components of different technologies onto one substrate or one housing are summarized under the term packaging technology. Under this technology the die-, wire-, flip-chip- and TAB-bonding, the encasing technology, and the PCB (printed circuit board) technology are categorized.
2.3.1 Requirements for Packaging Technology Modern packaging techniques describe a key technology for the development of highly integrated systems. By reducing the size of the structure, an increase in functional density has come about and at the same time the speed of signal processing has increased enormously. The VLSI (very large scale integration) circuits are characterized by a high working frequency, a short pulse rise, but also high power loss and numerous connection wires to the exterior. Considering circuits in communications technology, as well as gate arrays, standard cells and microprocessors, there is an increasing number of input and output signal junctions to control. A modern gate array normally has a chip area of 128 mm’, an internal lagtime of less than 150 ps, 20 W of energy dissipation and up to 320 junctions. The relatively large chip area, the high density of contact areas on the chip, and the increase of power loss puts the highest demands on the packaging approaches. By integrating a large number of logical functions, an isolated integrated circuit may play a decisive role in the total function of a complicated piece of equipment. At the same time the requirements increase for the highest reliability of integrated circuits. Modern integrated circuits can contain more than one million transistors. The dissipation of energy from integrated circuits increases with the number of transistors and the working frequency. Although the power loss per transistor could be decreased by applying new technologies, it increased on a per chip basis. In the field of communications technology ICs with more than 200 W of energy dissipation are anticipated. The power dissipation in a chip brings about a rise in temperature which leads to an exponential increase of the failure rate. Therefore the temperature of the chips must be kept low by lowering the heat transfer coefficient to an adjacent heat sink. A further cause for failure is mechanical stress. The empirical relationship for failure fractures in soldered junctions is: the number of temperature cycles which fail 50 % of the investigated objects, is inversely proportional to the square of the stress of the electrical contact. This is an important condition for the packaging technology: the material used for connecting the parts should be able to remove any hot spots immediately and the thermal expansion coefficient of all contributing components should be compatible in order to keep the shear stress on the junction points as small as possible.
2.3 Packaging Technology
37
chip I
hpack IC
SMD-components
encapsulated module
Fig. 2.3-1 Process sequences of packaging techniques for electronic hybrid fabrication.
2.3.2 Hybrid Technology With hybrid circuit integration the components, which have been manufactured using different technologies, are assembled to the system using joining techniques. A scheme of hybrid technologies is shown in Fig. 2.3-1 which are necessary for the assembly of a piece of equipment.
Screen Printing (Thick Film Technology) An important component of hybrid technologies is screen printing. The layers are brought onto a ceramic support by means of a screen printing process and then fused in. The technology of screen printing is very old, especially for decorative purposes. However, the dimensions of the decorative screen printing are orders of magnitude larger than those required for microelectronic circuits. Typical materi-
2 The Parallels to Microelectronics
38
als used are A1,0, ceramic substrates and various screen printing pastes. Prints for electronic circuits must be manufactured reproducible to about 50 pm with layer thicknesses of 1 to 80 pm. During the screen printing process a viscous material (the paste) is pressed onto a patterned screen and worked through the meshes by means of a squeegee (doctor blade). The structure of the patterned screen is thus copied by the paste onto the substrate underneath the screen. One of the essential processing steps in the production of a thick film circuit is the firing, which enables the electronic properties of the layers to be determined. Continuous furnaces come mainly into use, so that the necessary firing conditions can be satisfied at high throughput. As screen printing also plays an important role in microsystems technology it will be dealt with in Chapter 9 in more detail.
Placement and Soldering of Circuits Within hybrid technologies surface mounted devices (SMD) are today’s state-ofthe-art. However, the automated machines are relatively elaborate and expensive for small batches. In principle it is possible to handle the chips with tweezers but the small dimensions of the former make this an extremely laborious and cost intensive task. Two basic processes are used to connect the SMD components to the circuit boards: 0
reflow soldering for general application,
and the more recently introduced process: 0
laser soldering for thermally sensitive components.
Not every joining process is equally well suited for hybrid technology. The average wiring and contact density increases considerably with SMD with the consequence of large numbers of connections and partly, very small contact areas. In the manufacturing process a change from traditional soldering processes to new technologies is required.
Mounting and Contacting of non-encapsulated Semiconductor Chips In contrast to encapsulated semiconductors, whose junctions are connected to the substrate both, electrically and mechanically at the same time, two process steps are necessary with the chip-and wire-technologies. The first step serves to mechanically fix the semiconductor to the substrate, the subsequent process establishes the electrical connections. Besides the mechanical strength, the chip-substrate-connection must also be thermally and electrically conducting, in order to be able to dissipate the energy and to keep the chip at a defined electrical potential. A particular problem of semiconductor mounting illustrates the adaptation of the different temperature expansion coefficients of the materials to be joined.
2.4 Clean Room Techniques
39
After the mechanical fixing of the semiconductor chip onto the substrate, the electrical connections between the semiconductor and the interconnects of the circuit are carried out. In wire bonding thin wires are welded with the connector pads either by: 0 0
thermosonic welding, ultrasonic welding or thermocompression welding.
As the chip-and-wire technology is an important process for microsystems technology, further details are discussed thoroughly in Chapter 9. The chip and wire technology is sequential. In parallel, mass production processes were developed, with which a component can be mounted and connected in one procedure. These simultaneous contact processes are:
0 0
flip-chip-bonding, tape-automated bonding (TAB), isotropic adhesion, anisotropic adhesion.
These processes will again be dealt with in Chapter 9.
2.4 Clean Room Techniques For manufacture of structures in micro- or even sub-micrometer-regions, leading to yields for individual processes of 99.99% or more, the surroundings of the manufacturing area must be scrupulously controlled. This includes the room temperature, the air humidity and above all the particle density in the air and in the media being used. If one contaminant particle of 0.5 pm settles on a critical part of an integrated circuit, it can already cause considerable damage if not lead to failure of the circuit. Semiconductor technology, as well as microstructure technology and clean room techniques are therefore concepts that are inseparable with each other. Figure 2.4-1 shows the general concept of a clean room. An atmosphere with a low number of particles is of utmost importance and hence there is a constant exchange of “contaminated” air with recovered air free of particles. High quality clean rooms are operated in laminar flow. Air turbulence increases the retention period of particles in the laboratory surroundings. The actual clean room is a shell-type construction surrounded by a second enclosure, the “grey room”, in which the airflow is freshly prepared with respect to temperature and air humidity. After the addition of fresh air, it is pushed through the filter ceiling into the clean room. The air which flows laminarly at right angles to floor of the clean room is forced through a perforated flooring and transported through air channels again to
40
2 The Parallels to Microelectronics ke
Filter
Elevated floor
Fig. 2.4-1 The general concept of a high quality clean room with laminar flow.
the processing room above the filter cover. The air effluents of certain chemical processes are drawn directly from the process stage and separately disposed of. The circulating air is mixed with fresh air, humidified, temperature controlled and fed back into the pressurized room above the filter ceiling. Depending on the pore size of the filter, the air turnover and other parameters of the manufacturing environment, an atmosphere is obtained which is categorized into quality classes based on the amount of suspended particles. Table 2.4-1 shows the clean room classes according to the US federal standard 209b. The classification is given by particle size and number of particles per cubic foot. Table 2.4-1 Clean room classification as of the US federal standard 209E (particle concentration: (Particles per cubic foot) Clean room Concentration limits (particles/ ft3) 2 0.2 pm 2 0.3 pm classification 2 0.1 pm
1 10
100
1000 10 000 100000
not not not not
35 350 defined defined defined defined
7.5 75
750 not defined not defined not defined
3.00 30.0 300 not defined not defined not defined
2.4 Clean Room Techniques
41
In the general tendency toward metrification the cleanroom classification is about to be changed too. A new release of I S 0 standards 14644-1 and -2 has taken place [Dono99]. The new standard is shown in Table 2.4-2.
Table 2.4-2 ISO/TC209 14644-1 Airborne particulate cleanliness classes -~
Clean room
Concentration limits (partic1es/m3)
24 237 2 370 23 700 237 000
10 102 1 020 10200 102 000
4 8 35 83 352 832 3 520 8 320 35 200 83 200 352 000 3 520 000 832 000 35 200 000 8 320 000
29 293 2 930 29 300 293 000
The reference particle in Standard 209E is 0.5 pm. The number of particles L 0.5 pm in one cubic foot determines the class. This is different in the new class definition of I S 0 14644. The reference particle in this case is 0.1 pm and the classification is given by the power of 10 of particles 2 0.1 pm per m3. How does the old standard correlate to the new one? Class 1 of the old standard allows 1 particle per cubic foot. This corresponds to about 35 particles (20.5 pm) per m3. Applying the power law size distribution of Eq. (2.6) yields to 1000 particles of size 0.1 pm and larger: particle diameter I1 particle concentration I particle concentration I1 = (particle diameter I
(2.6)
with particle diameter I > particle diameter 11. The corresponding 1000 particles of diameter 2 0.1 pm can be found in Table 2.4-2 at I S 0 Class 3 . The comparison between old classes (209E) and new classes (IS0 14644) are listed in Table 2.4-3.
2 The Parallels to Microelectronics
42
Table 2.4-3 Correlation of old standard 209E to new standard I S 0 14644
FS 209E Class Class Class Class Class Class Class
I S 0 14644
IS0 IS0 IS0 IS0 IS0 IS0 IS0
0.01 0.1 1 10 100 1.000 10.000
Class Class Class Class Class Class Class
1 2 3 4 5 6 7
In Fig. 2.4-2 the classifications are graphically represented. The shaded area corresponds to the standard ambient environment. Even with predetermined air flow and filter type, the clean room quality or the particle precipitation on a surface is not constant but changes enormously depending on the exterior circumstances in the working area. A large source of unwanted particles in a clean room is generated by the working person. It is therefore necessary to protect the environment of a clean room from contamination generated by people. This corresponds to a dust free clean room and a disciplined behavior pattern e. g. avoiding hasty movements and refraining from wearing cosmetics such
1o7 1o6 1o5
1o4 1o3 1o2
10' 10" I 0.1
I
I
I
I
I
I
I
I
I
1
Particle Diameter [pm]
Fig. 2.4-2 Clean room classes after IS0 14644-1.
1
I
I
I 1
10
1OD
2.4 Clean Room Techniques
43
as face powder etc. Despite clean room apparel according to regulations, undisciplined conduct can increase the particle density by an order of magnitude. Hasty movements and wearing everyday clothes are expected to lead to a deterioration of two orders of magnitude. Machines, which are necessary for the process, are, of course, sources of particles, too. These machines are integrated in the clean room in such a way that, the “dirty” parts of the machine (e.g. gears, motors etc.) are placed in the grey room which is separated from the clean room. At the same time these machines can be serviced from the grey room and if necessary replaced with minimum disruption of the clean room atmosphere. This grey room has a reduced “cleanliness” and serves as a gate or buffer between the clean room and the outer atmosphere. The air must be continually replaced in order to be able to carry the particles away quickly which have been generated in the work area. The retention period of a particle is lowest, if it is flushed via the shortest route from the room. This is the case when operated in laminar flow. The higher the classification of the clean room, i. e. the higher the quality, the more frequent the air must be exchanged. With laminar flow, an average flow speed of 0.45-0.5 d s e c is necessary. The necessary air regeneration and input of added fresh air, temperature control and regulation of the air humidity is considerable. Table 2.4-4 shows some guidelines for the airflow depending on the cleanroom class [Whyt99].
Table 2.4-4 Guidelines for volume flow Clean room classification FS (209E)
Volume flow [m3h.m2]
10 100 1 000 10 000 100 000
1600-1 800 1600-1 800 700-1 100 60-120 60
A clean room of class 100 of 100 m2 of working area requires a turnover of up to 180000 m3 per hour of temperature controlled, humidified and purified air. A clean room is thus not only a considerable investment but also involves very high running costs. In order to lower the costs, especially in laboratory establishments where an optimum yield is not the priority, as is the case for manufacturing processes, clean rooms of a lower quality are installed (about class 10000). Clean air is blown into the clean room via turbulent flow inlets. To improve on the air quality for critical processes in limited areas additional “clean rooms within clean rooms”, socalled “clean benches” are installed. A clean bench installed in a clean room of class 10000 usually yields to a working area of class 100.
44
2 The Parallels to Microelectronics
With increasing purity demands (in the sub-micron technology clean room classes of 1 or even 0.1 are referred to), requirements such as wearing protective clothing and the behavior of the personnel are so critical that in reality it is no longer reasonable to expect a person to work in such an area. Newer concepts therefore include a personnel free environment and an automated or externally controlled process.
3 The Physical and Chemical Basics in Microtechnology
The modern technologies have come from a broad theoretical basis, without which manufacturing would not have been possible. They differ considerably from the traditional processes, which were developed empirically over decades or even centuries. Microelectronics is not imaginable without a profound knowledge of theoretical physics and, in particular, quantum physics. Therefore, for the microelectronics or microsystems technology engineer it is not just an intellectual exercise to explain the manufacturing processes with principles of theoretical solid state physics and in particular with quantum physics, but a necessity in order to understand, control and finally to optimize the manufacturing processes. It would go beyond the realms of this book to go into the basics of quantum mechanics. It is strongly recommended though to study the behavior of electrons in the field free space, in an periodic potential, and the meaning of electronic bands and band gaps in order to understand the basic characteristics of semiconductors. The crystalline state plays a dominant role in semiconductor technology. Silicon crystals are the material basis for the integrated circuit industry due to their special electronic properties. Since the publication of the famous paper of K. E. Peterson "Silicon as a Mechanical Material" [Pete821 also the unique mechanical properties of silicon have been recognized and present the basis of micromechanics. In the following section an introduction of crystallography with the emphasis on cubic crystals and especially silicon crystals is given.
3.1 Crystals and Crystallography A crystal is a solid body and consists of periodic repeats of a structural unit in three dimensional space. This structural unit can exist of a single atom or also of the most complex macromolecules each containing thousands of atoms. To understand the basic rules of crystallography some simplifications will be introduced:
46
3 The Physical and Chemical Basics in Microtechnology 0
The crystal is infinitely extended so that the boundary effects do not need to be considered.
0
The atoms or molecules of the crystal are first of all reduced to one point. Thereby a mathematical abstraction of the crystal is obtained and referred to as “lattice”. The physical model of the crystal is easily obtained, in which one assigns the points of the lattice again as atoms or molecules, which are also referred to as “bases”.
One can also formulate: Lattice (mathematical abstraction) = crystal (physical realization).
+ bases (atoms, molecules)
3.1.1 Lattice and Types of Lattices For each lattice one can construct a coordinate system, whose axes run parallel to the lattice vectors a,, a2, a3. These vectors run from one lattice point, which is arbitrarily chosen as the origin (in reality there is no origin in the infinitely extended lattice) to the next neighboring lattice points in the three directions 1, 2, and 3. One refers to the parallelepiped, with the lattice vectors defining up the sides, as a unit cell of the lattice. In other words: The smallest possible parallelepiped which is formed by the lattice vectors is called a unit cell. The unit cells by continuous repetition fill the infinite space of the ideal crystal completely. The unit cell which contains only one atom is called a primitive unit cell. The primitive unit cell of a given lattice can also be found by following the specification: from one lattice point connecting lines are drawn to the neighboring points. Now one establishes planes which are half way and perpendicular to the connecting lines. The smallest volume that can be surrounded by the planes is called the Wigner-Seitz cell and is the primitive unit cell. In Fig. 3.1-1 this specification for the two dimensional case is graphically shown. Primitive unit cells are not always found, namely if the crystal has a complex “inner structure“. Later in this section we will learn, that for example a body centered cubic crystal consists of 2 lattice points per unit cell. This is then referred to a non-primitive unit cell. Starting from any arbitrary unit cell within the crystal any other unit cell can be reached by a translation vector r with:
where ui must be whole numbers.
3.1 Crystals and Crystallography
a Fig. 3.1-1
47
b a) Construction of the unit cell by Wigner-Seitz. b) The unit cells coves entirely the whole surface (or the whole space respectively.
The number of possible lattices is limitless as there is no boundary with regard to the length of the vectors al, a,, a3 and the angle, which they form to each other. However, if one divides the angle- and length ratio into groups, which are invariant with regard to the possible symmetry operations, then according to Bravais one obtains only 14 lattice types which are given in Table 3.1-1. Table 3.1-1 Lattice types according to Bravais Designation of system
Lattice vectors and angular ratio
Number of possible lattices
Triclinic
al # a2 # a3
1
U # B # Y
Monoclinic Orthorhombic Tetragonal
al # a2 # a3 u = y = 90" # p al # a2 # a3 a = 0 = y = 90" al = a2 # a3 = p = = 900
Cubic Rhombohedra1 Hexagonal
2
4
2 3
al = a2 = a3 u = = y # 90" al = a2 # a, cx = = 90" y = 120"
1 1
48
a
3 The Physical and Chemical Basics in Microtechnology
b
C
Fig. 3.1-2 The three types of the cubic lattices: a) the simple cubic (sc),
b) the face centered cubic (fcc), and c) the body centered cubic (bcc).
In the following the cubic lattice type will be dealt with exclusively because the relevant materials for microtechnology, such as silicon and gallium arsenide, crystallize in the diamond lattice and so are cubic. As one can see, the cubic lattice consists of 3 variations (Fig. 3.1-2): the simple cubic lattice (sc), and the face centered cubic (fcc) the body centered cubic (bcc). The simple cubic has a primitive unit cell. On each corner point of the cube an atom is found, which is claimed uniformly from 8 neighboring unit cells. The number of atoms per unit cell is 8 . 1/8 = 1. The body centered cubic has the same number of corner atoms and in addition the body centered atom, i. e. two atoms per unit cell. Here we have a non-primitive unit cell. For the face centered cubic lattice the number is: 8 . 1/8 corner atoms and the 6 face centered atoms are claimed by two neighboring cells (6 . 1/2). The total number per unit cell adds up to 4.
3.1.2 Stereographic Projection In order to work with a crystalline polyhedron it would be very inconvenient to describe the crystal verbally in such a form as: The face a is at an angle of x degrees to face b and at an angle of y degrees to face c and so on. Instead of this a simple tool was developed for characterizing the typical microscopic and macroscopic shape of a crystal: The stereographic projection. One imagines a sphere into whose center the crystal polyhedron to be determined is placed. First the surface normals of the polyhedron are established, which cut through the sphere at certain points. The intersection points are referred to as the poles of the surface. In this way the surfaces of the crystal are transferred to poles onto the sphere's surface. For easy handling of such a projection, it must be transformed a second time into a plane surface. There are different methods to project a sphere's surface into a plane as can be seen in any geographical atlas. With the stereographic projection, the equatorial plane of the sphere is chosen as the projection planes (Fig. 3.1-3). The "south po1e"S of the sphere will be assumed to be the central point. If the surface pole P is connected to the south pole S , the straight line cuts through the equatorial plane at pole P'. The projection onto the equatorial plane is
3.1 Crystals and Crystallography
49
tN
Fig. 3.1-3 The stereographic projection.
finally used to characterize the shape of the crystal in the center of the sphere. At the double transformation the angle @ is invariant with this projection, the pole distance p is changed to p’ = R . tan pI2, where R is the radius of the sphere. With the stereographic projection, the upper half of the pole sphere falls within the equatorial circle, the lower half falls outside of this circle. Therefore as a rule the “southern“ half sphere is projected onto the north pole. The circles on the sphere whose centers coincide with the center of the sphere are referred to as “great circles” and play a special role. The great circle is the geometric site of the poles of all surfaces, whose normals lie in one plane (namely the plane of the large circle). A certain set of these surfaces one calls a zone. The surfaces which belong to one particular zone are called tautozonal. A grid of meridians and parallels of latitude are used for practical work with the stereographic projection. The projection of this graduated grid is called a Wulff‘s grid (Fig. 3.1-4). With this, one can place each pole according to @ and p into the grid. The value of the stereographic projection can be easily recognized from the following example. In Fig. 3.1-5a an unknown crystal is represented with some of its surfaces. The question is, are the surfaces tautozonal and which angles do they form with each other? By applying the Wulff‘s grid and rotation around the center, one can try to bring the poles to coincide with a great circle (Fig. 3.1-5b). In the example shown, the poles P I , PI and P3 lie on a large circle and so are tautozonal. The separation between the angles of the tautozonal poles can be counted simply with the aid of the grid. The angles between two arbitrary surfaces can always be determined, if both poles are aligned with a large circle.
3 The Physical and Chemical Basics in Microtechnology
50
Fig. 3.1-4 The Wulff's grid, a convenient tool for the evaluation of the stereographic projection.
For many purposes, it is useful to characterize the planes in the crystal by indices. For that the following guidelines developed by Miller are useful: 0
Determine the intersection points of the plane with the lattice vectors al, a2 and a3 and express the result in units of the lattice constant al, a2 and a3.
0
Form the reciprocal of these numbers. In case a whole number is not obtained the numerical triad is multiplied with the smallest common denominator. The result is put in brackets: (hkl) and is called Miller index.
v2
For one plane with intersection points 3, 1, 2 become the reciprocal $, 1, and the Miller indices (26 3). If the intersection points lie in infinity, the index associated with it is zero. This is the case, when a plane is parallel to one or two of the crystallographic axes. In Fig. 3.1-6 the Miller's indices of some planes in the cubic crystal are shown. The indices (hkl)do not specify an individual plane, but denote a whole family of planes which are parallel to each other, and are by definition indistinguishable from each other. The normal direction of these planes is given by the Miller indices too, which correspond to the relation of the three cosine directions of the normal vector:
h:k:Z = cos a:cos p:cosy
(3.2)
In this case the Miller indices are put in square brackets: [hkl].To indicate that a family of planes extends in the negative direction of the crystallographic direction or to indicate that the normal vector should point in the reverse direction, the
3.1 Crystals and Crystallography
51
Fig. 3.1-5 a) The stereographic projection of an unknown crystal, b) the evaluation of the crystallographic planes using the Wulff's grid. It is obvious that the three planes marked with circles are tautozonal.
corresponding Miller indices are marked with a minus sign over the index: (hkl) (Fig. 3.1-7). With this convention it is possible to mark the six (physically identical) surfaces of a cubic crystal: ( 100) (010) (001) (100) (010) (001). Due to the nature of the cubic crystal all the above listed families of planes are identical, i.e. indistinguishable from a physical point of view. This fact can be indicated by curly brackets around the Millers indices; the group of cubic surfaces is therefore characterized accordingly by { loo}.
52
3 The Physical and Chemical Basics in Microtechnology
z
A
(200)
(iO0)
Fig, 3.1-6 The definition of the Miller indices: define the intersection points of the planes to the three coordinates and calculate the reciprocals. Multiply the three figures in such a way, that three integers are received.
Fig. 3.1-7 Miller indices never indicate a specific plane, but always a family of planes. Negative indices indicate, that the normal vector is pointing into the reverse direction.
3.1 Crystals and Crystallography
53
3.1.3 The Silicon Single Crystal The silicon single crystal plays a dominant role in microelectronics as well as in microsystems technology. Therefore, the silicon lattice, which is identical to the diamond lattice, will be considered next in some detail. The construction of the silicon lattice can be thought of in two different ways: Method 1 Two face centered cubic lattices are brought together completely to self-coincidence. The second lattice is then extended along the space diagonal by a quarter of the length of the diagonal. Thus the silicon lattice is obtained as illustrated in Fig. 3.1-8a.
a
b
C
Fig. 3.1-8 a) The design of a silicon crystal: Two face centered cubic crystals are put together, then both lattices are pulled apart along the diagonal to a distance of '/4 of the diagonal. b) The second method to design a silicon crystal: Take a face centered cubic crystal, and replace each lattice point by a basis of two atoms, /4. with the two positions O,O,O and %, c) Some tetrahedric elements are graphically emphasized in the crystallic structure.
v4,
54
3 The Physical and Chemical Basics in Microtechnology Method 2
One starts again with a face centered cubic lattice and replaces every starting point by bases of two atoms. By so doing the one atom takes up the position k,,'/4 relative of the original point, whilst the second atom has the coordinates to the first (Fig. 3.1-8b).Visualizing this structure in three dimensions can still be demanding to the observer despite its relatively simple geometric arrangement. Finally Fig. 3.1-8c illustrates the tetragonal structure which leads to the chemical stability of the silicon. In the illustration, some tetrahedra are graphically emphasized. One can easily find more tetrahedra in the crystal. These are physically as well as chemically particularly stable building units, which explain the exceptional hardness of diamonds. The figures suggest anisotropy of properties, depending on the direction of viewing. Also the anisotropy of the physical and chemical parameters is an important characteristic of the crystal. In Fig. 3.1-9a one looks at the crystal in approximately the [loo]-direction i. e. in the direction of the normal of a (100)-plane. The cubic structure can be clearly recognized. In Fig. 3.1-9b the observer looks in the direction [110] into the crystal. In this direction a hexagonal structure appears in the foreground. Channels exist in the crystal which can be penetrated very deeply by implanted ions. This
v4,
a
b
C
Fig. 3.1-9 The silicon crystal in a) (100) view, b) in (110) view, and c) in (111) view.
3.1 Crystals and Crystallography
a
55
b
Fig. 3.1-10 The stereographic projection of silicon a) in (100) view and b) in (110)
view. effect is known as "channeling". It is either used intentionally, in order to place ions deeper into the crystal or intentionally avoided, in order to achieve as shallow a doping profile as possible. Figure 3.1-9c displays the crystal in (111) view with a perfect hexagonal structure. In Fig. 3.1-10 the stereographic projection of a silicon single crystal in both orientations, (001)-normal in the direction of the north pole and (110)-normal in the direction of the north pole, is shown. In part a) we see first of all by means of the Wulff's grid, that all 8 cubic surfaces (100) lie at 90" to each other. The { 111) surfaces form an angle of 54.7" to the { O O l } direction, however an angle of 90" among one another. In part b) of the stereogram, we recognize the central (110) direction and also at 90" the { 111) surfaces, which however do not form 90" angles among each other. We will return to these details with anisotropic etching of silicon single crystal wafers. They play a decisive role in structuring microbodies in the context of silicon micromechanics.
3.1.4 Reciprocal Lattice and the Analysis of the Crystal Structure The diffraction of particles (electrons, protons, neutrons) and photons (X-ray quanta) is a method for the structural analysis of an unknown crystal. These particle beams (waves) are diffracted by the unknown structure and can interfere with one another. The particles in this case appear wave-like in nature and can therefore interfere with each other. If they are in phase, they amplify each other and cause an increased intensity. If they are out of phase, then on average they cancel each other out, and the intensity becomes zero. If two waves originate from the same source, then the phase ratio depends only on the path length of both waves.
56
3 The Physical and Chemical Basics in Microtechnology
In a lattice we consider the lattice planes, which are parallel to each other, as reflection planes, where every plane reflects a part of the incident light intensity with regard to the reflection law (angle of incidence = angle of reflection). On constructive interference (i. e. a bright reflection), all reflected particle beams must fulfill Bragg’s condition (see Fig. 3.1-1 1):
2d . sin @ = nA
(3.3) with n = 1, 2, 3... the order of the reflection and d the distance between two planes. As sin 0 is always i 1, then the maximum wavelength which still fulfills Bragg’s condition is: Amax = 2d. Since lattice spacings of crystals are of the order of a few A, it is not possible to carry out any structural analysis on the crystal using visible light, since it has wavelengths of some thousand A, but instead, X-ray radiation or particle radiation is required. The wavelength of electrons with energy of I keV amounts to just 0.39 A. Next we will try to develop the elemental Bragg’s condition in several different ways. A monochromatic plane wave falls on a crystal whose lattice is defined by the translation vector:
Next the diffraction image of a lattice will be investigated. The scattering is assumed to be elastic i.e. the energy and frequency of the incoining beam is assumed to be the same as that of the scattered. Incident
Reflected
Lattice planes
Fig. 3.1-11 The graphical representation of Bragg condition for constructive inter-
ference.
3.1 Crystals and Crystallography
57
Deflected sectioinal beams
Incident beam
k
Fig. 3.1-12 The Bragg condition represented in vector algebra.
The incident beam is described by the wave vector k and the angular frequency w. Likewise it is valid for the diffracted wave k’ and w“. The k vector is perpen-
dicular to the wave front of the beam, and its quantity is:
Also is w = u’, because elastic scattering is assumed. The beam is represented by: E = &sin (kx - ut) or:
For constructive interference the phase difference between the scattered sections of the beam must amount to a multiple of 2n, otherwise they cancel each other out. Let us consider an incoming beam with a wave vector k, which is scattered partially at lattice point P and partially at point P’ (Fig. 3.1-12). Both sections of the beam are scattered toward the screen in the direction k’. The distance between P and P’ is r (the translation vector). How much is the phase difference of the two partial beams in the direction of k’? The partial beam, which is scattered at P’ has to travel longer in the direction of k in order in k’ direction. to reach P’ by a distance of ~, but gains the distance of Ikl The total path difference between the two partial beams is then (considering Eq. 3.4):
58
3 The Physical and Chemical Basics in Microtechnology
(k - k')r - Akr __ -
Ikl
Ikl
For constructive interference on the screen, the path difference of the partial beams have to be multiples of the wavelength:
Ak . r
=n
.A
(3.9)
lkl
(3.10) Equation 3.10 is another formulation of the Bragg condition. If these conditions are not maintained, then the phases of the partial waves are distributed uniformly across the whole interval (0, 2n), i. e. the partial waves extinguish each other. In the following the Bragg condition will be represented in still another elegant way. For that the concept of reciprocal lattice must be introduced. The reciprocal lattice is a translation lattice with the lattice vectors bk,which are connected to the basic vector ai of the actual lattice in the following relation: (3.1 1) The lattice vectors of the reciprocal lattice are formed according to the following procedure:
bl = 2 n .
a2 a3 a1 . a2 X a3
and so forth.
(3.12)
Vector bl is orthogonal to a, and a3. The denominator is the so-called "parallelepiped" volume. It has the volume of the primitive unit cell of the crystal lattice and has thereby the unit [length3]. The cross product in the numerator represents a surface [length2], which is stretched by the vectors ai and ap The reciprocal lattice vector has thereby the dimension [length-']. The translation vector of the reciprocal lattice has the general form:
g=ck=, 3
lkbk with integer lk
What seems to be the motivation to introduce a somewhat difficult to perceive reciprocal lattice? Without it being proven here, the real lattice is transformed in the Fourier space with the formulation law (Eq. 3.10). Each translation vector g of the reciprocal lattice represents a possible constructive diffraction image of the real lattice. The transformation of the real lattice in the reciprocal lattice is therefore as logical as the transformation of a real image into the Fourier space. Here the reciprocal lattice should be seen as a mathematical supportive formulation, with which one can elegantly explain the diffraction phenomena of the crystal. As a product of a translation vector r of the real lattice with the translation vector g of the reciprocal lattice, and under consideration of Eq. 3.11 we obtain:
3.1 Crystals and Crystallography
59 (3.13)
with m = u,l,, u212, uJ3. If we now return to the Bragg’s condition in Eq. 3.10 and multiply both sides by the corresponding translation vector of the reciprocal lattice g, then we obtain:
Note that m and n are not constants but running numbers. For all values of n there is a matching m, and the condition n/m = 1 can be fulfilled. With this the shortest and most elegant form of the Bragg’s relation is obtained:
Ak=g
(3.15)
A graphical interpretation of the interference conditions is the Ewald-construction (see Fig. 3.1-13). One depicts the reciprocal lattice of the lattice in question and inserts the k vector such that its vertex points to a lattice point. Now a circle is drawn around the base point of the vector with the radius I k I. If this circle cuts any other lattice points of the reciprocal lattice, then constructive interference reflections are possible. The vector k’ would then be inserted into the graphic representation such that it has a common basis with k and its vertex pointing to this second intersection point. If now with the Ewald-construction, the difference between the end points of k and k’ corresponds to a reciprocal translation vector, then under these conditions, we obtain a diffraction reflection. It was established above, that each reciprocal
Fig. 3.1-13 The Ewald construction at the reciprocal lattice for constructive inter-
ference.
60
3 The Physical and Chemical Basics in Microtechnology
Fig. 3.1-14 The Laue method. The beam for investigation consists of “white” X-rays,
meaning a continuum of wavelengths with different lengths of k-vectors, but all in the same direction. translation vector represents a possible diffraction reflection. We thereby fulfill the Bragg’s condition (Eq. 3.16). With the Ewald-circle, the probability of intersecting another lattice point, is generally quite low. What can be done therefore in order to raise this probability? For this there are basically three methods available.
The Laue-Method Instead of a monochromatic wave, one allows a whole beam of different waves k,.ki..k, to enter into the crystal. For each ki we can construct an Ewald-circle. The sum of all circles then forms a region as is shown in the shaded area in Fig. 3.1-14. The probability of interferences is thereby considerably increased. To achieved this, we have lost knowledge of the exact wavelength. However, this method is very convenient for a first rough structural analysis.
The Bragg-Method Another possibility to raise the chance of the Ewald circle hitting one or more lattice points, presents itself if one turns the crystal relative to the wave vector k, as is shown in Fig. 3.1-15. In the process of a 360” rotation, a large area of the reciprocal crystal is scanned with the circle and numerous “Ewald conditions” can be found.
The Debye-Scherrer Method Instead of turning the single crystal, it can be pulverized, so that a light beam, which is represented by k, interacts with many crystallites which are now randomly oriented, that is, ordered in all orientations. There are always some which lie in the “Bragg orientation” (Fig. 3.1-16). This method is dealt with in detail in the next section, as it represents a simple method and is excellent for a first rapid structural analysis.
3.1 Crystals and Crystallography
61
Fig. 3.1-15 The Bragg method. The light is monochromatic, and the crystal is rotated during investigation.
Fig. 3.1-16 The Debye-Scherrer method. Many randomly distributed crystallites form a multitude of “Bragg-conditions”.
The Bragg relation is used to determine a diffraction direction only and does not allow a calculation of the intensity. The intensity of a reflection depends on the nature of the base of the crystal (that is the “physical” part of the crystal). To calculate the intensity one requires knowledge about the following parameters: 0
the arrangement of the atoms in the basis of the crystal and the corresponding binding forces,
3 The Physical and Chemical Basics in Microtechnology
62 0
the density distribution of the electronic cloud between the nuclei of the atoms,
0
and finally the oscillation of the atoms under the influence of the thermal energy.
Let the base unit consist of p atoms at the points:
+
(3.16) yja2 + zja3 with j = 1 , 2 , 3...p b; = xjal and xj, y,, zj fractions of the lattice vector. The spherical scattered wave which is emitted from the jth atom has the relative amplitude Aj. A, is also called the atomic structure factor. Its contribution to the diffracted beam (wave vector k’) is given by the phase difference bjdk or by the phase factor: ezbjhk
(3.17)
Therefore the scatter amplitude of the base makes a contribution which is given by the basis structure factor:
As mentioned above the contribution by the electron shell of the jth atom of the base is given by the atomic scattering factor AJ. Incidentally this scattering factor is different, depending on whether the diffraction is carried out with photons or particles, because the interaction with the atom is different depending on the nature of the scattered particle.
3.2 Methods to Determine the Crystalline Structure 3.2.1 X-ray Diffraction The possible reflections with the Ewald-construction were already mentioned briefly in the previous section and one can thereby raise the prospects of intersecting points of reciprocal lattices with the Ewald-circle, so that one either constructs a family of Ewald-circles with different diameters i. e. different k-vectors, or rotates the reciprocal lattice relative to the Ewald-circle. Another variation was developed by Debye and Scherrer, whereby the medium to be analyzed is a polycrystalline powder, in which the tiny crystals are available in all possible orientations to the investigating X-ray beam. A subset of it is always located in a position which fulfils the Bragg’s condition. The powder method is suitable for the qualitative analysis of a sample and for a first approx-
3.2 Methods to Determine the Crystalline Structure
63
imation of the size and symmetry of a unit cell and the average size of the crystallites; it can of course not compete with Bragg’s method, in which quantitative information about the electron density distribution in a single crystal can be obtained using monochromatic X-ray light. With the powder sample some crystals will be oriented such that, for example, their {loo} planes with the distance d,,, lead to a diffraction intensity within an angular distance 2@ from the original beam. This reflection condition is valid now for all crystal planes, which form an angle @ t o the optical axis of the probing beam. They lie rotationally symmetrical around the optical axis and thereby form a diffraction cone with the half aperture angle 2@. For other crystal planes {hkl} other aperture angles occur, so that eventually a Debye-Scherrer diagram with a family of diffraction cones inserted into each other results for all lattice planes. The original Debye-Schemer method is shown in Fig. 3.2-1. The sample is placed in a small tube that is rotated so that all random orientations of the tiny crystals are guaranteed. The diffraction cones are photographically recorded as arcs of a circle. In order to determine the angle @, one measures the reflections from the photographically recorded diffraction pattern. If the values for the numbers (h,k,Z)are known, then one can calculate dhwaccording to Bragg’s relation. In a cubic lattice with a unit cell of side length a, the distance between the planes is given by:
a (3.19) dh2 k2 12 From this follow the angles, where the diffraction conditions comply with the (hkl) planes: dhkl
=
+
A
sin@hkl= -2/h2 2a photographic film
beam
+
+ k* +
(3.20)
12
,diffraction cone
\
sample
Fig. 3.2-1 A Debye-Scherrer camera for crystal analysis.
64
3 The Physical and Chemical Basics in Microtechnology
The possible reflections can be calculated, whereby h, k and 1 are replaced with numbers. However, not all whole number values for h2+,@+12 are present, as one can see in Table 3.2-1.
Table 3.2-1 The possible reflections and the associated sums of the squares of the Miller’s indices Miller Index
h2 + k2 + l2
It is apparent that 7 is missing and that 9 is present twice. The diffraction pattern has thus empty spaces, which are characteristic for simple cubic structures. The Debye-Scherrer powder diagram and its systematic spacings are schematically represented in Fig. 3.2-2. One should note however, that here only the positions of the theoretically possible reflections are represented, but not their intensities. If a theoretically possible reflection exhibits zero intensity it will not be detected. On the other hand it is not possible that a reflection appears at a place which is not given by the Bragg’s reflection condition.
3.2.2 Electron Beam Diffraction Besides analysis by photons, one can also use electron beams to analyze structures. Electron beams have much shorter wavelengths than photons (electrons which are accelerated to an energy of 10 keV, have a wavelength of 0.12 A). The interaction with the electron shell, as well as the nucleus of the atom to be analyzed, is much more intense. However, this has the consequence that the depth of penetration is smaller than with X-ray radiation. Therefore the potential applications and the preparation of the sample are significantly different. Electron beams are used preferentially to analyze thin layers, surfaces and gases. However, here also the Debye-Scherrer method is commonly used. Polycrystalline thin films of the material whose structure is to be determined are placed onto very thin amorphous carbon or A1,0, “carrier” foils. The experiment must of course take place in a vacuum (see Fig. 3.2-3).
3.2 Methods to Determine the Crystalline Structure n
0 0
2
nn
N r N r
2%
a simple cubic
b cubic bodycentered (h+k+l odd missing)
c cubic facecentered (h, k, I all even or all odd) Fig. 3.2-2 The theoretically possible reflections for the three cubic crystal types: a) simple cubic, b) cubic body centered, and c) cubic face centered.
Fig. 3.2-3 Debye-Schemer diffraction set-up for electron beams.
65
66
3 The Physical and Chemical Basics in Microtechnology
With electron beam diffraction one can determine the distance between the atoms in a molecule. Likewise the unit cell parameter of the crystal can be determined easily with only a few atoms in the unit cell. However for a macromolecule the structural analysis becomes extremely complicated.
3.3 Basic Concepts of Electroplating In general, any chemical substance which is dissociated into ions in the liquid or solid state phase is called an electrolyte. Under the influence of an electrical field between two electrodes, submerged in an electrolyte these ions can carry electrical charges from one electrode to the other and thus generate an electrical current. If an ionic crystal, such as NaC1, is submerged in water it is dissociated into ions, Na’ and C1-. Since this process needs a high amount of energy, this can not be supplied by the thermal energy of the solvent. The decisive role for the dissociation is played by the hydrate molecules of the water which accumulate around the ions and thus deliver the necessary solvation energy. The ions with positive charge move towards the cathode of the electrolytic tank and are called cations, the negative ions are called anions. These ions are accelerated in the electrical field by a force:
where z = charge number and e = unit charge. Since the ions are not moving in a vacuum but in a liquid, with increasing velocity they are subject to a friction force which is proportional to the velocity of the ion. The friction force can be calculated with the Stoke’s equation:
with r = ion radius and r = viscosity of the solvent. Therefore after a short starting period these ions move with constant speed: (3.23) The so-called electrical mobility of the ions is defined only by the material parameters of the ions and the solvent: (3.24)
3.3 Basic Concepts of Electroplating
67
In the following we want to calculate the current in an electrolytic tank when voltage is applied across the two electrodes. We consider a tank with two electrodes in the distance 1 (Fig. 3.3-lc), The amount of substance of the electrolyte is defined as c = n/V For simplicity reasons we chose an electrolyte with only
a
4-1
b
Double layer
3 R-
RE
R+
Fig. 3.3-1 a) The electrolytic tank with its two electrodes (cathode and anode) and b) the equivalent circuit diagram. C, and C& are the capacitances of the cathode and anode respectively. c) Sketch of the electrolytic tank for the calculation of j, and j,.
68
3 The Physical and Chemical Basics in Microtechnology
one cation with zf = 1, and one anion with z- = 1 per molecule. The current consists of all cations traversing an area A in the time t towards the cathode and all anions towards the anode. The number of cations participating in the current is then: c.NA.A.vt.twith the charge z+.e, and the amount of anions respectively: c.NA.A.V.twith the charge z-.e, with NA = Avogadro’s constant (NA = 6.02214 . mol-’). The total current is then:
I = -Q= N A .e . A . c . ( z + . v f + z -
. v-)
t
With E = U/l and NA.e= F (Faraday constant F Eq. 3.24 we can transform Eq. 3.25:
(3.25) =
9.648456.104 C mol-I), and
(3.26) The resistivity p of a conducting material is defined as (3.27) In the electrochemistry the conductivity 1 r c = - = F . c (u’.z+
+
u-
IC
.z-)
is the more preferred parameter: (3.28)
P
The question arises now whether Ohm’s law is applicable to a system like this or not. Even if the electrolyte could be considered as an Ohmic’s resistor, the whole system cathode-electrolyte-anode does not follow Ohm’s law, as can be seen from the equivalent circuit in Fig. 3.3-lb. At the interface electrode-electrolyte complex electric double layers are formed which act as nonlinear resistors in series with the electrolyte. The total resistance of the electrolytic system therefore sums up to: (3.29)
In order to measure the electrolytic resistance alone, alternating voltage is applied to the electrodes. At an appropriate frequency (usually 50 kHz) the capacitive resistance is shorted out, the electrode resistance R- and R f is high compared to the electrolyte and thus a fairly accurate measurement of the resistance or conductivity of the electrolyte is feasible.
3.3 Basic Concepts of Electroplating
69
a
Water maleeule Fig. - 3.3-2 a) The Hrater molecule with
[tive and negative charges and b) the preferred build-up of solvated cations with a sheath of water molecules.
When a molecule such as NaCl is dissoved in water the cation collects water molecules as a kind of sheath or shell. This process is called hydratation or solvatation. The solvatation energy then delivers the necessary supply for the dissociation. Due to the special polar structure of the water molecules the charge density for the negative charge is larger than that of the positive charge as can be seen in Fig. 3.3-2 This is the reason that cations usually have a more robust hydrate shell than anions. For simplicity, for the following cations are considered as solvated ions with hydrate sheath and anions without sheath.
3.3.1 The Electrode-Electrolyte Interface Electrical and Electrochemical Potential The electrode-electrolyte interface as represented in Fig. 3.3 -1a is oversimplified to allow a detailed study of any of the electroplating parameters. Therefore in the following, some electrochemical definitions will be introduced, and successively a more realistic model of the electrode-electrolyte interface will be developed. At the border between two phases (metal-electrolyte) always an electrical double layer is generated. There are many causes for this. A surface layer may consist of uniformly aligned molecules with a resulting double layer of charges. Another
70
3 The Physical and Chemical Basics in Microtechnology
reason is the preferred adsorption of only one type of ions (cations), whereas the rejected anions collect near the cations and form the double layer. The overall difference in electrical potential between phase CI (metal electrode) and phase (3 (electrolyte) is called the inner potential or Galvani-potential. The Galvani-potential p is the sum of the so-called outer potential y and the surface potential x: (TJ=W+X
(3.30)
The Fig. 3.3-3 gives a graphical representation of the different potentials at the phase border. We have stated that the cations in the electrolyte are covered by a sheath of polarized water molecules and thereby building a molecular cluster. These clusters together with the electrode surface form a capacitor-like double layer, one side of which is formed by the presence of the electrons of the metal, the other side
Fig. 3.3-3 Graphical representation of the different potentials. q E is the inner potential of the bulk of phase (3 (electrolyte), whereas qMe represents the inner potential of phase a, the bulk of the metal electrode.
3.3 Basic Concepts of Electroplating
71
by the adsorption of the cations on the surface of the cathode. According to this idea which goes back to Helmholtz, the boundary electrolyte is limited to a monolayer film on the cathode made up of positively charged ions, whilst in the bulk volume of the electrolyte cations and anions mix in such a way that any arbitrary volume of electrolyte contains the same number of positive and negative charges. The thickness of the double layer is do*, as seen in Fig. 3.3-4a. The plane of charged particles (or better, the plane of the centered charges of the hydrated ions) is called the “outer Helmholtz plane”. The double layer can be considered as a capacitor with parallel plates. The capacitance is calculated as: C=-
cr&o. A dOH
with e, the relative permissivity, eo the dielectric constant, and A the area of the electrode.
a
b Fig. 3.3-4 a) The structure of the Helmholtz double layer and
b) the related potential function p(0.
(3.3 1)
12
3 The Physical and Chemicul Basics in Microtechnology
The related potential function is then: (3.32) This is a straight line as can be seen in Fig. 3.3-4b. In this model the whole potential drop between electrode and electrolyte happens within the distance doH, between electrode surface and outer Helmholtz plane. Considering a potential of about lV, then the field between Helmholtz plane and electrode amounts to lo7 Vcm-’. This extremely high field influences the molecular structure of the clustered ions, for example stripping the hydrate sheath of the ions, when traversing this region. The model of a potential drop only between electrode and outer Helmholtz plane again does not render the true parameters and has to be refined. The rigid wall of the outer Helmholtz plane is physically unrealistic, since there is always a Brownian motion and a diffusion force opposing the rigid wall. Gouy and Chapman independently developed a model considering diffusion effects and Brownian motion and thus defined a “diffuse double layer”, a layer extended in the x-direction into the bulk of the electrolyte with a smooth slope of the potential. The potential function can be calculated using the Poisson equation: (3.33) Solving the differential Eq. (3.33) and putting in the boundary conditions for this model leads to the potential function: (3.34) with p a measure of the radius of the ionic cloud. Finally Stern combined both models to a system with the outer Helmholtz plane and a diffuse double layer. This model was refined further by Bockris, Devanathan, and Mueller by adding an interfacial region, which consists of water molecules, neutral atoms, molecules, cations without hydrate sheath, and even ions of the same charge as the electrode. This indicates the fact, that at the electrode-electrolyte interface there are other bonding forces involved besides electrostatic, namely chemical bonds and van der Waals bonds. The plane involving the centered charges of this adsorbed layer is called the “inner Helmholtz plane”. This Be-. final model is sketched in Fig. 3.3-5 including the electrostatic potential ~ ( 8 tween the electrode surface and the inner and outer Helmholtz planes the potential is straight, followed by a slope within the diffuse layer toward the bulk of the electrolyte. This slope varies with the ion concentration of the electrolyte, it is steeper with high concentration and shallower with diluted solutions.
3.3 Basic Concepts of Electroplating
73
a
I
b
iH
OH
Fig. 3.3-5 The complete picture of the electrode-electrolyte interface including the models and adaption of Helmholtz, Gouy and Chapman, Stern, Bockris, Devanathan, and Mueller. In section b the potential drop is depicted for high concentration electrolytes (solid line) and diluted electrolytes (dashed line).
3.3.2 Polarization and Overpotential All these models above are static models, meaning that after an initial displacement current the external current between anode and cathode is zero. In the electroplating process, ions have to be moved from the bulk of the electrolyte to the electrode, where they exchange charges (electrons) with the electrode, to become neutral atoms and to be incorporated into the crystallographic structure of the electrode. The thermodynamic equilibrium is represented by the equilibrium potential or reversal potential q ( O ) , at which theoretically an exchange of electrons between electrode and electrolyte takes place. At realistic conditions (at non-equilibrium conditions) an overpotential can be observed. This is the difference between the
3 The Physical and Chemical Basics in Microtechnology
74
reversal potential q ( 0 ) and the potential q(j) in the current state, and is called polarization or overpotential r.
(3.35) The total overpotential is a combination of several components which are listed in the following: 0
The electron transfer overpotential
rTdue to an inhibited
charge transfer.
The electron transfer from the solid electrode to the adsorbed ion, and the change from the ion in solution to the adatom at the surface of the electrode is the most decisive step in the process chain of events in electroplating. This is the transition from electronic current to ionic mass transport. 0
The diffusion overpotential qdiffcaused by inhibited mass transport. Differences in concentration of electrolytes on the anode and cathode occur compared to the average electrolyte composition. These differences in concentration are caused e. g. by hydration-, dehydration-, complex formationand decay reactions near to the electrode. Thereby an inhibition in diffusion occurs on the electrode, which again causes a concentration or diffusion This diffusion overpotential is treated in more detail in overpotential rdiff. Section 3.3.3.
vr caused by a preceding or subsequent reaction. overpotential radcaused by stripping off the hydration
0
The reaction overpotential
0
The adsorption sheath and adsorption to the electrode surface.
0
The crystallization overpotential qcristcaused by migration over the surface to find an energetically favorable place for deposition. In the case of electroplating of metals, an additional inhibition of the crystallization process occurs. The surface diffusion of the adatom, i. e. the atom which is adsorbed onto the surface, and the incorporation into the crystal lattice at a growth point manifests itself in the crystallization overpotential rcryst.
3.3.3 Mechanisms of Cathodic Metal Deposition How does the deposition of a metal from an electrolyte solution occur on a metal cathode? Possible ways for solvated metal ions from the inside of the electrolyte to the uptake into the lattice of the cathode metals will be pursued below. For that the following steps have to be distinguished: 0
0
Migration to the reaction zone. Entering into the boundary layers on the phase boundary, traversing of the diffusion double layer,
-
3.3 Basic Concepts of Electroplating -
15
incorporation into the outer Helmholtz plane, desolvation of the hydratation sheath.
0
Migration of Me+ within the outer Helmholtz plane up to a point, opposite to an active site at the electrode surface.
0
Traversing the inner Helmholtz plane and electron transfer (neutralization).
0
Incorporation into the metal lattice.
The deposition process sees the largest energy barrier on transfer through the outer and inner Helmholtz planes. The metal ion must be squeezed through the closely packed components of the Helmholtz planes. One refers to this as a transfer polarization. Therefore, also the main component of the potential drop is found in the inner Helmholtz-layer. If one connects the average Galvani-potential to the average thickness of the inner Helmholtz layer of about to lo-* cm, then in a very confined space a field strength of the order of about lo7 Voltkm is achieved. With this voltage drop the cation is assumed to traverse through the layer fully dehydrated. Now the metal ion does not enter at an arbitrary place into the lattice. The microscopic surface is made up of regions with different specific surface energy. As a rule only the active regions i. e. the regions with the highest specific free surface energy, form the gateway to the metal lattice. The number of such active points is normally relatively small, compared to the total number of available lattice sites, as seen in Fig. 3.3-6,
Fig. 3.3-6 Energetically favorable places for crystallographic growth. The most favorable position is an already existing nucleus, the second favorable position is at a corner of a step, the next is to start a new row of a step. The least favorable position is to nucleate on a plain surface.
76
3 The Physical and Chemical Basics in Microtechnology
A single nucleus as a growth side usually is most attractive for subsequent atoms arriving at the surface. The next most attractive position is to decorate a step in the crystallographic structure of the substrate. The completion of a layer is energetically more favorable than to start a new layer. The least attractive position is to start a new nucleus. A crystal therefore is not built up by arbitrary uptake of atoms or molecules but quasi periodically, in which lattice planes are arranged in succession. In order to bond to a growth site, the metal ion uses a relatively small amount of energy. However, it must first be able to reach this point by surface migration. If the primary adsorbed metal ion does not reach any growth sites, because on diffusion it does not cross such a place, or because it can not be taken up by such a site (because this site is already occupied by another reactant), then occasionally a new nucleus is formed. However, forming a new nucleus requires considerably higher energy, than arranging the metal ion on periodic growth sites, which can be seen from the height of the crystallization polarization. Inhibitors influence the Galvani-potential and often cause strong polarization. All electrolyte components, namely organic or colloidal substances, as well as reaction products of electrode reactions, are capable of inhibiting. Likewise also electrolyte concentration, acidification or introduction of so-called conducting salts contribute to growth retardation. Inhibitors retard the growth and decrease the work of nucleation by temporarily occupying the energetically favorable sites on the crystal. A competing occupancy of energy-favorable sites exists with metal ions and inhibitors, whereby the latter, forming weaker bonds with the substrate than the metallic bond of a cations, are eventually displaced from their sites by the metal ions. The inhibitors are therefore not incorporated into the lattice. It is important to note that the temporal dependence of the construction of the lattice is controlled with inhibitors and thereby the crystal structure can be changed. As a result of the various processes the material transport mechanism in the electrolyte must be studied in more detail. In practice different mechanisms compete, and the slowest process determines the overall speed. The ions to be deposited can be transported in three ways to the electrode:
Migration Migration means the ionic transport under the influence of an electric field, As discussed earlier, the ions move with a velocity of: (3.23)
which is in the equilibrium between the driving force of the applied electrical field, and the friction force due to the viscosity of the solvent. No field though in the electrolyte can be formed if there is a high excess of conducting salt in the electrolyte or a high concentration of ions to be deposited because of the high conductivity. In this situation the influence of migration can be neglected.
3.3 Basic Concepts of Electroplating
77
Diffusion By consumption of ions on the electrode surface a concentration gradient of the ions which take part in the reaction is generated in the electrolyte layer near the vicinity of the electrode. Ions diffuse to the electrode because of this concentration gradient. The ion current is determined by Fick's first law: N=-D.-
dc
dx
(3.36)
where N is the number of ions which reach the electrode in a unit of time. dnldx represents the concentration gradient across the diffusion layer dx and D is the diffusion coefficient, which contains the ionic radii of the participating reactants. The which was mentioned in diffusion is the cause of the diffusion overpotential rdiff the preceding section on polarization and overpotential at the electrodes. A thorough treatment of this matter leads to the following formula for the diffusion overpotential: (3.37) with R = universal gas constant (8.31451 JK-'mol-') F = Faraday constant (9.648456 .lo4 C mol-') 6, = Nernst diffusion layer thickness j = current density c, = concentration of the ions in the bulk of the electrolyte. Convection The diffusion layer can be reduced by forced convection. The stronger the convection in the electrolyte, the smaller is the diffusion layer thickness and the steeper the concentration gradient, which therefore leads to higher ion currents. The maximum possible current density of metal deposition is achieved, if the concentration of ions on the electrode is co = 0. This assumes that the reaction kinetics at the electrode is not the rate determining step and therefore all ions going through the diffusion layer are immediately taken up on the electrode. In Fig. 3.3-7 the relation is graphically represented [Hama98]. Curve 1 represents the diffusion limited reaction, which shows the concentration co = 0 at the electrode. At the intersection of the gradient line with the horizontal line that represents the concentration of the electrolyte far from the electrode, marks the Nernst diffusion layer 6,. Curve 2 in Fig. 3.3-7 shows the shape, if reaction limited (mixed) circumstances occure. In this situation the diffusion layer is called the hydrodynamic boundary layer. In order to achieve defined flow conditions, rotating disc electrodes are used. A metal cylinder is embedded centrally in an insulating material and only the face is
78
3 The Physical and Chemical Basics in Microtechnology
Fig. 3.3-7 The formation of the Nernst diffusion layer with diffusion limited reaction (curve l), and with reaction limited (or mixed) conditions (curve 2 ) . dN is
called the Nernst diffusion layer.
exposed to the electrolyte. During rotation, the electrolyte is drawn along the rotational axes and ejected radially. The diffusion layer thickness is then: (3.38)
where y is the kinematic viscosity of the electrolytes, w is the angular velocity of the disc electrode and D is the diffusion coefficient of the species participating in the deposition. Electrodes with lateral dimensions in the micrometer region are denoted as microelectrodes (Fig. 3.3-8). Whilst a linear diffusion field forms on planar macroscopic electrodes, microelectrodes display a spherical, non-linear diffusion field. The edge diffusion is larger than the linear diffusion, i. e. per unit of time more ions diffuse to the electrode surface than in the macroscopic case. Thereby higher limiting current densities are achieved. In this connection the diffusion limiting current density is given by: (3.39)
with a = diameter of the disk.
3.3 Basic Concepts of Electroplating
79
a
b Fig. 3.3-8 Difference between a) macroscopic planar electrodes with predominant linear diffusion coefficient, and b) microelectrodes with spherical diffusion coefficient.
In the case of an embedded microelectrode, the electrode is located at the base of a cavity in a non-conducting material [Leye95]. Within this cavity the ions migrate through a linear diffusion field, and at the open end in the case of no convection, a spherical diffusion field is present (Fig. 3.3 - 9). The diffusion limiting current density for the embedded microelectrode without external convection is therefore given by
Conductive substrat
* a
-l
Fig. 3.3-9 Filling a microcavity with a high aspect ratio involves linear diffusion as well as spherical diffusion.
80
3 The Physical and Chemical Basics in Microtechnology
with a h
= =
diameter of the cylindrical hole, and depth of the hole.
With forced convection, one has to distinguish between two cases between depending on whether the flow penetrates the cavity appreciably or not. With larger lateral dimensions the electrolyte is exchanged by the penetrating flow and so the effective diffusion distance is reduced. The calculation of the limiting current density becomes therefore more difficult, because it depends on the individual shape of the cavity. With small lateral dimensions the electrolyte solution does not penetrate into the cavity. The linear diffusion distance is therefore determined by the cavity height h. Therefore the limiting current density can be determined:
(3.41) The pH-value increases on the electrode surface as well as in the diffusion layer since in metallic deposition, such as nickel from a nickel sulfamate electrolyte, hydrogen is also deposited. However, as hydrogen shows a higher diffusion coefficient than the participating metal ions, the diffusion gradient of the former is flatter than that for the metal ion. At too low a concentration of hydrogen a colloidal deposition of nickel cations as hydroxide results. This happens at a pH-value of between 5 and 6. These hydroxides are formed in the deposited layer and cause an increased hardness and brittleness of the layers. Material Transport Processes During Microelectroplating It is desirable that during electroplating of a microstructure the layer growth should take place uniformly over the whole substrate. The layer growth is greatly influenced by two components, firstly by the local current density distribution and secondly by the particular conditions of material transport. The different behaviors, which can occur on an arbitrary microstructure, were already considered in the previous section. During growth, another time dependent component has to be added. With a microstructure, whose material transport is composed of a linear and a spherical diffusion distance, the transport conditions are very quickly and drastically changed after the filling of the cavity (the linear diffusion distance). Therefore after filling the cavities under linear diffusion conditions the deposit expands to mushroom-like structure as seen in Fig. 3.3-10. A lot of empirical knowledge is available from practical electroplating. Electrolytes contain additives such as wetting agents, brighteners, reaction products and so forth. The theoretical background of the function of these components in many cases is still obscure. The LIGA technology, which is treated in detail in Chapter 7, relates to a great extend to electroplating. Therefore a major part of the research activities went into the theoretical and experimental understanding of micro electroplating. The electroplating of microstructures, such as micro- and macro-throwing power, internal stress of thick electroplated layers, and the build-up of electro-
3.4 Materials of Microsystems Technology
81
Fig. 3.3-10 Mushroom-like structures received by “overplating” a cylindrical hole. The stem is plated in linear diffusion mode, whereas the dome grew in the spherical diffusion mode.
plated microstructures on top of processed silicon wafers are discussed in more detail in Chapter 7. For further reading on electrochemistry the book of Bockris and Ready [Bock981 is recommended.
3.4 Materials of Microsystems Technology Materials play an important role in microsystems technology, as they combine several functions. First of all they maintain, of course, the traditional role of shaping the outside dimension. Secondly, the surface properties of the materials are of great importance to the performance of the microcomponents or even the full system, as the surface to volume ratio increases with decreasing size of the structures. This increase is only linear if one assumes an unlimited thin layer in a mathematical sense. However, the ratio increases non linearly with the scale of miniaturization, if one considers a “physical” surface, i. e. if one takes into account that surface properties like for instance hardness, resistance to corrosion or electric breakdown, extend to a certain depth into the bulk (Fig. 3.4-1). Frequently the surface properties of the bulk material are changed by a desired or undesired adsorbed layer. A microcantilever, which is covered with an oxide layer, most probably exhibits other elastic properties than one with a “clean” surface. In other words the mechanical properties of certain microstructures depend on their processing history. A cantilever, which was produced with an etchant
82
3 The Physical and Chemical Basics in Microtechnology
Fig. 3.4-1 The influence of surface properties with decreasing size of a structure. a) Assuming a constant “depth” of the surface independent of the size of the microstructure, b) the surface to volume ratio reaches infinity, as can be seen in the diagram.
A, has possibly another Young’s modulus than one which came into contact with an alternative etchant B. In microsystem technology, the materials and the determination of their properties play a most important role. In the conventional engineering sciences, material properties are normally listed in tables, which can be recalled when required. In most cases it concerns the bulk properties of the material. In bulk samples the decisive dimensions of the microstructure of the material (in this context by “microstructure” is meant as the microscopic crystalline structure of the material) is smaller by orders of magnitude than the size of the object. As a consequence the material properties appear, with some justification, to be independent from the sample shape and size. This assumption is not valid in microtechnology. In a polycrystalline material an object the size of a crystal may have different properties than one which still contains thousands of crystals. In a macroscopic body a thin oxide layer on the surface will have no obvious influence on the elastic properties. In contrast, for a microstructure the “volume” of the surface layer is no longer negligible and must be taken into account on calculating the mechanical properties. Moreover, the properties change depending on whether the surface layer is an oxide layer or a nitride layer. This again depends on the technological history of the microbody. One can already see that the determination of the material properties of a microbody is a much more complicated task, compared with that of the macroscopic bodies. As already mentioned above, the effects of the surface properties of the materials change non linearly in microtechnology. However in many cases, this can be
3.4 Materials of Microsystems Technology
83
used to advantage in microstructures by obtaining unique properties not found in macroscopic devices. Consider for instance the unusual high electric breakdown behavior of thin oxide layers, or the extreme mechanical hardness of diamondlike thin films, or the high corrosion resistance and freedom of pin-holes in plasma-polymerized films. In addition to the physical and chemical properties of the materials, which are normally connected with the mechanical performance of microcomponents, additional material research is needed since the development of new sensor and actuator principles may depend on particular characteristics of a given material. Material properties in general cannot be dealt with here in any detail. The authors will therefore concentrate only on the properties and phenomena, which are related specifically to certain applications in microsystems technology. As much research is being carried out in this area, the reader is advised to refer to the relevant literature for the most recent developments. In the next sections, materials for microsystem applications are discussed under the following topics: 0
materials materials materials materials
for for for for
shaping microstructures, sensor applications, actuator applications, auxiliary applications.
Materials for Shaping Microstructures
Single Ckystals Microsystem technology evolved from microelectronics. Therefore the first microcomponents were fabricated from silicon, and even today the majority of microsystems still relies on silicon. The famous paper of Kurt Peterson in 1982 [Pete821 “Silicon as a mechanical material” is considered by many researchers in this field as the kick-off for MEMS technology. Silicon is probably the most investigated material in science and technology, and yet it’s remarkable mechanical properties were almost totally obscured by the high commercial interest in it’s electronic characteristics. Peterson pointed out, that silicon is a suitable material for the design of MEMS devices. Some of the parameters are listed in Table 2.1-1 in Chapter 2. For details concerning silicon, the reader is advised to study Chapter 2 and 6. Compared to silicon, other single crystal materials play a less important role. GaAs as a mechanical material is not being used except in applications where a different Young’s modulus is important (EGaAs= 8 5 3 GPa, and Esi = 180 GPa) . Quartz (SO2) crystallizes hexagonally and displays a piezoelectric effect, which is maximum when the single crystal is cut normally to the c-axis. Because of this, quartz is frequently used as an oscillator in the watch industry and whenever a frequency standard is needed.
84
3 The Physical and Chemical Basics in Microtechnology
Ceramics Ceramics as a substrate for hybrid microelectronics and microsystems as well has already innumerable applications. The standard substrate is aluminum oxide (A203) ceramic. It is the basis for almost all hybrid devices in combination with microelectronic circuits, screen printing technology, thin film technology, bonding processes and adhesion techniques. The chemical inertness, the mechanical stability, the surface finish, as well as the heat conductivity and thermal expansion coefficient all play an important role. In microelectronics the matching of the thermal expansion coefficient to that of silicon is of great importance. If there exists a sizable mismatch, a bonded component can be destroyed by the appearance of high shear forces upon thermal loading. When designing power electronics with a high amount of heat dissipation, ceramics with a high heat conductivity are needed. In this respect beryllium oxide (BeO) is outstanding. It is, however, technologically unpopular because of the toxicity of beryllium oxide dust. Aluminum nitride could be a replacement which has a heat conductivity near to beryllium oxide. However, aluminum nitride (AlN) as a non-oxide ceramic, has the disadvantage, that for the screen printing process special printing pastes have to be applied for firing in a reducing atmosphere. In Table 3.4-1, the electric and thermal properties of standard ceramics are listed and compared with the properties of silicon.
Table 3.4-1 Some parameters of common ceramics compared to silicon Silicon
Dielectric constant Thermal coefficient of expansion [ 10-7/K] Thermal conductivity [W/mK]
11.9 23.3 157
A1203
9.5 75
20
Be0
7.0 85
230
AlN
10.0 34 150
Polymers The atomic building blocks of current plastics or polymers are limited to relatively few elements. The majority consists of the elements hydrogen and carbon. The next most often occurring element is oxygen followed to a much lesser degree by the elements chlorine, fluorine and others which are specific for each polymer. Plastics or polymers are materials which consist of macromolecules made up of organic groups and are obtained by chemical synthesis. Their molecular weight is between 8 000 and 6 000 000 g/mol [Spex92]. Polymeric macromolecules consist of many monomer units. The properties of the polymers show no detectable change, if one either adds or subtracts only a
3.4 Materials of Microsystems Technology
85
few monomers. It is different with so-called oligomers, which consist of a few (ohiyoo = a few) monomers (10-20). The change in the degree of polymerization by 1 has the consequence of a detectable change of the chemical and physical properties. A large polymer molecule is made up of many monomer-"building blocks", in which dangling bonds stick out of at least two sides in order to be able to form a continuous chain. Depending on the monomer and initiation mechanism, different polymerization processes can be carried out. The two most important processes are : Addition polymerization as the chain reaction. One understands this as the joining of many monomers to give macromolecules in a chain reaction without cleavage of low molecular weight fragments. 0
Condensation polymerization. Generally two different monomers are connected to each other by a cleavage of low molecular weight material.
The Physical Behavior of ~ a c r o ~ o l e c ~ l e s Polymers can exist as amorphous or semicrystalline materials (Fig. 3.4-2). Amorphous polymers are described by a coil model. The macromolecules are looped spaghetti-like into each other. Semicrystalline polymers are described by a twophase model containing amorphous and crystalline regions. The crystals can only exist in two basic forms, i. e. lamellar or needle-like crystals. The form depends on the conditions of preparation. The molecules which appear on the sur-
I
a
b
Fig. 3.4-2 Solid state phases of polymers,
a) amorphous phase, b) lamellar crystal,
c) needle crystal.
C
86
3 The Physical and Chemical Basics in Microtechnology
face of the crystal form the amorphous phase. The inter-crystalline areas are characterized by chain ends, connecting molecules, regular or loose loops, as well as entwinements. Polymers exhibit different phases depending on the temperature. In general four zones can be distinguished: the the the the
0 0 0 0
glass region, softening or plastic range, viscous region (for amorphous polymers), melting region (for semi crystalline polymers).
The softening region is associated with the glass transition temperature T,, the melting region with the melting temperature T, (Fig. 3.4-3). In the glass region the molecules are frozen in. It consists of a solid with known short range order. Only local oscillations and rotations of molecular groups are possible. The glass transition temperature TG is one of the most important parameters to characterize polymers. Many physical and mechanical properties undergo specific changes at the glass transition temperature. This is due to the cooperative motion of molecular chains in the softening region. By determination of these parameters it is possible to make statements about a series of physical, chemical and morphological effects. For injection molding or hot embossing it is desirable to have a small transition region and a well defined glass transition temperature TG.For injection molding the polymer has to be heated well beyond TG to fill the molding tool completely. To eject the microstructure the polymer has to be cooled below TG. This temperature cycling around TG is the major rate determining parameter in the mass fabrication of molded microstructures, since the thermal mass of the molding tool is
Duroplast W
.u) : *
I I
Semi-crystalline thermoplast ..-..\..-.. AmorDhous themoplast .-..I..-
P
Temperature T
TG
TG
TG TM
Fig. 3.4-3 The thermal regions of polymers.
oi'the compcm n t s
3.4 Materials of Microsystems Technology
87
usually large. Therefore it is desirable to have a small “thermal swing” to speed up production.
Materials for Sensor Applications
Ceramics for Utilizing the Piezoelectric Effect The piezoelectric effect is commonly used for sensors and actuators alike. This effect appears with materials which are not isosymmetric, such that a mechanical stress results which causes a polarization due to a non-symmetrical charge distribution. In Fig. 3.4-4 a simple model of a quartz single crystal shows the piezoelectric effect, when a force is applied to the crystal body. With externally applied electrodes on a test body, an electric voltage can be detected, which is a measure of the mechanical stress in the body. Conversely an applied field causes a mechanical distortion. In the simple one-dimensional case the piezoelectrical equation is:
P=Zd
+ q , E X ; e = Z s + Ed
(3.42)
where P is the polarization, Z the mechanical stress, d the piezoelectric coefficient, E the electric field, X the dielectric susceptibility, e the elastic extension and s the elasticity modulus. These equations describe the development of an electric polarization on applying a mechanical stress and vice versa the development of an elastic extension by applying an elastic field. The general definition of the piezoelectric coefficient is : (3.43) where i = x, y, z and k
= xx, yy, zz, yz, a,xy.
++
Fig. 3.4-4 Model of a quartz crystal and the mechanism of the piezoelectric effect. Mechanical stress stimulates electrical charges at the outside of the crystal and vice versa.
88
3 The Physical and Chemical Basics in Microtechnology
The piezoelectric coefficient constitutes a tensor of third order. For quartz d is cmlVolt and for barium titanate in the order of cdVolt. A similarly diversely used material is lead zirconate-lead titanate (PZT). The sensitivity of piezo raw materials for use as sensors or actuators is characterized by the electromechanical coupling factor k stored mechanical energy stored electricsl ernergy
Ceramics as Material for Gas Sensors The multitude of ceramic sensors does not allow an in depth consideration of this topic in this book, therefore only some particularly common applications are singled out and briefly discussed. For a more comprehensive review the reader should become familiar with the technical literature [Kitt88]. With semiconductor sensors, the change of the electrical resistance is evaluated as affected by the experimental parameters. With metal oxide sensors, the experimental parameter is the surrounding gas atmosphere. An example of this is the SnO, sensor, which changes its resistance depending on the concentration of a series of gases. The resistance changes with the number of conduction electrons on the surface, which in turn is dependent on the number of adsorbed foreign gas atoms. A frequently used material is barium titanate BaTiO,. The number of conduction electrons is varied on the surface by reversible oxidation or reduction. Sensors with solid ionic conduction are wide spread. All sensing probes for the control of combustion gases of vehicle motors (lambda-probes) depend on this. Doped zirconium oxide ZrOz is used as such a material. The sensor consists of finger-like sensor bodies, onto which inner and outer platinum electrodes are attached. If there is a different oxygen partial pressure between the inner space of the finger and the outer space, then a voltage will develop between the electrodes, which is strongly non-linear. With a ratio of air to combustible gas of about 14 (A = 1) the voltage jumps by about a factor of ten. This jump of the potential is a precise measurement of the mixture of combustibles and thereby can be utilized for the combustion with minimal harmful exhaust gases.
Liquid Crystals Liquid crystals can be considered as a kind of a material which can be utilized as sensor and partially even as actuators. As a display it is vital for communication with the outside world. Therefore a general introduction into liquid crystal technology is provided in the following section. In 1888 the Austrian botanist Friedrich Reinitzer discovered organic substances, which possess between the solid crystalline and the liquid isotropic aggregate state a liquid crystalline phase, a so-called mesophase.
3.4 Materials of Microsystems Technology
a
89
b
C
Fig. 3.4-5
Liquid crystals and their different crystallographic morphologies: a) nematic, b) smectic, c) smectic C, and d) cholesteric.
Liquid crystal phases are typically formed from long, rod-like organic molecules. In the nematic phase the long-range molecular axes are aligned parallel, whilst the center of gravity of the molecules are randomly distributed in space (Fig. 3.4-5a). On cooling down the so-called nematic phase or from the isotropic liquid phase directly, different so-called smectic phases appear, in which the molecules in addition are ordered in layers (Fig. 3.4-5b). Different smectic phases are characterized by the ordering of the molecular center of gravity within the layers and with respect to their correlation of layer to layer. Besides the so-called orthogonal smectic phases, in which the long molecular axes on average are ordered perpendicularly to the layers, there exists also inclined smectic phases, in which the long molecular axes are at a sloping angle to the layer. A technically important variant is the smectic C phase shown in Fig. 3.4-5c. Another technically interesting phase is the cholesteric state (Fig. 3.4-Sd). The various orientations and alignments of the molecules in the liquid crystalline phases lead to a more or less unique dependency of the physical properties on direction. The optical anisotropy leads to a refractive index of light. In the liquid crystal, incident light is split into two rays, whose light vectors oscillate at right angles to one another and in general propagate with different speeds in the liquid crystal. On emergence of light from the liquid crystal, both beams, which are now Out of phase, reunite.
90
3 The Physical and Chemical Basics in Microtechnology
Because of the anisotropic dielectric properties, the liquid crystal molecules try to align parallel or perpendicular to an externally applied electric field, depending on whether the largest dielectric moment is parallel to the long molecular axes or perpendicular to it. This mechanism is used for the switching of conventional nematic liquid crystal cells. The appearance of liquid crystalline alignment is found relatively frequently with small molecular compounds and was discovered first with low molecular weight materials. It appears with substances which are made of stiff rod-like molecules, which line up with each other and align parallel in the liquid phase. Molecules which align to give mesomorphic structures are called mesogens.
Materials for Actuator Applications
The Piezoelectricity as Actuator Principle The utilization of the piezoelectricity as an actuator principle is widespread in microsystem technology. Some selected applications should be mentioned as exemplary. The scan mechanism in AFMs (atomic force microscopes) works exclusively on piezoelectric actuators, mostly in the so-called inchworm-motion, as seen in Fig. 3.4-6. In many applications the buckling of a membrane is utilized for pumping a fluid in micropumps. Since the actual motion of single piezoactuators is only in the range of some micrometers, this pumping principle is only suitable to pump non-compressible media, since pumping a gas would need a higher compression ratio, and therefore a larger motion of the actuator. With stacks of
“Louse”
Tunneling tip unit
[------L----l-
Dielectric insulation
Vibration absorbing base plates
Fig. 3.4-6 Schematic view of a scanning tunneling microscope including a “louse” and the scanning tunneling tip unit 011 a low-vibration base plate. The louse carries the samle and is used for the rough approach. It is set into motion by alternatingly clamping the three feet of the louse to the base plate by appropriate voltages V F IVF2, , and VF3against V,,,, and appropiate contractions/expansions of the piezoelectric plate.
3.4 Materials of Microsystems Technology
91
Fig. 3.4-7 An example of a piezoelectric actuator. Due to the fact, that the displacement is very small, mechanical amplifiers or pantographs are used.
Fig. 3.4-8 An ultrasonic micromotor operated with piezoelectric actuators.
piezoactuators larger displacements are possible, but this set-up is complicated and costly. With mechanical amplifiers (pantographs) larger displacements are achievable too. A general principle is sketched in Fig. 3.4-7. Many derivatives of this basic design are presented in the literature. A further principle is used in micromotors by generating mechanical transient waves in rotor discs in combination with frictional counter plates as seen in Fig. 3.4-8. Very simple motors with low rotational speed without a reduction gear and relatively high torque can be realized. The arrangement of piezoelectric actuators in arrays is seen in Fig. 3.4-9. Each column is a single piezoelectric actuator. If they are controlled individually, the array can be operated as a so-called phased array. Without any moving part, this array can act as a kind of ultrasonic scanner or radar.
92
3 The Physical and Chemical Basics in Microtechnology
Fig. 3.4-9 Raster electron micrograph of an piezoelectric actuator array. The array is fabricated with the lost form arrangement. The slurry is cast into the negative form of the array and after drying is fired to the final array. The polymer cast is burned off during the firing process.
Magnetostrictive Metals
A ferromagnetic material in its normal state consists of a multitude of individual domains, in which each domain is magnetized spontaneously in an arbitrary direction. The ensemble of these domains in the bulk, also called Weiss regions, are oriented such that they occupy an energy minimum, or in other words, all magnetization directions of the various domains compensate each other so that the magnetization disappears with respect to the exterior (Fig. 3.4-10). If now an external magnetic field is applied, with increasing field strength the Weiss domains flip to the direction of the outer field vector so that the resulting magnetization of the solid body is aligned antiparallel to the external
Low external field
High external field
t
Fig. 3.4-10 Magnetization of a ferromagnetic body a) without an external field, b) with a weak external field, c) with a strong external field.
3.4 Materials of Microsystems Technology
93
field. On magnetization a dimensional change of the solid body occurs, which generally lies in the region of less than lop6. The relative change in length /1 = AL/L is called magnetostriction. In this connection there are different cases which must recognized. The change in length parallel to magnetization, is called the “Joule magnetostriction”. Besides that, there is also a transversal magnetostriction, which amounts to only a fraction of the Joule magnetostriction. The saturation magnetostriction decreases with increasing temperature, up to the Curie-temperature, where it completely disappears. The magnetostriction is an effect, which can be used for microactuators, because it generates large forces with small changes in length. For certain applications in underwater sonars, materials were developed with an extremely high coefficient of magnetostriction like, for instance, Terfenol-D, Tb,Dy,_xFe,, where x is between 0.27 and 0.30 and y is between 1.90 and 1.98, with a value which exceeds that of nickel by a factor of 500. In Fig. 3.4-11 the magnetostriction for the new rare-earth-magnets is compared with the values for Permalloy and nickel.
2000
1500
E E
\
7
e
1000
I
4
500
0-
0
250
500
750 1000 1250 1500
H [kA/m] Fig. 3.4-11 The magnetostriction coefficient for different materials as a function of an
applied field.
94
3 The Physical and Chemical Basics in Microtechnology
The calculation of the extension /Imag depending on the applied magnetic field H , is very complex; it will therefore be avoided and the reader is referred to the relevant literature [Bozo68], [ClarSO]. To give only an idea of the calculation, the energy balance of the individual energy terms is established, whereby:
E=Eo
+ E, + Erne + Eel
(3.44)
The individual terms have the following meaning: 0 0
0 0
Eo is the magnetic energy density, independent of the magnetization direction. Ea is the anisotropy-energy density. For different crystallographic directions the anisotropy energy is different. There are magnetically preferred alignments, which can be “easily” magnetized and some which are “difficult” to magnetize, which require a high magnetic field strength in order to attain saturation and the associated expansion. The difference between the so-called “easy” and “hard” direction magnetization can be considerable. Therefore with the so-called pseudobinary compound of the form MFel,9 (e. g. Terfenol-D) different components are combined, in order to achieve a partial compensation of the different anisotropy constants (that is reflected in the stoichiometric formula (Ml)x(M2)l - x h .9>. The anisotropy energy can be described for cubic crystals by anisotropy coefficients KO,K1 and K2. Erneis the magnetoelastic energy density, and Eelis the elastic energy density.
To calculate the desired relation /Imag (H), the energy balance must be selected, whereby the magnetic excitation and the mechanical stress are regarded as independent quantities and the direction cosine aibetween the magnetic field direction and the measuring direction and the extensions /IG are considered as dependent variables. Applications of Magnetostriction
An interesting application of the magnetostrictive effect in microsystems technology can be observed in a linear motor, which was introduced by Kiesewetter and Huang [KiesSS]. This motor makes use of the so-called inch-worm principle, which has also gained already numerous applications in microsystem technology in other designs and using other actuator principles. One starts with the assumption that the volume of a magnetostrictive rod in the first approximation does not change under the influence of an external magnetic field when: (3.45)
3.4 Muterials of Microsystems Technology
95
Then :
AV Ad A1 -=2.-+-=0 1 V d
(3.46)
and for the relative change: Ad A1 - -_ -d 21
(3.47)
A Terfenol-rod is mounted into a metal shell in such a way, that in the nonexcited state it is clamped along its whole length in the shell. This shell is now inserted into an array of coils, as can be seen in Fig. 3.4-12. If these coils are supplied with current in a particular sequence, then the Terfenol-rod elongates because of the magnetostriction in sections and moves through the shell opposite to the direction of the magnetic field. With one process run, a rod has a covered distance of
LA1 AS=-
(3.48)
1
Da
=+------Coil +T -ere fnol =+Tube
As
(off) rod
Stepwidth
Fig. 3.4-12 A magnetostrictive linear inch-worm-motor.
96
3 The Physical and Chevnical Basics in Microtechnology
(where L is the axial extension of the length of each applied magnetic field section). Meanwhile this principle has been further developed in other driving gears [Akut89].
Shape Memory Metals There are certain metal alloys, which on heating possess the ability to transform back to an earlier form, which was imprinted in its earlier history [Stoc89], [Taut%]. The changes in form of the so-called “shape memory metals” depend on a certain temperature, above which mechanical and thermal changes occur in the crystal structure of these metals. Above this critical temperature an austenite lattice structure is in existence, consequently the metal is hard and has a high strength. Below the transformation temperature a martensite lattice structure is adopted and the metal is soft and ductile. In principle, one must distinguish between the so-called “one-way effect” and the “two-way effect”. With the “one-way effect” the alloy takes on its earlier form only on exceeding the critical temperature and retains this form even after falling below this critical temperature again. With the “two-way effect” the alloy, depending on whether the temperature lies above or below the critical value, can take on two different forms where this shape transformation can occur frequently, as a result, the possible shape changes with the “one-way effect” are always larger than with the “two-way effect”. Until now Ni-Ti, Cu-Zn-A1 and Cu-Al-Ni alloys are used for practical applications. Depending on the alloy system the critical temperature can lie between -150°C and +150°C, so that a wide spectrum of applications are available.
One-way Effect The form changes, which occur with memory metals, are schematically shown in Fig. 3.4-13: Above the critical temperature, i.e. in the austenitic state, the alloy takes up form A. On cooling below the critical temperature (TAs) the material changes to the martensitic crystal lattice (“zig-zag alignment” of the lattice atoms) without changing the outside shape. At this temperature the alloy can be easily converted and shaped for example into form B. The degree of deformation should however not be too large, so that only a reversible martensitic deformation occurs e. g. shifting of twin boundaries in the crystal lattice. A too large deformation would cause dislocations and therefore a “memory loss”. On heating no shape change occurs, as long as the temperature remains clearly below TAs. If the temperature is raised above the critical value TAs, then the martensite lattice transforms into the austenite lattice and the original form A appears again. The total change of form A to form B is carried out within a relatively small temperature region of about 10 to 20°C. On re-cooling to below the critical temperature T,, the shape does not change anymore, although the martensitic crystal structure reforms.
3.4 Materials of Microsystems Technology Austenite
a
E 0
Martensite
Austenite
Martensite
a
a
5
U.
E
U.
U
97
- --
T>A,
TA,
Annealing
TCA,
Cooling
Fig. 3.4-13 The one-way shape memory effect. At a temperature of A, the crystallographic phase transition from martensite to austenite is completed, as can be seen in diagram 3.4-15.
Two-wayEffect For the “two-way effect” in which the material “remembers” a high temperature - as well as a low temperature shape, special mechanical and thermal material processing is required. For example the “two-way effect” can result from a strong deformation in the martensitic phase, so that an irreversible component remains, as is schematically represented in Fig. 3.4-14. It is also possible “to train” the martensitic form by repeating a small deformation in the martensitic phase with subsequent heating. With Ti-Ni alloys with a nickel content of over 50 % a two-step transformation can be seen instead of the single step austenite-martensite transformation. On cooling the austenitic phase first forms a pre-martensitic phase, which is called the rhombohedra1 or R-phase. Only on further cooling, the martensitic phase appears. If the sample in the martensitic phase is shaped and in this phase subjected to aging, then lens-shaped Ti,Ni, deposits form, which show a preferred orientation in the region of the deformation. Furthermore the aging brings about a transformation from the single step martensite transformation to the two stepped transformation austenite-R-Phase-martensite. Thereby preferential variations of the R-phase or the martensitic phase are formed and the alloy “remembers” also a low temperature form. A typical temperature-path-characteristic line for an element with the “two-way effect” is schematically represented in Fig. 3.4-15. On heating, the form change takes place at the so-called A, temperature and is completed at the temperature TAf,whereby this temperature interval is relatively small, typically 10-20 “C. With a drop in temperature the form change starts first at a temperature TMs,
98
3 The Physical and Chemical Basics in Microtechnology
Austenite
Martensite
Austenite
a
m
E
0 U
E
U
warm
cold
Martensite
warm
t------,
cold
Fig. 3.4-14 The two-way-effect.
Temperature
Fig. 3.4-15 The typical displacement-temperature characteristics of a two-way element.
which is lower than TAfi. e. the temperature-path-characteristic line shows a hysteresis, which amounts to approximately 10 "C to 30 "C depending on the alloy. The low temperature form is then completed at the temperature TMf.
Suppressed Shape Memory If a part deformed in the martensitic phase is prevented from taking on its original (austenitic) configuration, then one refers to this as suppressed shape memory. Such a component can develop a very large force. The stress-expansion-characteristic line is schematically represented for such a case in Fig. 3.4-16, where an example was chosen, which has found a wide field of technical applications. A ring made of Ti-Ni alloy in the austenitic phase is produced e. g. by a metal-cutting processing,
3.4 Materials of Microsystems Technology
99
Cooling + elongation
n Heating and shrink on
Elongation
Fig. 3.4-16 Stress-elongation characteristics with suppressed memory effect. This is used to shrink tubes onto rods as for very tight connections.
with an inner diameter smaller than the axle over which it is to be pushed later. After cooling below the temperature TMf,the ring now in the martensitic state is expanded until the inner diameter is larger than the diameter of the axle. In this cooled state the ring can easily be pushed over the axle. On heating, the ring passes into the austenitic state and endeavors to take on its earlier form again, i. e. it shrinks until it comes into contact with the axle. As another form change is suppressed, a relatively large stress is built up, which leads to a solid bond between the axle and the ring. Compared with the form changes which result from metals, due to the thermal expansion coefficient (the shrinkage of “hot” rings on an axle is well established), the form changes of shape memory metals are more than two orders of magnitude higher (see Table 3.4-2). Table 3.4-2 Properties of technically applicable shape memory metals
Electrical conductivity [ 106 S/m]. Max. As-temperature [” C] Max. one-way effect (extension [%I) Max. two-way effect (extension [%I) Breaking elongation [%I
Ti-Ni
Cu-Zn-A1
Cu-Al-Ni
1-1.5 120 8 5 40-50
8-13 120 4 1 10-15
7-9 170 5 1.2 5-6
3 The Physical and Chemical Basics in Microtechnology
100
Application as Actuators In principle shape memory alloys can be used as actuators i. e. as controlling elements. A Ni-Ti spring has a relatively steep force-displacement characteristic-line in the austenitic phase (high temperature) and is extended by the force KO to a length LM. In the martensitic phase the characteristic line is considerably flatter and the spring can be extended by the force KOto a length LM,which is considerably larger than LA. This is schematically represented in Fig. 3.4-17 using the force-path-characteristics line. On exceeding the critical temperature TAP,the spring pulls together and is therefore in the position to perform work, whilst on falling below the critical temperature TMf,the spring again extends. Because of the high electrical resistance of Ni-Ti alloy, direct heating can also be accomplished by the passage of an electrical current. Thereby it is possible to drive relatively simply constructed actuators with the aid o f electrical signals or currents.
Properties of Shape Memory Alloys Shape memory alloys can be produced by vacuum melting, powder metallurgical processes, fusion spin processes and for thin layers by PVD processes (physical vapor deposition, see Section 4.3) [Walk90]. This presents many opportunities to use shape memory metals as microactuators. Some essential properties of shape memory alloys are summarized in Table 3.4-2. One can deduce from this table that Ni-Ti alloys possess the largest one-way and two-way effect and that the two-way effect is roughly lower by a factor 2 to 4 than the one-way effect. The maximum temperature T,, lies between 120°C and 170°C and depends greatly on the composition of the alloy. For example a change of composition o f 0.1 % can already lead to a shift of TAs by 10°C.
,Austenite al
2 0
U
KO
y /
! LA
T< Mf
f
LM
b
4
Displacement
Fig. 3.4-17 Force-displacement characteristics of a spiral spring in the martensite and the austenite phase.
3.4 Materials of Microsystems Technology
101
Gels A class of polymers with special potential for applications in microactuator technologies are the gels. Actuator principles like magnetostriction, thermal expansion and the “shape memory” effect vary in dimensions, of the order of only a fraction of a percent. It is different with gels, which frequently occur in nature and form the basis of many biologically active systems (i.e. sea cucumber). Also in microsystems technology it should be possible to build up actuators with reversible swelling gels which show a relatively large degree of conversion into mechanical energy. A gel consists of at least two components, a liquid form and a network of long polymer molecules, which can be either constrained to or alternately released from the liquid state. The individual molecular chains exist as polymer coils. One can imagine the whole gel as a pile of spaghetti. If one were to pull one strand of spaghetti only, it would be loosened from the whole pile. However, if one were to pull several strands simultaneously and pull very hard, then the whole structure would move. It is understandable that the volume will increase, if the gel takes up solvent and will shrink when it gives the solvent up again. The solvent uptake and release can be accelerated and increased by many effects. If one brings a single polymer molecule into solution, it can either inflate or shrink. If a polymer chain has for instance hydrophilic links, then in aqueous solution it would try to bind to water molecules and at the same time to extend itself. The effect would be the opposite if the polymer was hydrophobic; in an aqueous solution the chain would coil in the solution i. e. the entire gel would shrink in the presence of water. The polymer chains under consideration can also contain electrically charged groups. In an insulating medium the polymer chain tries to extend itself as far as possible, in order to minimize the repulsion between the charged centers. The gels will extend themselves to the point where the opposing elastic forces of the polymer network just balance the repulsion forces. If one puts an electrolyte into the insulating solution, then the ions of the solution would neutralize the electrically charged centers of the polymer chain and the elastic force of the polymer would allow the gel to shrink again. Examples for reversible shrinkable gels and gels capable of swelling are: 0 0
0
polystyrole (with really low swell behavior), polyvinyl alcohol and its derivatives (good swell behavior), polyacrylate (very large volume change).
As an example for polyacrylates, the poly-N-isopropylacrylamide (NIPA) is important and is frequently cited in the literature, because it represents a thermoreversible gel with large volume changes. Furthermore the monomer can be easily synthesized. The activity of gels capable of swelling can be stimulated by 0
change of the pH value of the solutions,
102 0 0 0
3 The Physical and Chemical Basics in Microtechnology thermal effects, action of light and electrostatic interaction.
With thermal gels capable of swelling, the transformation temperature can be influenced by the introduction of dissociable groups (-COOH) in the polymer. An example of the degree of swelling of an ionized P-NIPA gel is shown in Fig. 3.4-18 [Hiro87]. The volume phase transformation of gels by the action of light is reported by [SUZU~O] and [Mama90]. On irradiation with ultraviolet light, parts of the polymer chain are ionized. This induces an osmotic pressure, which leads to a swelling of the gel. By switching off the light source, the gel neutralizes again and shrinks to its original size. With visible light the reversible swelling is initiated solely by a thermal effect. This system is quicker than the photoionic system and could for example find uses in the production of light sensitive switches and artificial muscles. The concanacalin A is interesting for sensoric tasks, whereby a lectin is immobilized in the NIPA gel [Koku91]. The volume-phase transformation of this gel occurs at 34°C. If the gel saccharide dextransulfate (DSS) is added, the gel responds with an increase in volume of five times near to the transformation temperature. However, if the DSS is replaced by saccharide-a-methyl-d-mannopyranoside, the gel volume can be brought back to its original value. Also other saccharides seem to show these stimulating effects on the gel. This shows the potential of using swelling gels not only as actuator materials but also as sensors.
50
A
I
40
2 a
CI
1I
Ea3 30
I?!
32 20 0.1
I
10 100 Degree of swelling WN*)
Fig. 3.4-18 The swelling effect of ionized P-NIPA.
1000
3.4 Materials of Microsystems Technology
103
Liquid Crystal Polymers Liquid crystal polymers (LCP) exist if mesogenic units are introduced in a suitable way into a polymer. These mesogens are, as with low molecular weight compounds, made up preferably of aromatic building blocks. There are two different construction principles, which differ greatly in their range of properties. In the socalled side chain LCPs, mesogenic units are attached by flexible spacers to a flexible polymer chain. In contrast to the main chain LCPs, the mesogenic units are building blocks of the polymer chain, which in itself becomes stiff and rod-like due to the addition of stiff, rod-like mesogens. The mechanical, thermal and rheological properties of side chain LCPs corresponds well to those of conventional polymers. The mesogenic side chains provide additional optical and electric properties, which are comparable to those of low molecular weight liquid crystals. By the attachment of the mesogen to the main chain, the movement of the mesogens is limited. Nevertheless, on cooling, information which was “registered” in the liquid state, can be frozen in. The side chain LCPs are therefore of interest for optical storage media, which are able to store enormous amounts of data in the tiniest space. From the knowledge of the molecular parameters such as bond angle and bonding force constants, the theoretical maximum attainable durability and stiffness of a polymer can be calculated. For polymers, whose chains are linearly extended and packed parallel and densely, values are obtained which lie much higher than those that are actually measured for the polymer sample. Therefore the calculated tensile strength of linearly extended polyethylene exceeds that of steel, also the stiffness is greater. In conventionally processed polyethylene, the molecules are however not continuously linearly extended, but coil in the amorphous regions, so that polyethylene possesses a stiffness and tensile strength that is far below the theoretical maximum. It was therefore reasonable to synthesize polymers which are stiff due to their molecular structure, that they do not form a coil or ball, but also exist in the liquid state as stiff rods. This was achieved with the main chain LCPs. They are assembled from stiff mesogenic units and thus become themselves mesogenic. Like matches in a box, they align parallel in the liquid state and form liquid crystalline regions. The advantages of thermotropic main chain LCPs compared with conventional polymers result from an unusual combination of physical properties, which lead to a particular class of high performance plastics: 0 0 0 0
very high very high very high very low ceramic.
tensile strength, Young’s modulus, impact strength, thermal expansion coefficient, comparable to that of steel and
As the main chain LCPs essentially consist of aromatic building blocks, they possess in addition: 0 0
high chemical resistance and inherent flame resistance.
Materials for Auxiliary Applications
Polymers for Lithography Another group of materials gains importance in microsystems technology due to their ability to be patterned by subjecting them to the effect of photons or electrically charged particles: the group known as resists. An important polymer for microsystems technology, especially the LIGA technology (see Chapter 7), is polymethylmethacrylate, abbreviated to PMMA, whose monomer structure is shown in Fig. 3.4-19. The monomer has a molecular weight of 100 g/mol, as can be easily calculated. The polymer can form chains of several 1000 units and reaches molecular weights of 100000 g/mol. The chemical and physical properties of the polymer, for instance the solubility in certain “developers”, depends greatly on the molecular weight. The bonds, which lead to a polymer chain can be broken by means of high energy radiation. PMMA is therefore sensitive to such radiation in the region of /1 = 1 nrn and shorter or also to electron irradiation of 20 keVor higher. Therefore, for these energy regions, PMMA and similar polymers can be used as a “resist”. The meaning of resist in microtechnology is described in Chapter 5 , therefore here a brief explanation should suffice: The surface of a production part is covered with the polymer. Subsequently the structural features of a
Fig. 3.4-19 The structure of polymethylmethacrylate.
3.4 Muterials of Microsystems Technology
105
mask are transferred into the polymer layer by means of irradiating a suitable mask with a parallel beam of photons. In the irradiated regions the molecular weight of the polymer is reduced by chain scission and consequently the solubility is raised. After treatment with a solvent a relief image exists on the surface, which corresponds to the absorber structure of the mask. Under the presumptions that the insoluble structure of the remaining polymer protects the underlying surface and so is “resistant” to a subsequently used etchant (hence the name resist), the surface of the production part can be etched in a pattern. However, the wide application of PMMA is based on another property. The polymer is also best suited for shaping via injection molding. So pre-formed PMMA layers can be exposed again, in order to form several structural layers. However, in doing this compromises must be made with respect to the optimal molecular weight, as PMMA which was optimized as a resist does not simultaneously supply the best results with injection molding. In contrast to the above described “X-ray resist” there are numerous “photoresists”, which are used in optical lithography with light wavelengths between 193 and 400 nm. The mechanism of illumination is basically different. The positive resist consists of a resin in which an inhibitor, mostly diazonaphthochinone, is incorporated. This inhibits the rate of dissolution in a developer. On illumination, the inhibitor is neutralized and the rate of dissolution is drastically increased. With positive resists, the illuminated areas are preferentially dissolved in the developer. In contrast, with negative resists, an additional duroplastic cross-linking takes place on illumination which considerably reduces the rate of dissolution. With negative resists the non-illuminated parts of the resist are dissolved out, whilst the illuminated areas remain.
Electrorheological Fluids With electrorheological fluids the influence of an electric field changes their viscosity. This change of the viscosity can occur up to solidification of the liquid. After switching the field, the liquid goes back into the low viscosity state. This effect was already discovered and patented by Willis M. Winslow in 1942. There are basically two types of electrorheological or ER fluids: 0
the disperse ER fluids
and the 0
homogeneous ER fluids.
In the case of the disperse fluids, colloidal suspensions of semi-conducting particles in a dielectric fluid are used. Mineral oils, chlorinated hydrocarbons and hydrocarbonates are used as liquid components whilst the solid components consist
106
3 The Physicul and Chemical Basics in Microtechnology
a
b
c
Fig. 3.4-20 The electro-rheological effect.
of the finest powders of aluminum oxide, iron oxide, gypsum, cellulose, gelatin and other materials. Although the properties of ER fluids provoke in particular technical applications, over the course of the last decades this class of materials could not maintain its hold on the market. This was mainly due to the lack of durability of ER fluids. The particles have a tendency on the one hand to coagulate and on the other to sediment. In both cases the rheological effect disappears. In order to avoid this coagulation, tensids are mixed into the fluid. Basically a new situation has arisen with the development of homogeneous ER fluids. All ER fluids, that are known today, belong to the group of liquid crystals. As was described in the previous section, liquid crystals are made of polar polymer chains, which can align in an electric field and depending on the type, take up a crystalline phase. There exists not only a structural anisotropy in the ordered phase as well as optical anisotropy, which is used for displays, but also a mechanical and fluidic anisotropy. Depending on the degree of order, the viscosity of the fluid can be changed. Under the condition that liquid crystals undergo no change during the process (are cracked), no aging will occur, in contrast to disperse ER fluids. The electrorheological effect as an example of a disperse ER fluid, is shown Fig. 3.4-20. One distinguishes between two modes, the shear mode and the flow-mode. On applying a voltage between the two plates, the viscosity of the fluid changes and shows an increased resistance against the shear movement of the electrode plates or against the flow of the liquid parallel to the plates. In Fig. 3.4-21 the results of the viscosity measurements are given, which were obtained in an oscillating disc viscosimeter [Mori95]. The increase differs depending on the oscillation frequency. The change of the viscosity starts for instance with a field of 100 V/cm and achieves a saturation at 1500 V/cm with an oscillation frequency of about 300 s-'. Homogenous ER fluids could offer a wide range of applications in microsystems technology. So valves, pumps, switches and other microactuators without
3.4 Materials of Microsystems Technology
107
0.08
0.06
0.04
0.02
0
0
500
1000
1500
2000
2500
Electrical field (Wmm)
Fig. 3.4-21 The measurement of the viscosity on electro-rheological fluids.
mechanically moveable parts could be developed. The development is still in the early stage. The future will show, whether electrorheological fluids could form an alternative for mechanical components. If one subjects an LCP melt to a sheer- or extensional flow, as is the case e. g. with injection molding or extrusion, the mesomorphic domains are oriented in the flow direction. Oriented fibers exist in one direction from parallel rod-like molecules. On cooling this orientation is frozen in and remains in the solid state. Because the polymer is then reinforced with fibers from the same material, the thermotropic main chain LCPs are also called self-reinforcing polymers.
This Page Intentionally Left Blank
4 Basic Technologies in MEMS
4.1 Basic Principles of Vacuum Technology All processes to produce and characterize thin films, as well as a large part of the structuring processes, are carried out in vacuum. Therefore, the concept of the vacuum will now be explained. The word “vacuum” originates from Latin and means the ideal case of a material free space, which does not exist in reality. In reality vacuum means a volume with a significantly reduced pressure compared to the standard conditions of air pressure at sea level. The pressure decreases with increasing elevation. The air which surrounds us, like everything on earth, is influenced by gravity. If one imagines a cylinder over a unit area at sea level and if the pressure p o at this level is known, then the pressure distribution can be determined in the cylinder dependent on the height h. The corresponding formula is known as barometric formula:
where
m = mass of the air at a height h = 0 in a volume Vo and at a pressure po, h = altitude above sea level, g = gravity of the earth. This formula can be derived from the equilibrium of the downward flow of the particles under the influence of the gravity: j,,,
= -lumgn(h)
with y the mobility of the particles and n(h) the particle density (dependent on the height), and the upward flow due to diffusion (Fick’s law):
(4.2)
110
4 Basic Technologies in MEMS
+
The solution of the differential equation j,,,, jdi,= 0 finally leads to the barometric equation (4.1). If the standard values are inserted, then the following approximation formula is obtained: h
p = p0e-X
(4.4)
in which the height h is measured in km. As can be easily calculated, the “half value” for the pressure corresponds to h,,, = 5.5 km. In Table 4.1-1 some values of prominent locations are listed. Since the barometric formula is only an approximation (g is considered as a constant), it is not valid for heights beyond the stratosphere. To produce a vacuum on the earth’s surface or in the laboratory, as it only exists at great heights or in space, the pressure of the gas or the density of the gas molecules in a container must be reduced. This is performed with vacuum pumps that either pump the gas molecules out of the container or alternately adsorb the gas molecules onto a surface.
Table 4.1-1 Some pressure values for characteristic locations or conditions
Air pressure at sea level Variations due to weather CN tower Toronto Summit of Mount Blanc Summit of Mount Everest Space shuttle Geo-stationary satellite
* these values are not described
Height [m]
Pressure [mbar]
0
1013 930-1070 933 560 350 1 . lo4* 1 . 10-’0*
553 4807 8848 250 . lo3 36,000 . lo3
anymore by the barometric formula
4.1.1 The Mean Free Path The gas atoms in a container move linearly in one direction because of thermal energy until they collide with either the container wall or another gas atom. The mean free path h is that distance which on average an atom can freely cover (i. e. without collision with another atom). Basically, the less gas particles there are, on average the further an atom can move linearly i. e. the larger is the mean free path. In a simplified model we consider the gas atom as hard “billiard
4.1 Basic Principles of Vacuum Technology
111
balls” which collide if they approach each other at a distance of Zr, where r is the radius of the ball and Zmis the impact parameter of the two balls touching each other. The suffix indicates the behavior of the balls at temperature T +a where the particles travel with very high speed in straight lines without considering the attraction of particles when approaching each other. At lower temperature the attraction comes into play and consequently the cross section of the particles increases. The conditions are represented in Fig. 4.1-1. The particle travelling at a distance A1 through a gas of density n will collide with any other parAl. The number of particles in this ticle, which is found in the cylinder AV = cylinder is AN = n .AV = n .Ern .A/.The mean free path would therefore be in this approximation: (4.5) However, using this formula we neglect the fact that all other particles are moving too. By considering this relative movement, the mean free path must be re4x2, duced by a factor 1 / 4 2 . As can be seen in Fig. 4.1-1 C , = xR2, : = 40, therefore we get for the mean free path:
a
b
C
Fig. 4.1-1 a) Cross section (J, of two atoms considered as hard spheres (at a temperature T -00). b) Two atoms travelling at T c) atoms travelling at lower temperature and considering attractive forces.
112
4 Basic Technologies in MEMS
If one also considers that the atoms in reality do not move independent of each other, but are attracted over large distances and therefore describe non-linear pathways, then another correction must be introduced. The pathway depends now on the speed of the particles and therefore on the temperature i? If R, is the collision radius at a temperature T + and RTthe collision radius at T, then the so-called Southerland correction is valid: R;
= R$
(1
+ Td/T).
(4.7)
Tdis the “doubling temperature”, i. e. at T = Td, gT= 20,. The corrected formula for the mean free path is therefore:
Some values for the average free path are given in Table 4.1-2. Table 4.1-2 Mean free path of molecules at different pressures ~~
Pressure
Air
Hydrogen
100 mbar (lo5 Pa) 1 nibar (10’ Pa) mbar (lo-’ Pa) mbar ( Pa) loA9mbar Pa)
6.10-6 cm
2 . W cm 2.10-~cm 20 cm 200 m
6.10-3 cm 6 cm 60 m 60 km
200 km
4.1.2 The Monolayer Time If gas atoms collide on a solid wall, then they remain there with a particular probability on the surface, i. e. they are adsorbed. In competition with that is the process of liberation of atoms off the surface, i. e. desorption. The undesired desorption at the walls of a vacuum container decreases the quality of the vacuum and increases the pumping time, which is necessary to evacuate a container. If the surface plane is covered as densely as possible with adsorbed gas atoms adjacent to one another then one refers to it as a monomolecular layer or a monolayer. At lower coverages one refers to a coverage 6:
6=-- ii
nmono
with ti = actual particle density at the surface, = particle density of a monolayer. and ,,it
(4.9)
4.1 Basic Principles of Vacuum Technology
113
We can also define a monotime, which is the time necessary in order to cover an initially free surface with a monolayer. The calculation will not be carried out here, but it can be derived for gases from the equation of state and the Maxwellian distribution of velocities: (4.10) with ma = atomic mass, NA = Avagadro’s constant, R = universal gas constant, Mmolar= molar mass. For nitrogen molecules with a radius a monolayer is then: fi,,
M
Y =
1.6. lop’’ m, the surface density of (4.11)
1Oi9m-2 = 10~pn1-~.
With this value for firno,,the monotime can be calculated:
-
nmono
. -,/-
tmono= 3,8 .
(4.12)
P with M, the relative molecular mass (generally air with M , in mp2, p in mbar and T in K.
=
29), t,,,
in s,
fiilnollo
If one inserts the values for air, then the approximation formula is as follows: 3,6 . tmono =
(4.13)
P
Some values for the monotime for air are listed in Table 4.1-3.
Table 4.1-3 Values for tmonoversus pressure (for air) Pressure (mbar)
1
10”
10-7
10-l1
mono (s)
3.6.
3.6.10-~
36
-100 h
114
4 Basic Technologies in MEMS
4.1.3 Velocity of Atoms and Molecules An important parameter for the fabrication of thin films is the velocity of the particles to be deposited. The velocity of an individual particle at a certain time to is technically of little interest since by collision and energy exchange the particles changes the velocity continually. Only the distribution of velocity of a large number of particles is of relevance. As can be seen in Fig. 4.1-2 the so-called Maxwellian distribution is quantified by the temperature. The maximum of the distribution curve defines the average velocity of the particles. With higher temperatures the distribution curve widens out and the average velocity increases. The average kinetic energy of a particle can be calculated in a first approximation by means of the relationship:
1 3 -mv2 = -kT 2 2
(4.14)
(4.15) Here the speed is averaged quadratically. With the more refined model of the Maxwellian distribution one gets: (4.16) m is the relative atomic mass, and M is the relative molecular mass.
Fig. 4.1-2 Maxwellian velocity distribution in dependence on temperature.
4.1 Basic Principles of Vacuum Technology
115
Inserting numerical values (T in K) leads to: (4.17) In Table 4.1-4 some values of average speed at room temperature are given.
Table 4.1-4 Thermal velocities of molecules at 20 "C (293 K) ~~
Type of gas
Rel. mole-cular mass
Average speed [ms-'1
H2 He H20
2 4 18 28 29 40 44
1755 1241 585 469 46 1 392 374
NZ Air Ar COZ
4.1.4 Gas Dynamics The state of a gas, i. e. pressure p , density p, temperature T, and velocity v, can be calculated by means of the gas dynamics. The theory looks at the gas as a compressible continuum. The limits of the application of this theory are given by the Knudsen number which is defined as:
A
Kn = D
(4.18)
with , I= the means free path of the molecules, and D = the relevant dimensions of the system under consideration.
D can be the diameter of a tube, the dimension of a vacuum pump or the typical dimension of a container. To apply the gas dynamical continuum theory, the Knudsen number should be Kn < 0.01, meaning the mean free path is considerably smaller than the dimension of the volume in question, or with other words, there are so many molecules that the behavior of a single molecule is statistically averaged out and the whole of the gas can be considered as a fluid. On the other hand for Kn > 0.5 the mean free path of the molecules is equal or larger than the dimensions of the container. The interaction with the container walls are predominant over the interaction of the molecules with each other. In this case the gas dynamics theory is replaced by the molecular flow theory. We
116
4 Basic Technologies in MEMS
will see the influence of the molecular flow when discussing the high vacuum pumps. To clarify the situation once more, a gas at a pressure of mbar may be treated as a molecular flow when enclosed in a container of SO 1 volume, but is a continuum under meteorologic conditions in the stratosphere. The region 0.5 > Kn > 0.01 is not well defined theoretically and is usually treated by extrapolation from either one of the defined regions.
4.1.5
The Classification of Technical Vacua
The technical vacuum is separated into different pressure regions. The distinct characteristics are the mean free path of gas molecules h and the monolayer time or monotime tmonoof the container walls. This classification is listed in Table 4.1-5.
Table 4.1-5 The classification of the technical vacuum region (b = container diameter) Pressure Region
Pressure [mbar]
Mean free path
Monotime [sl
Rough vacuum Fine vacuum High vacuum Ultrahigh vacuum
1013-1 1-10-~ 10-3-10-7
h b h >>b
T > l
m, can be calculated from the energy loss of the ions (mo)at a penetration depth d, from the energy loss on impact with a mass m, and from the energy loss on returning to the sample surface. From the energy variation of the primary ions, and the energy distribution of the back scattered ions, depth profiles of the atomic concentration for 2 > 1 can be determined in the region 0.1.. .10 pm from significant damage to the probe material. A definite advantage of the RBS method, which displays a detection limit of particle concenis that the analytical method delivers absolute values, whilst the tration of previous methods must be calibrated appropriately by standard samples.
4.7.8 Scanning Tunneling Microscope The tunneling current, excited by a sharp-tipped metal electrode close to the surface to be analyzed, controls the scanning tunneling microscope. This powerful instrument is able to resolve structures smaller than an atom. A very small, pointed electrode is made to approach the surface to be investigated, until a tunneling current starts to flow due to the applied voltage between them. This current depends exponentially on the tip-surface distance, as can be seen in the following formula:
(4.32) The height of the local barrier Q = F(x,y,d,u> is a function of the location x,y on the probe, the distance d between tip and probe and the applied voltage. The very precise positioning of the tip and the scanning of the tip across the surface is achieved by piezoelectric actuators. Due to this, and the characteristic of the tunneling current, distances of down to 10-” m (0.01 nm) can be controlled. By means of the STM, crystallographic orientations and even single atoms can be visualized and measured.
5 Lithography
5.1 Overview and History The lithography process is probably the most important step in microelectronics as well as in microsystem technology, regardless of whether silicon micromechanics, reactive ion etching or the LIGA process is considered [Wolf86, Elli86, More88, Beck861. Only with the aid of lithography, using light or corpuscular rays instead of mechanical tools was it possible to produce structures, whose critical dimensions lie within the sub-micrometer regions. Another characteristic feature of lithography is that by its use mass production becomes economical: many structures can be produced in parallel on one wafer, and many copies of a pre-designed structure can be transferred to the wafers without wear and tear. The word “lithography” is described in a dictionary (Collins English) as “a method of printing from a metal or stone surface on which the printing areas are not raised but made ink-receptive as opposed to ink-repellent. The characters are transferred onto the stone by crayon or ink. On filling in with fatty printing color only the drawing takes up the color”. The lithography as it is used in microelectronics and microsystem technology though has very little in common with this definition. The meaning here is the transfer of patterns developed on the computer onto the workpiece (wafer) by optical means. The basic processes involved with lithography are outlined in Fig. 5.1-1.
5.2 Resists The wafer is first covered with a thin layer of a photosensitive polymer. This is done by so-called spin coating. For this the wafer is first mounted onto a rotatable chuck and fixed by vacuum. Next to the center of the substrate to be coated (generally a round silicon wafer) with polymer, a drop of liquid coating is applied and the wafer is brought into rotation with a high peripheral velocity. Due to centri-
172
5 Lithography
Etching 1
f
J 2 Wafer
Exposure
~~~~~~~e~ resist
~ r ~ ~ e s s e ~ wafer
Fig. 5.1-1 The basic process of photolithography and subsequent fabrication steps.
fugal force the coating is spread very evenly across the wafer surface, and a very homogeneous layer can be produced. By increasing the speed of rotation the layer thickness decreases [Elli86]. This process of spin coating is used in the application of resist layers on the substrate for optical lithography and electron beam lithography as well, although the resist composition is different in both cases. In microelectronics, the final thickness after drying and pre-baking is only fractions of a micrometer, whereas in MEMS depending on the application, the thickness may amount of up to 100 pm. After the above processing the desired pattern is imaged onto the resist layer. During the lithographic process the resist changes its chemical properties, so that irradiated and non-irradiated regions have different solubility in a particular solvent or developer. In the subsequent development, the exposed areas are dissolved, whereas the non-exposed areas remain untouched. The pattern of the mask is now transferred into a chemical resistant stencil on the surface of the wafer. After the subsequent processes, such as etching, evaporation, or modification processes the resist is removed, leaving either an additive or subtractive pattern, or a pattern of a modified surface (i.e. oxidation or doping) on the wafer (Fig. 5.1-1). Since this polymer is resistant to subsequent processing steps, such as etching or oxidizing, it is therefore called “resist” or “photoresist”. Depending upon whether the irradiated or non-irradiated region is dissolved, it is possible to differentiate between positive- or negative resists. With a positive resist the polymer is changed such that the irradiated regions can be dissolved, whilst the non-irradiated regions remain unchanged. With a negative resist, the polymer becomes insoluble in the developer after radiation (Fig. 5.2-1). Positive resists are classified into single- and multi-component resists, depending on their reaction to the incident light or particle beam. Polymethyl methacry-
5.2 Resists
173
radiation
ddevelopmentb positiv-resis t
negativ-resist
Fig. 5.2-1 Positive and negative photoresist. By using positive resist the exposed areas are removed in the subsequent development process, whereas with negative resist the non-exposed areas are removed.
late (PMMA) is the typical single-component resist and is mainly used in X-ray or electron beam lithography. An example of a two-component resist is DQN (diazonaphtoquinone in a Novolak matrix), which is amongst the most frequently used photoresists in optical lithography. On irradiation of a mono-component resist, chain scission processes are induced in the long polymer chains so that the molecular weight of single-component resists decreases greatly. This can be a main chain scission process or can be initiated by a side chain cleavage [Ranb75]. An exact description of this process for PMMA in X-ray lithography can be found in Section 7.3. Two-component resists consist of a photoactive component and a basic polymer. In the case of DQN the polymer matrix is a Novolak resin (N), which can be dissolved in a basic solution. The photoactive component, which prevents the solvation of Novalak (solvent inhibitor) in its unmodified form, is made of diazonaphtoquinone (DQ). In the presence of light, DQ is converted by a so-called Wolff conversion, first into a carbene (by separating nitrogen), which is then transformed into a ketene (Fig. 5.2-2). On absorption of water the ketene is converted into an acid and so the resist is extremely hydrophilic and absorbs developer easily. On conversion of DQ into an acid the solvation of Novalak is no longer inhibited by a basic solvent [More88]. For most negative resists the decrease in solubility follows from a photoinduced polymerization or a crosslinking reaction. For some resists a change in polarity of the functional groups or the change in the degree of oxidation can give rise to the drop in solubility. In order to induce polymerization or crosslinking, a photosensitive component is attached to a polymer backbone, which on absorption of radiation changes into an excited state. This excited state is transferred to the polymer
174
5 Lithography Carben
Diazoquinon 0
0
II
" l o II
pap C-OH
R
R
lndencarbonacid
Keten
Fig. 5.2-2 The Wolff conversion of a DQN photoresist (diazonaphtoquinone in Novolak). By irradiation of the photoresist the diazonaphtoquinone is converted into a carbene, which is then transformed into a ketene, which is finally, under water absorption converted into an acid. This acid is water soluble.
so that the actual crosslinking reaction results from a reaction with two excited polymer chains. The photo sensitive component can also act as a kind of anchor by which both polymer chains are connected to each other. With lithography and resist technologies it is possible to expose precisely specified, and in some cases, down to nanometer sized regions of the surface. The subsequent processing step can be applied over the entire surface of the substrate; only those areas are changed which are not protected by the resist (Fig. 5.2-3). The material under the exposed surface can therefore be modified (by oxidation or doping with impurities) or removed by chemical or physical etching. Clearly new material build-up can subsequently be achieved by electro deposition or chemical as well as physical vapor deposition. resist
oxidation
wet etching
partial beam
resist
dry etching
electroforming
Fig. 5.2-3 The different subsequent process steps after exposure and development of the photoresist.
5.3 Process of Lithography
175
5.3 Process of Lithography In semiconductor technology lithography is the most frequently repeated process in the production of integrated circuits. Therefore it is easy to understand that in this area a great effort in research worldwide will continue to be taken. As a result of the demands of IC-production to manufacture the smallest structures possible, different processes have been developed and utilized. At the same time various types of radiation e. g. visible to deep UV-light, X-rays, and particle rays for patterning the resist have been employed. There are in general two separate processes, a serial process to fabricate masks, which are then used in a parallel process to image the mask pattern onto the substrate (Fig. 5.3-1). In the serial process, a precisely focussed beam (light-, electron- or ion-beam) is guided across the substrate and the desired pattern is “written” into the resist. Using this process, the computer pattern is freshly generated with every repetition of the exposure, and this patterning process is inherently relatively time consuming. The greatest importance of serial processes, and especially of electron beam pattern writing, is in mask production, although direct serial wafer exposure is
I
CAD Data of Microstructure
generator
I
I
I -_I
Reticle I O A , 5:1, 1:l Mask stepper
I
Mask 1 : l
I
i Fig. 5.3-1 The general procedure of the pattern transfer from the CAD file onto the silicon wafer.
176
5 Lithography
also being used for the manufacture of integrated circuits and other microstructures both in research and development. Due to time restrictions, it is not effective to produce the masks during the prototype phase of development of a new circuit, in which the geometrical layout is subjected to frequent design changes. Standard circuits however are produced entirely by a parallel mask based process. Not much can be expected to change even in the future since the increase of speed of serial patterning processes is more than compensated by the increase of structural details and a decrease in minimum feature size. In the case of the parallel process, the resist is irradiated over a large area by means of a mask, which constitutes the absorbing pattern. With visible or UV light, the mask may consist of a glass plate covered with a thin layer of metal (chromium) with a particular pattern. With X-ray lithography, the mask consists of a membrane from a low atomic number material and an absorber structure of a material with a higher atomic number. With electron- or ion-beams, stencil-like masks of metal sheets are used. Obviously for the manufacturing of masks, a serial-writing process is used, where the layout data from the CAD-system can be transformed to geometrical patterns. A distinctive feature of the parallel process is the scale of the mask. Masks with a 1:1 scale are used for shadow or contact printing. In this case mask and wafer are brought into close contact and uniformly illuminated through the mask. The size of the transferred structure is the same as on the mask. Contact printing is used for a minimum feature size of more than one micrometer. In any other case (especially for feature sizes of fractions of micrometers) imaging processes are in use, with image reductions of 1:4 until 1:lO. These masks are also called “reticles”. Using mask based processes, it is desirable to image a large area with one exposure, and if possible, the entire wafer. With increasing wafer diameter however, so-called “full-wafer” exposures are no longer possible, so that only sections of a silicon wafer can be exposed at a time, after that the wafer is moved mechanically to an adjacent position, and the next exposure takes place. This lithographic process is called “step and repeat”.
5.4 Computer Aided Design (CAD) In order to produce a mask, the information has to be exposed pixel by pixel into the resist layer of the mask. This is done with a pattern generator, which is usually an electron beam writer, as discussed in detail in Section 5.5. The pattern generator requires information about which sections of the mask should be exposed. In order to generate this information, a so-called CAD-system is used, with which the design can be carried out in an interactive mode with the designer at the computer terminal. After the pattern is designed geometrically, the data have to undergo several transformations until the electron beam writer can go to work. The design process and the necessary requirements will be described in the following.
5.4 Computer Aided Design (CAD)
177
5.4.1 CAD-Layout The aim of the CAD-layout is to specify light transmitting and absorbing areas of a mask. For handling reasons it is sensible to build up the entire mask area from smaller area units. These area units are specified by their border. In order to facilitate the conversion of these area units by the post processor program into machine-usable information, some general conventions are stipulated; 0 0
0
The border must be closed. The area contained within the border is the area to be filled (exposed). This stipulates, that a border is not allowed to enclose any another border. So-called “doughnut” structures (annulis) must therefore be produced in such a way, that two semicircular structures are fitted together to avoid an enclosed border. “Separated” areas, i. e. surfaces, which consist of several sections, though only connected with an infinitely thin connecting line are not permissible. The connecting line would not be written by the beam writer.
From these constraints a series of basic elements develop logically, which are present in a similar form with nearly all CAD-systems for microelectronics: 0
the polygon or square. This is the most general form of a surface element. It is framed by a closed border, without any other restriction. Almost any shape is allowed except the crossing over of the border lines, since this would violate the above conventions. In practice the number of corner points is generally restricted to some hundred i. e. complicated structures are composed of several polygons.
Some special cases of polygons are generally conveyed as an independent element like: 0 0
0
the rectangle (box), the connectors (wire), this is a shape with constant width, which can generally be used for the design of electric functions, circular elements like circles, annuli (doughnuts), arcs. However, most CAD-systems in microelectronics facilities do not supply these elements. Optical pattern generators and most electron beam writers are not designed to produce round structures. Instead, these pattern have to be approximated by polygons.
Finally there is also a series of auxiliary elements like 0
Text (labels). These auxiliary elements do not represent any area elements, which will later be present on the mask; they serve simply as an aid to the design (e.g. captions) and will be ignored by the postprocessor.
178
5 Lithography
Usually the patterns are designed interactively on a graphic screen of the CADsystem. At the same time certain sections can be zoomed, new elements added, and existing elements changed or deleted. The design data are stored in a datafile of a database. It is possible, to transfer the geometrical data of a pattern as a mathematical function or as a data matrix into the data file. This is especially advantageous, if the geometry compared to a complex design, can be more easily represented mathematically (e. g. the logarithmic spiral), or if the geometrical data are the result of another calculation program e. g. the result of extensive optimization calculations. This however assumes a suitable data interface. CAD-layout systems for masks differ generally from those which are designed for mechanical engineering as pointed out in the following list: 0
Mask design systems work with pixel oriented programs, whilst two dimensional CAD-systems for mechanical engineering are vector oriented.
0
Mask design systems possess a distinct hierarchical organization. With mechanical CAD-systems sub-structures are also used, but rarely hierarchically interlaced. In mask design systems, those capabilities necessary for the generation of diagrams are usually not, or only in a few cases, present ( e . g . automatic dimensioning).
CAD-systems developed for mask design in microelectronics can be adopted to design requirements in the microsystems field. In microsystem technology however, round shapes and more diversified structures are much more frequently designed than in microelectronics, the latter being generally based on rectangular structures (so-called Manhattan structures). But since such general structures do sometimes appear also in microelectronics, some modern CAD-systems have capabilities in this respect. Over the last few years a market for more flexible CADsystems has developed, since integrated optical applications require round patterns and structures with very large curvatures.
5.4.2 Alignment Patterns and Test Structures Sequential lithography pattern have to be precisely adjusted to each other. But even the first lithography pattern in the process sequence has to be centered to the virgin wafer and aligned with respect to the crystallographic orientation (i.e. with respect to the flats at the wafer circumference). Certain spaces in standardized mask design are provided for this kind of adjustment pattern. In Fig. 5.4-1 such an adjustment pattern is shown which facilitates the adjustment of the first mask to the geometry of the wafer. More complex structures are being build up with the subsequent lithographic steps in order to test the quality of the process steps, performed in between any two subsequent lithography steps. With suitable patterns below and above an insulating layer, the parameters such as dielectric constant, thickness and presence
Fig. 5.4-1 Adjustment pattern for alignment of the first lithographic masks with respect to the geometry (position of the flat) and crystallographic orientation of the silicon wafer.
Fig. 5.4-2 Test pattern for checking the degree of overetching at an etch process during the IC manufacture. a) The pattern on the mask. This pattern is transferred into the photoresist on top of the wafer surface. b) The appearance of the test pattern under ideal etch conditions (i. e. etch pits with vertical walls). c) The appearance of the test pattern with overetching. The alignment of the etch borders can be checked with the vernier-like test pattern and the degree of underetching can be easily estimated.
180
5 Lithography
of pinholes could be tested during the fabrication. An etched pattern could be checked with respect to overetching or underetching. For this a test pattern is transferred onto the etch mask of a wafer. The vernier-type arrangement of the two rows of etch pits facilitates the evaluation of etch quality (Fig. 5.4-2). There are numerous other patterns developed with high sophistication. These patterns are usually not published in the open literature, since they influence considerably the yield of a product, and therefore are kept confidential. The adjustment pattern are primarily designed to guaranty the precise alignment of subsequent lithographic patterns. These patterns therefore have to remain visible for the whole process sequence. A typical set of alignment patterns is shown in Fig 5.4-3 [Reye92].
a
h k Z
Nlask 3
Mask1+2
Nlask 1+2+3
b
Mask1
Mask 2
Mask 1+2
Fig. 5.4-3 A sequence of alignment patterns for three subsequent lithography masks. a) First the alignment pattern of mask 1 is transferred into the resist. By means of the second mask a second test pattern is exposed on top of the first pattern. With the third mask finally a third test pattern is superimposed to the alignment structure on the processed wafer after 3 lithography steps. b) By adding a vernier to the test pattern the degree of misalignment of two structures can be recognized and corrected.
5.4 Computer Aided Design (CAD)
18 1
The individual test pattern are designed to match into each other for subsequential alignment of the masks with respect to the already existing structures on the wafer. Another application for adjustment pattern are markers between the chips for the wafer scribing or sawing.
5.4.3 Organization of the Design (Hierarchy, Layers). With the large number of single elements, which usually appear in one mask design, a skilful organization of the design is most important. This is true, to the same degree, for both microelectronics and micromechanics. Mask design is therefore generally built up hierarchically. This means that the group of design elements are comprised of substructures. These substructures can be stored as “macros”, and can be added as a whole to a new design. This technique is very similar to the subprogram technique in general programming. For the implementation of the corresponding operation it is sufficient to call upon these subprograms. As substructures are allowed to contain references of other substructures, stacking (mostly to about 16 layers deep) is possible. Different transistor structures for example can be defined as substructures. Logical function can be designed conveniently from these substructures. The advantage of this hierarchical organization is a speed-up in design, and design failures are greatly reduced. A further aid for the organization of the design are the logical layers. To give an example: a pattern to be written by an electron beam writer can be subdivided in areas with high resolution i. e. sharp corners and other border contours), and areas of reduced requirements in resolution (i. e. the inner part of a square, which has to be filled). Therefore the exposure time can be clearly reduced, as the “non-exact” areas use a larger beam diameter and “filling” can be carried out much quicker than the exact areas, which must be written with small beam diameters. These areas will be assigned to different layers. Therefore, with the CAD-system there is the possibility to lay down different structures onto different logical planes or layers. In the graphical representation on a screen this is generally highlighted using different colors of the structure. After completion of the design, the information contained in the data base, i. e. the amount of elementary shapes, from which the design is made, inclusive of their coordination and their hierarchical organization, must be conveyed to a post processor program (see Section 5.5.4). As there are many different CAD-systems for data design, as well as for pattern generation, there is a requirement for suitable standardized interfaces. In the area of microelectronics, the so-called "Calms GDS I1 format” is accepted as a quasi standard format and is compatible with all pattern generators (or rather their processor programs).
182
5 Lithogrzlphy
5.5 Electron Beam Lithography Although electron beam lithography has not yet as much significance as optical lithography in mass fabrication, it is most important for the production of optical masks. On an industrial scale no other technology allows the production of arbitrary patterns with nanometer dimensions. An electron beam, which can be deflected by an electromagnetic or electrostatic field, is used in electron beam lithography, in order to write a specified pattern in an electron sensitive resist. One can distinguish between screen- or vector scan mode with round (Gaussian) or shaped beam [Brewso, Webe79, Pfei791. All these systems write a pattern in overlapping spot sizes and are therefore comparably slow. Besides electron beam writers so-called electron projectors have been developed, which image the mask as a whole onto the substrate, as it is done in optical lithography [Scot78]. Masks with free suspended stencil like absorber pattern have to be used, since there is no usable carrier transparent to electrons. The mask is illuminated with a widened electron beam. The electrons which pass through the mask are imaged by an electromagnetic lens onto the substrate. The disadvantage of electron projection systems are the special masks, which for example do not allow doughnut-patterns. For a complete pattern several supplementary masks have to be used for one exposure.
5.5.1
Gaussian Beams
In an electron beam writer with a round shaped beam, the intensity distribution across the beam corresponds to a Gaussian distribution. Therefore, one refers to a Gaussian beam. To clarify the principle construction and function of such an electron beam writer a simple illustration is shown in Fig. 5.5-1. The main components are: 0 0 0
0 0
the the the the the
electron source (cathode) with the anode aperture, electro-optical imaging system, blanking unit, deflecting unit and precision stage with laser interferometric position control.
Electrons are emitted from an electron source and accelerated under high voltage (between 10 and 100 kV) onto the target e . g . the mask. Tungsten “hair pin” cathodes or LaB,-crystals with very pointed emission areas are normally used as the electron source. For writers with high resolution (i. e. with a narrow beam diameter), also field emission cathodes are used. For the thermal cathode, it is desirable to have a low electronic work function of the cathode material (this is the reason why LaB, is used, regardless of some disadvantages in handling), since already at moderate cathode temperatures one obtains
5.5 Electron Beam Lithogruphy
accewation voltage
183
cathode
10 to lOOkV electron lens
~
condensor
for blanking deflection coils ,
electron detector
spotsize 25nm to lvm
,dser interferometer for positioning Fig. 5.5-1
Basic concept of an Gaussian beam electron beam writer. The electrons emerge from a cathode, are accelerated by an anode and focussed by an electron lens into a blanking unit. When a voltage is applied to the deflection plates the intensity of the beam down at the stage is decreased to zero. A second electron lens (final lens) focusses the electron beam at the stage to a spot of 25 nm to 1 pm. With the arrangement of deflection coils the electron beam is scanned across the focal plane at the stage.
a high electron current. The current density j, is described by the Richardson equation jR =
C T exp ~
(-$)
with Q, the workfunction of the cathode material and C a constant Thermal cathodes usually have a current density of under 1 A/cm2. For field emission-cathodes the current increases exponentially with increasing field strength. In this case the Fowler-Norheim equation describes the current density jFN:
with E = the applied electrical field and B and C constants.
184
5 Lithography
j,, usually is in the order of lo4 and 10' A/cm2 [Brod82]. The beam, emerging from the cathode and accelerated by the anode, is focussed by a sophisticated electromagnetic lens system. Depending on type of apparatus and the operating parameters, the beam diameter d is between a few nanometers and 1 pm, and can be estimated from the focal lengths of the single lensesx, the distances of the lenses to the aperture Liand from the size of the anode aperture do. For a system of three perfect lenses d is calculated as
The beam diameter on the substrate can be varied over a wide range (by a factor of about 20-50) by the lens system, similar to an optical zoom lens. The beam is moved meanderlike over the field to be exposed. During the scanmotion the intensity is switched on and off to generate the desired pattern. This very fast switching is achieved by running the beam through the electrical field between two capacitor plates. In off-mode (i. e. with full electrical field) the beam is deflected, and the intensity at the substrate drops to zero. The scanning of the beam in x- and y-direction is achieved by electromagnetic fields applied orthogonal to the beam. The deflection of the beam in the x- and y-direction is effected by magnetic coils on utilization of the Lorenz power:
FL = - e . v X B
(5.4)
where v is the speed of electrons and B the magnetic field. Note that v and B are vectors. To keep the scanning beam as close as possible to the center of the final lens (to avoid excessive lens distortions), a double deflection mode in both directions is applied, as sketched in Fig. 5.5-2. In order to keep the imaging errors to a minimum, the maximum deflection amplitude of the electron beam at the substrate is usually in the order of one millimeter. With large deflections, the electro-optical errors (beam diameter, astigmatism, linearity of the deflection etc.) become too large. Within the deflections of above mentioned dimensions the beam astigmatism can be compensated for by a stigmator, a magnetic octopole inside the final lens. For large interconnected patterns which exceeds the maximum scan amplitude, the substrate has to be moved mechanically to the adjacent writing field. Therefore the substrate is mounted onto an interferometer controlled x-y-stage. In order to achieve the highest possible accuracy, a so-called two frequency laser interferometer is applied. The line of a He-Ne-laser is split by an external magnetic field (Zeeman effect) and the beat frequency between these two lines is measured. The reference beat frequency is guided by a beam separator directly into the register, whereas the measuring frequency is shifted by the movement (Doppler effect) of a reflector, which is mounted to the stage. With this arrangement mechanical displacements can be detected, which are about 100 times smaller than the wavelength of light i. e. a distance of more than 100 mm can be measured with an error less than f 1 0 nm.
5.5 Electron Beam Lithography
185
! I
;
Electron beam
a
-2J
Mask
Fig. 5.5-2 The double deflection mode in electron beam writers. In order to feed the scanning electron beam through an aperture at the optical axis the beam is double deflected by two sets of coils in x- and y-direction. (In this arrangement the beam is actually deflected perpendicularly to the paper plane!).
For control and calibration of the stage- and beam-positioning, as well as for the determination of the beam diameter, defined markers are positioned on the x-y-stage. Electrons which are backscattered from these markers are detected and processed by means of scintillation detectors or photomultipliers. The control of the deflecting coils, the magnetic lenses, the blanking unit, the x-y-stage and the evaluation of the signal of the electron detectors are all computer controlled.
5.5.2
Write Strategy with Gaussian Beams
To write on a mask or a wafer with the Gaussian beam there are two basic strategies: 0 0
the screen scan process (Fig. 5.5-3a) and the vector scan process (Fig. 5.5-3b).
With screen scan processes the electron beam is guided meander-like over the entire area (scan-field), which can be scanned by the beam deflection system. The
c Screen scan versus vector scan. The thin lines indicated the path of the electron beam with zero intensity (blanked beam). For certain patterns with small areas to be exposed the vector scan is more economical than the screen scan. intensity is switched on only when the beam scan moves into areas to be exposed, whilst on other parts it is blanked. With only small areas to be exposed, the beam is scanned mostly in the blanked mode, which is a waist of time and money. A variation of this strategy is the “writing on the moving stage” (“writing on the fly”). In this case the electron beam is deflected periodically only in one direction, whilst at the same time the stage under the beam is continuously moved in the perpendicular direction over the entire length of the mask. Since the table is to be moved synchronously with the beam scan, the limiting parameter is the slow mechanical displacement. When only small parts of the writing field are being exposed, again the electron beam writer is “idling” most of the time. An attempt to minimize the non-effective writing time is achieved by using the vector scan principle. Instead of moving the electron beam over the whole of the available scan field, the beam jumps to the areas to be exposed and scans only the designated areas. With both processes the patterns are built up from elementary trapezoids, which are exposed successively. The trapezoids or rectangles in turn are filled by the electron beam meander-like line for line. The line again is exposed by a sequence of overlapping dots. Therefore the beam is not moved continuously over the area to be exposed, but stepwise spot to spot. The distance between two spots is called the “beam step size” and is considered the geometrical resolution of the electron beam writer (Fig. 5.5-4). This procedure is chosen to keep changes in the deflection coil current as small as possible to avoid excessive eddy currents and thus nonlinear deflection errors. The deflection voltage for the electron beam therefore follows a step function. In practice however due to the ever present parasitic in-
5.5 Electron Beam Lithography
time I
187
A
ii' time
beam-step-size t -
Fig. 5.5-4 Writing mode of a Gaussian beam electron beam writer. By applying a staircase-shaped current to the double deflection coils the beam is moved stepwise from position to position. The beam-step-size is the resolution limit of the system.
ductances and capacitances of the coils, the current is smoothed out and the beam is scanned more or less continuously. Due to this writing strategy the beam deflection is mostly divided into two sequences. The position of the trapezoid to be exposed in the main working field is approached with a relatively slow scan motion. For the subsequent trapezoid deflection it is necessary, that software as well as hardware are as fast as possible, since this part of the exposure determines essentially the working speed of the electron beam writer.
5.5.3
Shaped Beams
To expose a pattern onto a mask, many single overlapping exposure steps must be carried out with the Gaussian electron beam. Even if the frequency at which the beam is moved from step to step, is high (with particularly fast writers it can be 160 MHz), the total exposure time can sum up to several hours for one mask. To speed up this process special electron beam writers were developed with a rectangular shaped beam. At the expense of resolution, large elementary areas can be exposed in one step. The size of the rectangle can be varied by imaging lenses. The principal set-up for shaping the beam is relatively simple (Fig. 5.5-5): A square aperture in the upper section of the writer is imaged into the plane of a second aperture. Without deflection the image of the first aperture just corresponds with the second aperture and this shape is reproduced on the sub-
188
5 Litlzogruphy
-1
cathode 1. square
aperture
condensor lens
1. image of
deflection for image shaping
cathode
‘M-----:i:i:/j ...
Fig. 5.5-5
full size beam
/
Shaped beam electron beam writer. By shifting the image of the first square aperture with respect to the second square aperture, rectangular shaped images can be formed in the the final focal plane.
strate. If the image of the first aperture is shifted with respect to the second aperture, only the overlapping part of the two apertures is illuminated, and a small rectangle is exposed onto the mask. Each arbitrary combination of x- and y-deflection can be used, in order to produce the desired rectangular shape. The substrate is then exposed with these individual elementary patterns, using the corresponding deflection- and blanking-systems. The electron beam writer with shaped beam was developed, in order to reduce the writing time. However, a decrease in writing time can only be attained, if the writing geometry can be allotted within a simple rectangle. This is generally the case in microelectronics (Manhattan structures), but less so in micromechanics as often really complicated geometrical structures must be produced.
5.5 Electron Beam Lithography
189
5.5.4 Post Processor Now that the principle operation of an electron beam writer has been described, the task of a post processor program should be briefly introduced, whose function is to convert the CAD-data into the control data for the writer (Fig. 5.5-6). This field is still in development, and there are up to now very few common standards. The post processor program has to organize the beam movements for the large deflections within the main working field (with the blanked beam) and the stepwise motion within the elementary trapezoids (with full intensity). In addition it has to control the accompanying stage movements. The parameters for writing a pattern are stored in a control data file, for the control of the following tasks :
a which of the CAD-output files is in use, a which layer should be written, a in which format the input data are stored, a which corrections should be carried out on the data,
a which machine parameters on writing are to be used (the beam diameter, the beam step size and the size of the writing area). According to these instructions the post processor program controls the input file (output file of the CAD-system) and generates the positional information for the electron beam in the form of an address file. Each path of the electron
Fig. 5.5-6 Data flow for a pattern to be processed by an electron beam writer system.
190
5 Lithography
beam to be followed, is treated as a series of address data, which are supplied by the post processor. The address data is then converted by a digital-analogue converter (DAC) into a voltage, which causes the deflection of the electron beam. A compromise must be made with respect to the number of binary addresses for the storage of positional information. With the increasing positioning resolution, the number of addresses, the necessary storage space and the conversion time for the transformation in the DAC is also increasing. Usually about fifteen bits per positioning coordinate are chosen. With this the final resolution of the writer is predetermined. All positions are multiples of the smallest unit length, the intercept length of an electron beam (beam step size). The data generated by the post processor are placed in an output file, the binary file. In order to carry out the handling and exposure of the pattern, another auxiliary file (the jobfile) is required. This jobfile determines the operation parameters, i. e. which substrate has to be loaded from the magazine in time, which calibration operations are to be carried out, which part of the pattern should be placed where on the substrate, and so on. In the actual writing process the writer then executes the write- and movement-operations, which are contained in the binary file.
5.5.5
Proximity Effect
For electron beam writers to obtain the smallest possible pattern geometry, it is not only a matter of the smallest possible beam diameter, but depends also greatly on the electron-resist system and the layers underneath the resist. When electrons penetrate the resist layers, they are scattered both elastically and inelastically. The electrons therefore experience a deviation from their original direction. This deviation depends on both the energy of the incident electrons as well as on the atomic mass of the resist molecules and is known as forward scattering. As the scatter angle increases quadratically with decreasing energy and the electrons in the resist give up their energy quasi-continuously, a strong broadening of the electron beam occurs in particular at the end of the electron pathway. The electrons interchange energy with their environment until they come to a rest. If the resist thickness exceeds the penetration depth of the electrons, the deposited electrons fill a lobe-shaped body within the resist. Naturally the size of this lobe is dependent on the original energy of the electrons. Depending on the energy too is the quasi-vertical section of the lobe at the surface of the resist. Thick resist layers have to be exposed by high energy electrons in order to obtain good vertical walls (Fig 5.5 -7). Unfortunately there are other unfavorable effects which increase with the electron energy as we will learn in the next section. In the case of writing a mask, the energy of the penetrating electron is in general so high, that nearly all the electrons pass through the thin resist layer entirely and enter the substrate underneath the resist. Due to the large atomic mass of the substrate material, the electrons are scattered by a large angle, which can exceed 90".
5.5 Electron Beam Lithography
191
electron beam
20kV
60kV
Ill/
/Ill
Fig. 5.5-7 Electron scattering in thick resist for electrons with different energy.
resist
substrate Fig. 5.5-8 Proximity effect of particle beams in resist.
Therefore some of the electrons appear again at the boundary of substrate and resist. The resist is now exposed from underneath in areas which are not supposed to be irradiated. This effect is called back scattering (Fig. 5.5-8). The scattering of the electrons in the resist as well as the backscattering from the substrate cause the exposure of areas which do not correspond to the desired pattern. Small structures next to large areas are overexposed due to this "proximity effect'"Chan751. In serious cases the small detail is not distinguishable anymore from the large area. The proximity effect is one of the limiting factors for resolution of electron beam exposures.
192
5 Lithography
The proximity effect is influenced by: The energy of the electrons. It decreases with increasing energy. Therefore it is necessary to use the highest acceleration voltage possible for the structuring of thicker resist layers with parallel side-walls. The substrate material. The effect is smaller for materials with lower atomic weight. Consequently materials with low atomic number, (e. g. beryllium, atomic number = 4) are especially suitable in micromechanics as substrates in the mask production. The resist material and its thickness. The smaller the average atomic number of the resist and the thinner the resist, the less the effect is noticeable. The contrast (y-function) and the development conditions of the resist. The influence of the effect decreases with the higher contrast. To correct the proximity effect it is possible e. g. to divide the structure which is to be written, into several areas. These areas are then exposed with respect to the background dose with adapted parameters, such as different electron current density or different exposure time. These different local irradiation doses are calculated with Monte-Carlo-methods.
5.6 Optical Lithography Optical lithography is most important in the production of microelectronic circuits and also in microtechnology. It is being used to fabricate the coating masks for the successive etch- and diffusion processes. For many years optical lithography was considered to come to an end at pattern resolution of under 0.5 pm. Nevertheless due to intensive development this limit could be reduced to below 0.2 pm. Significant contributions were made by continuous achievements in many areas, which determine the structure, such as: 0 0 0
wavelength of light (diffration), focal length and numerical aperture of the lens system, contrast and resolution of the resist, reflection from the substrate (standing waves in the resist).
In photolithography the pattern is produced by imaging a mask into the photosensitive resist. Mercury vapor lamps are conventionally used as illumination sources, which have strong emission lines at 435 nm (G-line), 405 nm (H-line) and 365 (I-line). Recently eximer-lasers have been used, which work at wavelengths of 248 nm (gas: krypton fluoride) or 193 nm (argon fluoride).
5.6 Optical Lithography
193
Low resolution systems but fairly simple and inexpensive in design are 1:1 shadow projection systems, which either form a direct contact of the mask to the resist (contact printing), or leave a small gap (20-50 pm) between mask and resist, to prevent the scratching of the mask due to trapped dust particles (proximity printing). High resolution systems work with lenses by generating a reduced image of the mask artwork onto the resist (projection printing).
5.6.1 Masks The masks which are used in optical lithography consist of glass- or quartz plates with a thickness of about 1.5 to 3 mm. A sputtered chromium layer serves as the absorber and has a thickness of usually 0.1 ym, which is sufficient for complete opacity. A resist layer of about 0.5 to 1 pm thickness is applied onto a chromium layer using a spin coater. After drying and baking, the resist layer is exposed by an optical pattern generator or by an electron beam writer. The subsequent step is the development of the exposed resist by either a simple dip-development or by a more elaborated spray development, in which the rotating mask is sprayed with developer, which is followed by an extensive washing cycle and finally a drying process. With the help of the resist mask the chromium layer can be etched to the desired pattern. This is carried out primarily, as a wet chemical process, where the etch liquid is sprayed onto the rotating mask. However, dry etch processes are used on masks with high resolution requirements. In the final step the remaining resist is removed in an oxygen plasma (see Section 4.6.3).
Mask Repair With increasing complexity and size of the pattern field, the mask inspection and repair become ever more important. With very large designs and minimum structure width under 1 pm, it is a fact, that absolutely error free masks can no longer be produced. The mask check is carried out with the aid of an expensive computer program, with high performance optics and with a high precision stage. Usually for mass fabrication the same pattern is repeated many times on one mask. Therefore a direct comparison of two written patterns (die-to-die) can be carried out. At great expense a comparison of the exposed pattern with the CAD- data (die-to-database) is performed, and in this case also recurring errors are found. With modern mask inspection systems all errors with a diameter of 0.35 pm and larger can be detected with a probability of 95 %. In principle two classes of errors can be defined: “opaque defects”, where absorbing spots are left behind on sites, which should be transparent, and “clear defects” where pieces of absorber structures are missing. To eliminate the opaque defects the chromium must be removed, which can be carried out by irradiation with laser light or with a focussed ion beam (see Section 5.7). The elimination
194
5 Lithography
of clear defects is in general more expensive because the non-transparent layer must be deposited onto the mask. This can be done in a kind of local CVD-process. The gaseous metallic compound is guided over the area to be repaired, and a laser or particle beam is then aimed to the position of the defect, to supply the necessary threshold energy for inducing the decomposition of the compound and the deposition of the non transparent layer.
5.6.2 Shadow Projection The simplest form of lithography, the optical 1:l shadow projection, which used to be the standard process of semiconductor technology over many years and is still in operation in many applications with less critical components, is schematically represented in its two variants, the contact- and proximity printing in Fig. 5.6-1. With contact printing, masks and wafers are stacked close to each other with a small separation gap. After precisely adjusting each to the other by utilizing special alignment patterns, they are brought into close contact for exposure. In principle this allows a good pattern resolution down to the submicrometer region. However when dust particles are entrapped between mask and wafer, this may result in defects on the mask. A defect produced in such a way on the mask would appear with every successive exposure. A further problem in contact printing is the slight unevenness of the resist layers, which prevents ideal contact
Fig. 5.6-1 a) contact printing, b) proximity printing.
5.6 Optical Lithography
195
over the entire wafer surface. Therefore the pattern resolution on the wafer is varying depending on the contact situation. This problem even increases with subsequent process steps as well as the existence of structures already present on the wafer. With proximity printing a small defined gap is left between the mask and wafer, typically 10-50 pm. This prevents the mask from being damaged by small entrapped particles However due to the wave characteristics of light, in this case shadow projection does not result in an ideal intensity function, which is precisely congruent to the mask pattern, but the intensity distribution is ruled by Fresnel diffraction and results in a loss of resolution. Figure 5.6-2 demonstrates the difference between contact printing and proximity printing with otherwise similar parameters. The exact value of the attainable resolution depends on the resist-developer system. As an approximation, the minimum attainable dimensions b,, can be specified by: bmin=
dh.d,,,
(5.5)
with /1 = wavelength of the applied light, dprox = the proximity distance. For a wavelength of 436 nm (G-line of a mercury vapor lamp) and a proximity distance of 20 pm, a minimum resolution of about 3 pm can be expected. With contact printing and using a 1 pm thick resist layer, the resolution would be 0.7 pm. Due to the low resolution and the above mentioned problems, neither method is considered for modern semiconductor lines. However, in microtechnology, where the requirements on minimum structure widths are generally less, these method are still of importance.
Fig. 5.6-2 Comparison of the experimental results of a structure gained by a) contact printing and b) proximity printing. The openings are 24 x 40 pm2, the resist thickness is 30 pm (Courtesy of Fraunhofer Institute for Reliability and Microintegration (IZM), Berlin).
196
5 Lithography
5.6.3 Imaging Projection Improved pattern resolutions are attained with imaging systems. In this case the resolution amounts to:
where NA = numerical aperture of the imaging system. From this it is apparent, that with decreasing wavelength the resolution increases. Also an increase of the numerical aperture leads to a higher resolution. However, the numerical aperture also limits the focal depth Af, which can be estimated by the following formula:
For example, a wavelength of 436 nm and a numerical aperture of 0.35 results in a resolution of 0.6 pm and a focal depth of 1.8 pm. An unevenness of the wafer, its topography, a resist thickness variation and additional equipment errors can easily lead to an out-of-focus situation. To optimize the conditions for optical lithography, a compromise between the higher resolution and a greater depth of focus has to be made. If a large depth of focus is required, as well as a high resolution, then the only way to achieve this is to reduce the wavelength further. The image quality of a projection device is specified by the modulation transfer function (MTF) [King77]. This gives the intensity modulation as a function of the spatial frequency of a line design:
with Zmin and I,, = minimum and maximum intensity. and Imin/Im, = contrast in the pattern. The MTF for a diffraction limited lens system is calculated by [Di1175]:
with v0 = 2.NA I /1 (optical cut-off frequency). The maximum attainable spatial frequency depends on whether the exposure is carried out with coherent or incoherent light. For coherent light v,,, = NA/L For incoherent light this value doubles. Therefore in order to attain a higher resolution, it is advisable to use incoherent light.
5.6 Optical Lithography
197
light beam mask displacement mask mirror
wafer
Fig. 5.6-3 The Perkin-Elmer mirror lens system (MICRALIGN) for full wafer expo-
sure.
Full Wafer Exposure There is no lens capable of exposing the full wafer with the required resolution. Therefore Perkin-Elmer Corp. developed a compromise solution by using a mirror lens system. This is represented in principle in Fig. 5.6-3. A mirror lens does not exhibit a chromatic error like a diffraction lens. But the spherical correction of the mirror lens is not possible over the entire surface area but only in a sickleshaped surface area. Therefore the mirror lens is moved synchronously with regard to the mask and wafer, using a precise scan-mechanism. With this the entire mask is imaged in one scan-motion onto the mask on a scale 1:l. The MICRALIGN-series which have been evolved from this idea by Perkin Elmer formed the “working horses” of the production of the 2 pm structure resolution area for many years. Step and Repeat-Processes Modern high resolution lithography is performed with the so-called optical wafer stepper. The essential element consists of a high resolution, extremely highly corrected lens, which however has the required performance only within an image field of about 1 cm2 and a focal depth of 1 pm or even less. During the lithographic process the entire wafer is exposed step by step and field by field. This process is therefore called “step and repeat”. The exposure steps have to be followed by precise stage movements. The position of the table is controlled by laser interferometer. To overcome the little depth of focus, every field has to be focussed individually.
198
5 Lithography
5.6.4 Further Developments Over the last years much effort has been invested, to reduce the limits of optical lithography. The greatest success in this respect is represented by the use of socalled phase shifting masks, which have meanwhile found their way into the production process [Leve92]. In addition to the usual absorber design, the phase masks possess a layer on every second transparent structure, which rotates the phase of the transferred light wave (phase shifter). The thickness of the transparent layer is given by:
(5.10)
t=h.(N-l),
with N = the refractive index of the layer. The optical beam path is manipulated in this way between two adjacent elements, such that both partial beams have a phase difference of 180". As a result the diffracted light of the partial beams interfere destructively in the overlapping area at the resist level (which by definition should be a non-irradiated area). With this the image contrast and the resolution in the optical projection illumination is improved considerably. Structures with resolutions down to 0.2 pm can be achieved. Meanwhile there are many variations of phase masks, a simple form is represented in Fig. 5.6-4.
phase mask
conventionel mask
Fig. 5.6-4 Example of a phase shifting mask. The additional optical path of the phase shifter cause a 180" phase shift of adjacent light beams. The amplitude immediately below the mask is shown in a). At the focal plane the square shaped amplitude is modified by Fresnel diffraction. Due to the destructive interference in the overlapping parts the amplitude (and therefore the intensity too) is zero. In comparison with conventional masks the resolution limit is pushed towards smaller dimensions.
5.6 Optical Lithography
199
In order to be able to work with a very small focal depth, multi-layer resists were developed, in which only the uppermost thin resist layer is optically structured. The developed pattern of this thin layer is then transferred, by etching processes, to the underlying thicker layers, which also serves to even out the topography of an already partially processed wafer. An example of this technique is the so-called tri-level process (Fig. 5.6-5). The lowest resist layer which forms the mask of subsequent processes, is relatively thick (1-3 pm). This polymer layer is coated by a sputter process with a very thin (20-100 nm) auxiliary layer of a material which is resistant to oxygen plasma. Mostly silicon nitride layers are used, but also thin metal layers (e. g. titanium) are useful. A resist layer, which can be lithographically patterned, is finally applied on top. Using this tri-layer process the upper resist layer can be optimized for sensitivity, resolution, reflectivity and so on, without the need for compromises with the layers underneath. The resist pattern is transferred into the secondary layer by sputter etching with argon ions, and this pattern in turn is transferred into the thick polymer layer (polyimide) by ion etching with oxygen ions. Using the so-called DESIRE-process (Dry Etching of Silylated Image Resist) silicon atoms are incorporated into the uppermost area of the resist layer by irradiation (silylation), whereby these areas will become resistant to oxygen ions
IIIillilllIIllJI
optical mask
SiaN, or Ti 0,02-0,lym polyimid , 1-3ym substrate -
I -
I I 1 I 1 I I i 1 1 1 1 1 I Ar+ sputter etching with argon ions
reactive ion etching with oxygen ions Fig. 5.6-5
The process sequence of the tri-level process. By using three layers of masking materials high resolution patterns can he transferred onto substrates with uneven topology.
200
5 Lithography
[Reuh91] [Kato92]. An etch mask is thus formed directly into the resist. In this process, the resist layer serves as a lithographically active layer and as an ion etching layer at the same time. Oxygen ions are used to transfer the pattern, which in the first instant is only existent at the uppermost layer of the resist, into the bulk of the entire resist layer. Due to the high directionality of the oxygen ions, etch masks with steep edges can be produced.
5.6.5 Optical Lithography for Micromechanics Until a few years ago optical lithography was used exclusively for the manufacture of microelectronic circuits. However, in the meantime, it has become ever more important in the microstructure technology. It is used to facilitate dry- and wet etch processes, lift-off processes for very delicate metal structures, and for shaping polymer tools for electroplating. The latter process has become possible with the development of optically sensitive coatings, which can be put down in layers of over 100 ,um and can be exposed and developed with a fairly high aspect ratio (the relation between minimum lateral structure to structural height). In the present case aspect ratios of 1:10 are possible. Applications include highly viscous positive photoresists based on Novolak (e. g. AZ 400 series by Hoechst) as well as negative polyimide resists. The thick resist layers are usually structured using UV-light by shadow illumination. The physical limits due to scattering and diffraction described above also hold good for the thick film resist which is the reason why the aspect ratio of the microstructure is limited to between 8 and 10. The shape of the walls is not only influenced by the exposure, as can be seen in (Fig. 5.6-6). The concave shape is a
Fig. 5.6-6 A columnar structure influenced by photon scattering during exposure and by the effect of progressive development.
5.6 Optical Lithography
20 1
result of the variation of solvent concentration in the thick resist layer and due to the fact that with the progressive development different parts of the structure are subjected to the solvent for different lengths of time [Schu96]. The spreading out of the structure on top is a result of the pre-bake process (prior to exposure), as this region is very dense and the solvent concentration correspondingly lower. Consequently the contrast of the resist is much higher. The widening in the area of the foot is a consequence of the short contact time with the developer. These distortions can be minimized, if the structure as a whole is exposed to the developer as short as possible. The structures produced by optical lithography with thick resists are normally used as molds for a successive electroplating step, designed to manufacture micromechanical metallic structures. Since this process is similar to the more elaborate LIGA-process (Chapter 7), with some concessions with regard to aspect ratio and resolution, this process is also called “UV-LIGA” or “Poor Man’s LIGA”. Of interest for the manufacture of structures with arbitrary shaped walls i s the so-called grey-tone lithography (Fig. 5.6-7). The actual pattern comprises a kind of raster, i. e. small squares or circles with progressively different dimensions. A similar principle is used when printing grey tones in newspapers. Due to the inevitable diffraction on exposure, these structures have a lateral gradient in dosage, resulting in prism- or sinusoidal shaped surfaces.
Fig. 5.6-7 Grey-tone lithography. A pixel pattern with dimensions below the resolution limits of the development process yields to “semi-exposed” areas on
the substrate.
202
5 Lithography
5.7 Ion Beam Lithography In addition to electron beam machines, exposure systems working with ion beams have been developed in which a resist is directly patterned with accelerated and focused ions. The main advantage of ion beam lithography is less scattering of the impinging ions in the resist when compared to electron beam lithography. This arises from the larger mass of the ions which also prevents backscattering of the ions to a very large extent. In effect this means that the proximity effect can be almost completely neglected in this case. Furthermore the energy deposited over a unit distance is much higher than in electron beam lithography resulting in a much higher sensitivity. On the other hand, because the penetration depth of heavy ions with energies below 1 MeV is only in the range of 30 to 500 nm and a fixed maximum depth to which the ions can penetrate exist, only very thin layers can be patterned. Therefore, for practical purposes a tri level technique seems to be indispensable. With the exception of the ion source the principal construction of both, electron and ion beam systems, is identical. However while the generation of free electrons is relatively easy (e.g. by thermal emission), for the production of ions a much greater effort is necessary. The non-availability of reliable ion sources is the major hurdle for a more widespread use of this technology. In principal two different types of ion sources have been developed thus far: The ions (e. g. Hz+) can be extracted either from a gaseous atmosphere or from a liquid. In contrast to the simpler gas sources, with liquid metal sources a large variety of ions can be utilized. The advantage of micro-plasma ion sources lies in their high efficiency [Frey92]. Because the heavy ions can not be deflected as efficient as electrons, ion beam exposure tools will probably not be able to solve the throughput problem connected with serial writing systems despite the higher sensitivity of the resist. The advantages of ion beam writing systems lie more (i) in the achievable resolution where feature sizes of less than 10 nm can be obtained, (ii) in the repair of optical masks (removing excess chromium on a mask) and (iii) in direct (maskless) ion implantation.
5.8 X-Ray Lithography It can be deduced from Eq. (5.5) that the minimum attainable structure width decreases with the root of the wavelength of the applied light. By moving from the visible region to the short wavelength UV region, not even a factor of 1.5 can be reached. A much larger effect is possible when changing to X-rays with wavelengths of 0.2 to 2 nm. As there are no optical diffraction components for imaging in the X-ray region, X-ray lithography is carried out as a simple 1:l shadow projection with a proximity gap between masks and substrate. Figure 5.8-1 shows the principle construc-
5.8 X-Ray Lithography
203
normal pressure
or He (some 100 mbar) / /
proximity (40W
, I
vacuum seal ,,(capton or beryllium) ,beam line
tructure
u
I
synchrotron radiation
Fig. 5.8-1 Exposure station for X-ray lithography.
tion of an X-ray exposure station. To avoid the adsorption of X-rays in the air either the path between the X-ray window and the mask must be kept very short, 0s the mask- and sample-holder must be mounted in a chamber flooded with helium. This makes the construction expensive. A further problem of X-ray beam lithography concerns the mask and the related alignment issues as well as in the availability of the X-ray source.
5.8.1 Masks The X-ray masks consist of a very thin carrier film, which minimizes loss of the X-rays penetrating the film, and of an absorber pattern, which absorbs the X-rays entirely as far as possible. For safe handling of the masks, the thin membrane film is suspended over a stable frame. The membrane film is made from material with a low atomic number for example beryllium, silicon, silicon nitride, boron nitride, silicon carbide, and titanium. Plastic film has not yet proven to be a good membrane film because of the low shape stability and X-ray durability. At the moment diamond layers are in their development stage. Electroplated gold is usually used as absorber material, but also tungsten and tantalum are suitable. In order to keep distortions within the masks to a minimum during irradiation, the X-ray masks usually do not exceed a size of 50x50 mm2. Consequently a wafer cannot be exposed in a single step but the already discussed step and repeat mode is applied. This, as well as the lack of a sufficiently bright radiation source, limits the output to some ten wafers per hour with diameters of 200 mm. In general, the output is
204
5 Lithography
actually still lower, since the beam intensity can not be increased beyond certain lirnits due to the thermal heating of the mask and associated geometric distortion of the pattern.
5.8.2 X-Ray Sources The required wavelength region suitable for available masks and resists, ranges between 0.2 and 2 nm. The limits at the short end of the wavelength region is caused by the fact that the resist layer is increasingly transparent for shorter wavelength X-rays and therefore only little energy conversion occurs in the resist. The absorbing structures on the other hand have to be relatively thick which presents a technological problem. The long wavelength region is limited by the decreasing transparency of the carrier membrane. Further requirements of X-ray sources for lithography include high brightness, a small source size and a parallel beam, in order to apply proximity printing without a scaling error. Available X-ray sources are: 0 0 0
high efficiency X-ray tubes, plasma sources, and synchrotrons.
To generate a beam with X-ray tubes, an electron beam with high energy is accelerated onto a target. On deceleration of the electrons, so-called Bremsstrahlung is generated. The maximum X-ray energy corresponds to the energy of the incident electron. As long as this magnitude is greater than the characteristic absorption band of the target material, the radiation of this characteristic energy is emitted predominantly. The efficiency for the production of X-rays is very low (lop4lop5).A high electron current is necessary for a sufficiently large irradiation efficiency. Consequently, extensive cooling must be applied to the target. With plasma sources a high energy laser pulse is aimed at the target and an electrical discharge is generated, so that the target material vaporizes and forms an extremely hot plasma [Nage75]. The ions recombine by emission of X-rays. The efficiency is at least one order of magnitude better with plasma sources than with X-rays tubes. At present, the attainable performance and the efficiency of the laser are not yet sufficient for economical usage in X-ray photolithography. Besides a low efficiency, X-ray tubes and plasma sources also have the disadvantage of non-parallel beams and extended sources in common. For a parallel beam small apertures have to cut out of the major part of the source and thus the usable intensity of the source is very small.
5.8 X-Ray Lithography
205
5.8.3 Synchrotron Radiation Synchrotron radiation is produced from relativistic electrons, which are guided in a polygon-shaped vacuum tube by applying a centripetal acceleration [Kunz79]. This centripetal acceleration is caused by strong magnets arranged on the corners of a polygon. The electrons are emitted from a cathode and accelerated linearly outside the ring up to several MeV. With a special arrangement (kicker magnet) packages of electrons are forced into the ring. With RF sources these packages are accelerated mostly to some GeV and nearly the velocity of light. At this energy the electrons emit so-called synchrotron radiation tangential to their trajectory. Synchrotron radiation encompasses a continuous spectral region from infrared (with a photon energy of a few meV) to hard X-ray radiation with a photon energy of up to 100 keV. This light source is especially attractive for research as it shows the following properties: 0 0
Continuous spectral distribution, extremely directional and therefore highly parallel, high brightness, well defined time resolution in the picosecond region, polarized, very high long-term stability, exactly calculable.
It ideallly fulfils the required boundary conditions of lithography regarding brightness and parallelism. Synchrotron radiation was observed for the first time in an accelerator by General Electric Corp. in America in 1947 [Elde47]. In the early years it was considered as the unwanted side product in the process of high energy particle acceleration for nuclear physics, because it greatly limits the maximum attainable energy of the electron. In the mid 70’s worldwide many radiation sources were built based on electron- and positron-storage rings (e. g. BESSY in Berlin, Germany, Photon Factory in Tskuba, Japan, or the NSLS in Brookhaven, USA), which are exclusively used for the production of synchrotron radiation and, among others, for experiments of ultra high resolution lithography. The principle of the production of synchrotron radiation is schematically represented in Fig. 5.8-2. Electrons, which travel circular with nearly the speed of light (i. e. with a steady rotational frequency), emit electromagnetic energy tangential to their orbit. The radiation is strongly collimated by an aperture angle y , which is determined by the energy E of the electron:
m.c (5.11) E As the radiation occurs over the entire circumference of the synchrotron, the collimation is manifested only in the vertical direction. Whilst the radiation power in the vertical direction shows a good approximation of a Gaussian distribution, in the horizontal direction it is band-shaped. The illumination pattern q l -
206
5 Lithography
t
inwntial
point
cone
scan
Fig. 5.8-2 Principle of an electron synchrotron. Electrons are forced along a circular
“race track”. Due to the centripetal acceleration of the relativistic electrons synchrotron radiation is emitted tangential to the curvature. The light is guided to the exposure station. Due to the band-shaped irradiation the mask and substrate to be exposed have to be scanned up and down in order to expose a rectangular field.
generated from a synchrotron can be compared with the beam emitted from a light tower with rotating reflector. The width of the illuminated band surface is about lcm at a distance from the tangential point of about 10 m, which corresponds to an aperture angle of about 1 mrad. The total irradiated output P and the spectral distribution, which is specified by a characteristic wavelength ,Ic, depends on the energy of the electron and the radius of the trajectory. The characteristic wavelength ,I, is defined such that the integral radiated output above and below this wavelength is of the same magnitude. With increasing energy, the available output of the radiation increases to the power of 4 and the spectrum shifts to shorter wavelengths with the energy to the power of 3.
P=88,5.-
.I R
~4
R /E,=5,99.E3
(5.12)
(5.13)
5.8 X-Ray Lithography
207
l10o -2 E n
U
2 E
E
lo1 loo
U
3
10-1
L
i 10-l
10 (I
10
wavelength Inm] Fig. 5.8-3 Spectral output of synchrotron radiation versus electron energy.
with A, = characteristics wavelength in nm, P = total radiated energy in kW, E = energy of the electron in GeV, I = electric current in A, R = curvature radius of the electron trajectory in m. The curvature radius of the electronic trajectory in the magnetic field of the bending magnets is given by:
E R = 3,335. B
(5.14)
The dependency of the spectral output for 5 different electronic energies on the wavelength is illustrated in Figure 5.8-3; the electric current (I = 0.1 A) and the curvature radius of the electronic trajectory ( R = 10m) were kept constant. In the figure the characteristic wavelength A, is shown, which differs from the wavelength with maximum spectral output of the radiation by a factor of 0.65. Figure 5.8-4 shows a typical synchrotron which is used for LIGA. This machine, which is located in Baton Rouge, Louisiana, USA, was not yet finished when the photograph was taken. The circular vacuum tube, containing the accelerated electrons is still missing. One can distinguish between the bending magnets and in between the focussing magnets. The guidance of the X-ray radiation, from the tangential point (Fig. 5.8-2) in the storage ring to the place of the experiment, is carried out in an evacuated steel tube, the so-called beam lead. As the irradiation experiment usually is carried out under a standard atmosphere or under helium gas, the vacuum region of the beam lead (and the storage ring) must be separated from atmospheric pressure
208
5 Lithography
Fig. 5.8-4 A synchrotron in the construction state (Courtesy Prof. V. Saile, Research
Center Karlsruhe). by an X-ray transparent window. This window is generally made of beryllium which is both light in weight and transparent to X-ray radiation. But even so the radiation spectrum is attenuated, especially in the region of long wavelengths (beyond 1 nm). Further attenuation of the soft radiation components is caused by the carrier membrane material of the X-ray mask (Si, Be, or Ti).
5.8.4 Application of X-ray Lithography Applications of X-ray lithography in the semiconductor manufacture has been extensively discussed for many years. At the moment the main technical problem is seen in the mask technology where very stringent requirements exist with regard to (thermal and radiation) stability of the mask carrier membrane film and on the precision of alignment. The introduction of X-ray lithography as a technology has been delayed because over recent years significant improvements were achieved in the area of optical lithography, whereby the limits of the minimum manufacturable structures were constantly pushed to smaller values. It is questionable today whether X-ray lithography will ever be utilized on a large scale in the manufacture of semiconductors. In contrast to microelectronics, the use of synchrotron radiation in X-ray lithography due to its unique spectral properties has gained importance in producing microoptical and micromechanical structures. With this in mind the LIGA process is fully discussed in Chapter 7.
6 Silicon Microsystem Technology
The preceding chapters have laid out the foundation for the theoretical and technological tools and methods useful for the fabrication of microtransducers and microsystems. A considerable number of devices and systems are based on silicon technology enhanced by a range of micromachining techniques [Pete82, Midd94, Sze94, Trim97, Proc981. Originally micromachining was synonymous with the selective wet chemical etching of silicon or thin films. Already in its infancy, micromachining enabled the fabrication of numerous microcomponents including cantilevers, beams, and thin membranes. Many of these structures are still being implemented today in, e. g., pressure sensors and accelerometers. The initial micromachining developments were motivated by the success story of silicon based microelectronics, by adding non-electronic functionality to IC-like substrates. To this day, silicon related microsystems have expanded at a breathtaking pace in number and design, due to the rapid diversification of micromachining and integration techniques. Wet etching has been complemented by a host of dry etching methods. The combination of anisotropic and isotropic etching methods enables the fabrication of a fascinating variety of three-dimensional microstructures. Micromachining methods fully compatible with standard integrated circuit (IC) processing have been developed. Materials other than silicon, in particular thin films deposited on top of silicon substrates, have become the focus of microfabrication. Moreover, the addition of materials by chemical or physical deposition, spin-on processes, electrodeposition, and hybrid integration on top of IC-like substrates has broadened the range of structures and addressable applications. After a brief review in Section 6.1 of IC process integration, Sections 6.2 and 6.3 provide overviews of bulk and surface micromachining techniques, respectively. Section 6.4 illustrates these methods with selected classical and more recent examples of microtransducers and microsystems. Among the more spectacular devices and systems fabricated using silicon micromachining are neural microprobes, integrated accelerometers, digital micromirror arrays, microgears, microoptoelectromechanical systems, and thermal and mechanical microsystems based on commercial CMOS application specific integrated circuit (ASIC) technologies.
2 10
6 Silicon Microsystem Technology
6.1 Silicon Technology Silicon micromachining starts with the preparation of a suitable substrate. The simplest substrates are silicon wafers covered by a photolithographically structured dielectric layer. This stack allows the fabrication of only the most basic structures. Electrical and thermal functions are not available to complement the mechanical transduction in such devices. At the other end of the complexity scale, the substrates may be chips or wafers fabricated using integrated circuit technology. In this second case, they consist of silicon with various diffusions and several dielectric thin films with conductive levels integrated in-between. With these materials, digital, analog, and mixed signal circuitry can be integrated on-chip. Micromachining then represents a major challenge, since the circuitry has to be preserved, while selected constituent materials are locally removed. To give a better feeling for the various integrated circuit (IC) materials, processes, and challenges in the specific context of micromachining, this section summarizes the main steps used to build IC structures. Individual process step such as CVD, metallization, and dry etching were discussed in Chapters 4 and 5 . The following section focuses mainly on the integration of these steps into process sequences. The main representatives of IC technologies are complementary metaloxidesemiconductor (CMOS), bipolar, and BiCMOS (bipolar CMOS) processes. Charge-coupled device (CCD) and memory technologies are economically important, but have not been extensively adapted to microstructure fabrication yet. Special processes build on unconventional substrates such as SIMOX (silicon separated by implantation of oxygen) and SO1 (silicon-on-insulator) wafers. Micromachining has taken advantage of the unique properties of these advanced materials. The reader acquainted with IC technology is invited to jump straight to Section 6. on micromachining, since the description of the basic integration techniques is being restricted to a minimum here.
6.1.1 IC Processes and Substrates Micromachining techniques are often qualified as CMOS-compatible as soon as they preserve a few thin films deposited onto some silicon substrate. However, to give an impression of how much more may be involved in CMOS-compatible sensor fabrication, this chapter summarizes the basics of standard silicon processing, in particular CMOS technology. CMOS technologies vary considerably when considered in detail. They are the result of the impressive skills of process engineers in fine-tuning delicate individual process steps into robust routines and integrating them into stable process sequences. Nevertheless, since the operating principle of the basic electronic building block, the field effect transistor (FET), is their common denominator, some rules and procedures common to many CMOS technologies can be noted.
6.1 Silicon Technology Source
Gate
Silicon substrate
211
Drain
Gate oxide
Fig. 6.1-1 Schematic cross-section of a FET with a single interconnect level
As schematically shown in Fig. 6.1-1 the heart of every FET, one finds a conducting gate separated from the semiconducting substrate by an extremely thin dielectric, the gate oxide. This is the metal-oxide-semiconductor sandwich which gives the technology the three last letters of its name. Upon application of an appropriate voltage to the gate, the effective polarity of the semiconductor is locally inverted and a conducting channel is formed. To either side of the gate, highly doped regions in the substrate, of polarity opposite to that of the substrate, define source and drain of the FET. They are contacted to metal lines providing the connection to other FETs or to the outside world. Upon formation of the channel, the source and drain are electrically connected, i. e., short-circuited. FETs in p-doped silicon with n-polarity of source, channel, and drain (NMOS devices) and other, complementary devices with p-channel, source, and drain in an n-substrate (PMOS devices) are cointegrated in CMOS technology. The art of circuit design then consists of appropriately dimensioning these basic elements and of their sophisticated interconnection to realize anything from simple switches to stateof-the-art systems on a chip with currently more than lo7 transistors. Technologically, these structures are constructed by the local doping of the silicon substrate with electrically active impurities and the successive growth or deposition and patterning of conductive and insulating thin films. Extensive accounts of these techniques are found in the literature [Wolf87, Sze88, Runy90, Chan961. A considerably simplified CMOS technology might be based on the process sequence summarized in Fig. 6.1-2, starting with a (100) silicon substrate. In most cases this is heavily p-doped material with a lightly doped epitaxial layer with controlled impurity concentrations, or a lightly p-doped substrate with oxygen concentration around 5 X 1017~ 1 1 1In ~~ the . latter case, a thermal gettering treatment is performed to cause the homogenous precipitation of the oxygen over the entire wafer except close to the surfaces. The resulting denuded zones are depleted of oxygen and other undesired impurities. Common wafer diameters are 3 inch, 100 mm (“4 inch”), 125 mm (“5 inch”), and 150 mm (“6 inch”) with respective thicknesses of up to 675 pm. Major IC companies however fabricate their products on 200 mm wafers and are currently moving on to 300 mm and beyond. Active areas designated to contain the field effect transistors are defined next. This is done by a process referred to as local oxidation of silicon (LOCOS). First,
6 Silicon Microsystem Technology
2 12
Fig. 6.1-2 Simplified CMOS process. Silicon substrate before process (a), after LOCOS nitride patterning (b), end of LOCOS process (c), n-well diffusion (d), gate oxide growth and gate definition (e), source and drain implants (f), contact oxide and first metal deposition and structuring (g), intermetal and second metal deposition and patterning (h), and passivation deposition and pad opening (i).
a thin oxide (ca. 30 nm), the so-called pad oxide, is thermally grown, and an LPCVD silicon nitride (ca. 100 nm) is deposited (cf. Section 4). The nitride is patterned using photolithography and dry etching. Areas where it is left untouched are the future active regions. The wafer next undergoes a wet oxidation, which forms a dense and electrically reliable silicon oxide, i. e., the field oxide, with a thickness of roughly 0.5 pm to 1 pm in the areas free from LOCOS nitride. The silicon nitride and pad oxide are finally removed. This leaves the silicon wafer protected by thermal oxide with well defined openings. Next, n-wells for p-channel FETs are formed using photolithography, implantation, and drive-in. The surface of the wafer is wet etched and cleaned before the thin gate oxide is thermally grown. A roughly 0.3 pm thin polysilicon layer is then deposited by CVD, using the pyrolysis of silane (SiH,). It is heavily n-doped (No 1020cm-3)and thus is turned into a reasonable conductor (“metal”). Finally it is patterned by photolithography and dry etching. The resulting structures define the logic gates.
-
6.1 Silicon Technology
213
Sources and drains of one polarity are then implanted. Those of the complementary devices follow next. During this doping process, the respective gates and field oxide surrounding the active regions serve as doping masks and thus define the corresponding localized source and drain regions. The fabrication of the so-called front-end is then completed. What follows is the back-end process concerned with the construction of the device interconnects. A first silicon oxide dielectric is deposited by CVD and opened locally to the source and drain regions and the gates. Next follows the deposition and patterning of a first metalization layer contacting sources, drains, and gates. A second CVD dielectric is then deposited and opened locally onto the first metal layer. A second structured metal layer finally allows the realization of more complex interconnection topologies. The process is completed by the deposition of a silicon (oxy)nitride passivation thin film. Openings over extended metal structures form electrical contact pads and enable the interconnection of the chip to the external world. For more detailed information and the description of other important techniques such as channel stop implants, threshold adjustment, sidewall formation, selfaligned processes, silicidation, and such trendy topics as via plugs, multilevel and copper metalizations, low-k dielectrics, etc., the reader is referred to the literature on IC-technology [Chan96]. Bipolar ICs use somewhat simpler technology. The main electronic activity occurs in a hierarchy of oppositely doped regions, i. e., the emitter, base, and collector regions. The art of bipolar technologies consists of carrying out the required doping with sufficient accuracy in depth and concentration. Above the silicon surface one usually finds a stack of dielectric layers with metal interconnects, topped by a passivation with pad openings. The fabrication of silicon based microstructures may follow two approaches. In the first, use is made of these materials as dictated by the technology, with the respective geometrical limitations and physical properties. In the second, these basic ingredients are adapted to more specific needs by varying the materials and the processes. The ability to cointegrate circuitry next to the micromachined device is then often sacrificed. Finally, SIMOX (separation by implantation of oxygen) wafers have found specific applications in micromachining. A schematic cross-section of such a wafer is shown in Fig. 6.1-3. The main body of silicon is separated from thin silicon surface layer of a few micrometer by silicon oxide. This is produced by heavy im-
Silicon substrate
Fig. 6.1-3 Schematic cross-section of a SIMOX wafer.
2 14
6 Silicon Microsystem Technology
plantation with oxygen. An anneal causes these impurities to concentrate and react with the silicon atoms, thus forming a dense buried silicon oxide layer. The silicon surface region is then cleared of oxygen and keeps its crystalline order and is available for the fabrication of circuit components isolated from the main substrate. The main silicon body retains only its thermal and mechanical functions. Advantage has been taken of the buried oxide as an etch stop layer allowing the fabrication of thin monocrystalline silicon structures EDiem93, Mii1195al. A disadvantage of these substrates is the prohibitively long times required to implant roughly 10" oxygen atoms per cm2 into the wafer. An alternative approach to produce such substrates proceeds by thermal bonding of a polished wafer onto a second wafer covered by silicon oxide. A solid chemical bond forms and reliably connects the two parts (Section 9.5). The top wafer is then lapped and polished down until only a thin silicon layer remains on the oxide, providing a silicon-on-insulator (SOI) wafer. Like the SIMOX process, the fabrication of SO1 substrates is time-consuming.
6.1.2 Foundry Technologies An attractive approach to microstructure fabrication uses commercial IC foundries for the preparation of the silicodthin-film substrates. This approach has several advantages : The silicon process is performed by trained operators and kept up-to-date by dedicated technologists. More time is left to solve microtransducerspecific problems. Process conditions are stable. Indeed, a considerable effort is invested by IC fabs to guarantee the stability of the relevant geometrical or electronic process parameters. The processes are optimized for mass production. Large scale production of microtransducers is possible. Circuitry can be integrated without neck-braking technological feats. Equipment maintenance and upgrade are taken care of by the foundry. CMOS technologies are available to the microsystems community through several organizations and offered directly by silicon foundries dedicated to application specific integrated circuit (ASIC) fabrication. The latter have traditionally dealt with a broad spectrum of clients and after clarifying discussions may even accept minor adaptations of their technologies for micromachining needs. Organizations representing .microsystems-oriented IC technologies include MOSIS in the USA and Europractice and TIMA-CMP in Europe. Cronos Integrated Microsystems North Carolina offers bulk and surface micromachining and LIGA-like options (multi user MEMS processes, MUMPS) in addition to the basic CMOS process. Multilevel polysilicon micromachining is commercialized by Sandia National La-
6.2 Silicon Micromachining
2 15
boratories, Albuquerque. In Europe, ASIC foundries active in microsystem developments include Austria Mikro Systeme (Unterpremstatten, Austria) and EM Microelectronic-Marin SA (Marin, Switzerland). Also more special silicon based sensor processes have been made publicly available by, e. g., Robert Bosch AG (Germany) and SensoNor asa (Norway) through the Normic fabrication cluster. However, when opting for the IC approach to microsystem technogy, one should also be aware of a few limitations: 0
Only a few materials are available: silicon, dielectric thin films, polysilicon, interconnect metals. These materials offer a limited number of physical effects to be exploited in microtransducers. Strict design rules allow the construction of relatively few basic constellations of materials and thus of only a few basic microstructures.
Whether these restrictions outweigh the advantages has to be evaluated in each particular case. Fascinating CMOS devices and systems have been demonstrated, as several examples discussed in Section 6.4 will how. However, before functional devices are described, the second technological ingredient required for their fabrication, namely micromachining, has to be discussed. This is done in the following two sections.
6.2 Silicon Micromachining 6.2.1 Introduction A first approach to enhance the functionality of IC-based substrates and material combinations proceeds by micromachining the silicon substrate. Silicon can be wet or dry etched by various techniques. Some wet etchants, such as nitric acid/ hydrofluoric acid based mixtures have isotropic etching properties. In contrast, alkaline solutions etch anisotropically, i. e., preferentially remove certain crystal planes, while preserving others. Various dry etching methods in the gas phase or based on plasma processes are available. A rapidly growing collection of shapes can thus be realized in materials with functionality considerably enhanced in comparison with their original electrical purpose. The entire field of silicon micromachining is described in depth in [Elwe98, Mado971. Wet and dry etching processes have in common a three-step sequence consisting of 0 0 0
the transport of one or several reactants to the silicon surface, the chemical reaction of the reactant on and with the surface, and finally the transport of the reaction products away from the surface.
2 16
6 Silicon Microsystem Technology
In the case of dry etching, reactive radicals, ionized molecules and energetic particles diffuse and drift through the gas or plasma to the surface, driven by density gradients, electrostatic fields, and electrodynamic forces. Whether diffusion or drift dominates depends strongly on process conditions such as gas pressure, composition, temperature, frequency and power of the plasma excitation, and bias voltages. In the optimal case, the volatile products desorb from the surface and are finally eliminated from the dry etching chamber by diffusion and vacuum pumps. In the wet case, the reactants are provided in solution. Concentration gradients drive the dominantly diffusive transport of the agent to the silicon surface. In the usual configuration, shown in Fig. 6.2-1, the size of the concentration gradient dc/dx=(c,-c,)/d is essentially limited by two factors. The first is the difference in the concentrations cs and co of the reactant, respectively, at the surface and in the homogeneous volume of the etching solution, where the reactant is at its nominal concentration c,. The concentration cs is determined by the efficiency at which the reactant is depleted at the surface, i.e., by the etch rate. The second factor is the thickness d of the concentration boundary layer that separates the surface from the homogeneous solution. Agitation of the solution reduces d and thus increases the etching efficiency. Dissipation of ultrasound enhances the transport steps, but damages fragile structures. When choosing an etching technique in order to reach a particular goal, one may base one’s choice on criteria such as etch rate and anisotropy, selectivity, microstructure shape, process compatibility, ease of use, safety, and cost, among others, as described in the following.
-&C
Fig. 6.2-1 Reactant concentration c in wet silicon etchant close to etched surface. The reactant is depleted below the nominal concentration co in a boundary layer of thickness d.
6.2 Silicon Micromachining
2 17
Etch Rates and Anisotropy The anisotropy of an etching process results from the fact that some crystal planes are etched more rapidly than others. Anisotropy may be expected, since etching as a thermodynamic phenomenon is related to crystal growth, which is well-known to yield faceted single crystals. Examples of anisotropic etchants include a list of alkali hydroxide solutions and solutions of amine-based organic solutions with properties described below. A common property of these anisotropic etchants is that they etch (111) crystal planes much more slowly than (100) and (110) planes. In contrast, isotropic etchants remove material in all directions with identical rate. Etch cavities with cross-sections shown in Fig. 6.2-2 (a) and (b) are thus obtained using isotropic and anisotropic etchants, respectively. The stability of (111) planes can be exploited to accurately define the final shape of a microstructure. The geometry of a patterned mask layer on a silicon surface is thus transferred into the silicon substrate. The accuracy of this transfer has its limit in a small but non-negligible etch rate of (111) planes, which leads to mask underetching as shown in Fig. 6.2-2 (c). If required, this can to some extent be compensated by appropriate mask design. In dry etching processes, anisotropy is achieved by the acceleration of the reactive species by suitable substrate bias, and by surface passivation, as explained in Section 6.2.6. Wet and dry etchant formulations without direction-sensitivity are also available. A commonly used wet system is based on solutions of nitric and hydrofluoric acid (Section 6.2.2). Whether an etchant is isotropic or anisotropic depends on the predominance of transport processes (isotropic diffusion) or surface reaction rates (orientation dependent). Diffusion limited etching tends to be isotropic; with etch rate limited processes, the converse is true and anisotropy may result. Silicon etch rates vary from almost zero for the (111) crystal planes in anisotropic etchants to several hundred micrometer per minute in isotropic etching solutions. In anisotropic wet etchants, etch rates of the fast etching planes can be several tens of micrometers per hour at temperatures between 50 "C and 100 "C.
Fig. 6.2-2 Cross-sections of trenches etched by isotropic (a) and
anisotropic (b, c) wet silicon etchants. Result of underetching is shown in (c).
2 18
6 Silicon Microsystem Technology
Selectivity Like in IC technology, the selectivity of an etchant designates the ratio of the etch rate of different materials. In the technologist’s jargon, an etchant for a material A is selective against another material B when it etches A more rapidly than B. Quantitatively, the selectivity SA.B is the ratio R A : R B of the respective etch rates R A and RB. While the goal of silicon micromachining is to remove silicon, frequently other materials have to be preserved in the process. These may be a mask layer, such as a structured silicon nitride, silicon oxide, metal or polymer mask defining the initial etch shape. For the reliable etching of a distance detchinto the silicon (etch depth or underetching distance), the thickness dmaskof the mask layer should be sufficiently large. Explicitly, one must have dmask> detch. Frequently, more complicated material systems than a silicon/mask combination are to be structured. An extreme example is the microstructuring of wafers produced using a complete CMOS process [Leng94, Miinc971. The exposed metal of contact pads on such wafers is removed by alkaline solutions within minutes. The lack of an etchant formulation with sufficiently high selectivity against aluminum based alloys is one of the reasons why silicon micromachining of CMOS wafers is not yet a wide-spread commercially used batchwise process.
Process Compatibility Contamination, temperature budget, and the deposited energy density are additional issues to be considered. Contamination primarily concerns the possible diffusion of alkaline ions from anisotropic etchants into the dielectric layers of electrically active components. In the worst case, alkaline contaminants diffuse to the gate oxide and lead to uncontrollable shifts of the threshold voltages. When circuitry is integrated on-chip with microtransducers, wet alkaline micromachining may be safely carried out as a preprocess or postprocess, both followed by thorough cleaning. The reader be reminded that current chemical-mechanical polishing (CMP) processes use stabilizers such as KOH and oxidizers such as KIO, in their solutions. Such processes are applied at all levels of the back-end of stateof-the-art IC processes. Contamination seems to be well under control. Thermal budget could be a second concern. Wet etching temperatures rarely exceed 120°C. This is far below the temperature limit of 450°C not to be exceeded after the first metalization has been deposited. It is even below the upper temperature for stable operation of integrated circuits (ca. 150 “C). Doping profiles thus remain stable and reliable operation of circuitry is expected. In contrast with wet etching, dry etching methods deposit considerable power densities on the wafer surface. Substrate temperatures are usually at or slightly above room temperature. Nevertheless, in view of the possible thermal isolation of microstructures, they may heat up. In addition to the preprocessing and post processing methods mentioned above, other process variants best termed “in-between processes” have been developed. As an example, the processes of bipolar and CMOS fab DIMES associated with
6.2 Silicon Micromachining
2 19
the Delft University of Technology have a modular structure [Sarr92]. Some of these modules are dedicated to microsensor fabrication. They are fully compatible with the preceding and following process sequences and enable surface and bulk micromachined devices to be integrated with IC structures. Ease of Use and Safety
Ease of use is an issue closely related with safety. Besides physical barriers, probably the best protection against perilous incidents are clear thinking and slow, controlled movements. Thorough training of operators is a top priority. Alkali hydroxide solutions have a low hazard potential if reasonable safety rules are observed. The contact with the etchants and with their fumes and etch products should be avoided by using impermeable gloves and providing appropriate ventilation. The same comments apply to the use of HF solutions. Safety measures such as those typically used in IC wet processing (chemically resistant gloves, apron, face protection, ventilation) are imperative. In the case of solutions based on ethylene-diamine, pyrazine, and pyrocatechol, additional security barriers should be provided. A closed vented cabinet is mandatory. These organic compounds are highly toxic. Their combination has repeatedly been mentioned as potentially carcinogenic. Admittedly, manipulating tweezers and chips using clumsy gloves and observating a microsensor chip across the window pane of a chemical cabinet are challenging. However, momentary loss of a sample is preferable over potentially long-time health problems. Dry etching is inherently easier to use, especially if the manipulation is performed at the batch level by a cassette handling system. As in IC foundries, adequate protection of operators against corrosive fluorine or chlorine components must be ensured by proper installation, vented gas cabinets, gas sensors, and alarm signals. cost
Wet etching equipment is usually less expensive than state-of-the-art dry etching tools. The cost of the second category of equipment easily exceeds a quarter of a million dollars stand-alone, or considerably more if combined in a cluster tool with other dry processes. In addition to the initial investment, overall cost is however determined as well by running costs, average down-time, chemical waste treatment and disposal, width of process windows, achievable specifications, and yield. In practice, criteria such as availability of equipment or previous experience with a method are often equally important in determining the decision whether or not to use a micromachining technique.
220
6 Silicon Microsystem Technology
6.2.2 Wet Etching Wet etching of silicon has been successfully used over the past twenty years to produce an amazing variety of microstructures: membranes, bridges and cantilevers made of silicon or dielectric materials supported on silicon, etch grooves for optical or fluidic application, spirals, sieves, etc. A variety of etching formulations exist with widely differing properties. We now describe some of the more widely used recipes in more detail. A setup for wet silicon etching is schematically shown in Fig. 6.2-3.
Reflux condenser
Etch solution __
Heating fluid
at controlled
temperature
Fig. 6.2-3 Schematic cross-section of an experimental setup for wet silicon etching.
HNA Etchants Silicon is isotropically etched by mixtures of HF, HNO,, and CH3COOH, i.e., hydrofluoric acid, nitric acid, and acetic acid, hence the designation NHA, and water. In a second class of isotropic silicon etchants, the acetic acid is entirely replaced by water. The hydrofluoric acid is usually provided as HF(49.2%), i.e., with a weight-percentage of 49.2% HF in water. Similarly, HNO, is frequently provided as the standard aqueous solution HN03(69.51 %). Etch rates and properties of the two families of mixtures have been reported in IRobb59, Robb60, Schw61, Schw761 and are shown in Fig. 6.2-4. Etch rates up to 940 y d m i n for solutions with 20 to 46 % HN0,(69.5 1 %) complemented by HF(49.2 %) have been achieved at room temperature. The quality of the etched silicon surfaces depends on the etchant composition. Smoother surfaces are achieved in solutions with higher nitric acid and lower acetic acid concentration.
6.2 Silicon Micromachining
100
50 CH3COOH Wt.-%
0
100
50 CH3COOH W.-%
22 1
0
Fig. 6.2-4 Silicon etch rate of hydrofluoriclnitriclacetic acid mixtures in p d m i n (a)
and resulting surface quality (b). In (b), dark areas correspond to smooth surfaces and round edges, while white areas are characterized by rough surfaces and sharp edges [Robb60, Schw761. The etching by HNA involves two steps. First, the silicon surface is oxidized by the HNO,, a powerful oxidant. Simultaneously, the resulting oxide is removed by the diluted hydrofluoric acid. Generally, etchants without acetic acid show lower etch rates. The discrepancy increases with the acetic acid content of the solution. It has been suggested that this is due to the lower polarity of the acetic acid molecule in comparison with the highly polar H,O molecule. The following overall reaction [Will961 has been suggested: 18HF
+ 4HN0, + 3 Si -+
3H,SiF,
+ 4NO(g) + 8H,O.
(6.1)
The reason why HNA solutions etch isotropically is that the etching process is diffusion-limited. A disadvantage of HNA etchants is that their selectivities against silicon dioxide are low. Etch rates of S i 0 2 between 30 and 70 n d m i n have been reported [Pete82a]. Silicon nitride and noble metals are mask materials with better resistance. Cured negative photoresist has also been used as a simple yet not too effective mask.
Alkali Hydroxide Etchants Aqueous solutions of the alkali hydroxides KOH, NaOH, LiOH, CsOH, and RbOH are anisotropic silicon etchants. Among them, KOH is most widely used. All etch the (100) planes faster than the (110) planes and much faster than the (111) planes. Early explanations of the anisotropy were based on the different numbers of bonds with which the surface silicon atoms are bonded to the bulk crystal. In these simple models atoms on (100) surfaces presented two dangling bonds to the solution. In contrast, (111) atoms leave only one bond dangling, as schematically shown in Fig. 6.2-5. Thus the etching solution has to disrupt two
222
6 Silicon Microsystem Technology (1 11) Surface
(1 00) Surface
Fig. 6.2-5 Coordination of the outermost atom layer on the (111) and (100)-oriented
silicon surfaces.
and three crystal bonds, respectively, in the two cases, hence the slower etch rate of (1 11) surfaces. However, this simple picture is unable to explain the difference in etch rate between (111) and (110) planes, to predict etch rates close to the (111) planes [Elwe971 or to account for the spontaneous appearance of hillocks. It also conflicts with results obtained using scanning probe microscopies or with the tendency of (11 1) planes to reconstruct into more complex topographies where individual atoms no longer show the expected number of dangling bonds. A recent theory based on concepts of physical chemistry, the surface tension of various crystal faces and the free energy of atomic steps on etched surfaces appears to be successful in accounting for some of the more detailed observations [Elwe97, Elwe981. More refined versions of microscopic anisotropic silicon etching theories are still under discussion. It is apparent that alkaline silicon etching is reaction rate limited. Stirring the solution helps more by cleaning the etched surface from obstructing gas bubbles than by narrowing the diffusion boundary layer. Further, activation energies of 12-16 kcal/mole of the etching process are typical of chemical reactions rather than of diffusion-controlled processes. The reaction of KOH solutions with the silicon surface was studied in detail in [Seid87, Seid901. These authors concluded that the presence of hydroxyl ions, OH-, is responsible for the etching. They proposed the overall scheme
Si
+ 20H- + 2H20 + Si02(OH);- + 2H2.
Si
+ 20H- + Si(OH),’- + 4eC.
(6.2) The reaction starts by the oxidation of the silicon by hydroxyl ions, according to
(6.3)
Four electrons per oxidized Si-atom are injected into the conduction band of the silicon crystal. Attracted by the negatively charged complexed silicon, the electrons remain near the Si surface. In combination with the Si(0H);- ions, they build up an electrolytical dipole layer. Finally, the electrons react with water molecules according to 4e-
+ 4 H 2 0 -+ 40H- + 2H,
(6.4)
6.2 Silicon Micromachining
223
This prevents the silicon crystal from being negatively charged up further and restitutes the hydroxyl ions to the solution. Both effects contribute to keep the reaction (6.2) running. The silicon complex finally reacts with four further OH- ions to produce the soluble complex Si02(OH)22-and two water molecules. Concentration of useful KOH solutions typically range from 20 wt% to 50 wt%. Common are concentrations around 30 wt% or 6 molar (M). Concentrations below 20 wt% tend to produce rough surfaces. Etching temperatures between 50 and 95 "C have been reported in the literature. Generally the quality of etched structures increases with temperature. However this is achieved at the cost of a reduced anisotropy ratio R~loo):R~lll). At 72"C, a solution with 15 wt% KOH has a (100) etch rate of roughly 55 pm/h [Seid87]. At 95OC, a solution with 6 M KOH provides an etch rate of 150 pm/h on commercial CMOS substrates [Jaeg96]. The addition of isopropyl alcohol (IPA) to KOH solutions enhances the anisol l l ~ . up to 400:l have been reported, enabling the fabritropy ratio R ~ l o o ~ : R ~Ratios cation of structures essentially defined by the resolution of the mask [Pete82a]. The most reliable etch mask against KOH available in standard IC technologies is LPCVD silicon nitride, as used, e.g., in the LOCOS process. Its etch rate in KOH at all relevant concentrations and temperatures is negligible. Its adhesion to the silicon substrate is excellent and its pinhole density is low. Both properties make it an excellent choice as a mask layer. PECVD silicon nitride also has low etch rate usually below 1 nm/min. However, depending on process conditions, larger defect densities result from the higher pinhole densities of the PECVD layers. Also, underetch rates tend to be higher due to the lower adhesion of the layers to silicon. Similarly to high temperature (LPCVD) silicon nitride, thermal silicon oxide provides practically defect-free and uniform etch protection. The selectivity of KOH against thermal Si02 is 300: 1 for 30 wt% KOH at 60 "C. It decreases with increasing temperature and KOH concentration. PECVD silicon oxide has much higher KOH etch rates and can be used, if necessary, for short etching experiments. Exposed aluminum such as that of contact pads is attacked violently with etch rates larger than 1 pm/min. at 95 "C. Even aluminum structures protected by 2-pmthick PECVD silicon nitride and oxynitride passivation sandwiches usually do not survive extended KOH etching without being locally destroyed through pinholes. Negative photoresist can be cured to become resistant against KOH and in principle can be used as a protection layer for the delicate active wafer side. Unfortunately, in solution the photoresist is lifted off starting from the edges and finally floats off, leaving the wafer unprotected. A breakthrough in KOH etching consists of micromachining standard CMOS wafers using a 6 M aqueous KOH solution. "Standard CMOS" means here that prior to micromachining the wafers underwent a complete CMOS process, from the initial gettering treatment to the deposition of the final passivation. The process has been transferred to the ASIC CMOS foundry EM MicroelectronicMarin SA [Munc97]. Micromachining is carried out as a post-process. Its goal is the fabrication of membranes composed of the entire sandwich of dielectric CMOS layers with integrated polysilicon and metal structures. These membranes are used for thermal microsensors such as gas flow sensors [Maye97], infrared detectors [Paul98], and chemical sensors [Kol199]. The development of the process
224
6 Silicon Microsystem Technology
involved several challenges. First, on-chip circuitry cointegrated with the microsensors have to be preserved from KOH. Second, the rear surface of the CMOS wafers routinely shows a root mean square roughness of the order of 1 pm, reducing mask adhesion. Finally, the bulk silicon material contains oxygen precipitates which increase the (111) etch rate. These challenges were solved by the process sequence shown in Fig. 6.2-6. Micromachining starts with the deposition of a front silicon nitride passivation with tensile prestress. In the final membranes this stress compensates the average compressive stress of the CMOS dielectric sandwich and improves the membrane yield. In order to protect the active wafer side including the sensitive aluminum pads, a PECVD silicon oxide based on tetraethoxysilane (TEOS) is then deposited on the front, conformally covering contact pads and passivation. About 50 pm of silicon are then removed from the rear surface of the wafers by commercial chemical polishing. A PECVD silicon nitride layer is next deposited onto the wafer back and structured. The wafers are then mounted in an etch box made of stainless steel, with O-rings and teflon spacers. After roughly four hours, the 600-pm-thick 6-inch wafers are completely etched through and the KOH etching has stopped at the field oxide. Finally, the wafer is rinsed and diced. Overall membrane yield after dicing higher than 98 % was reported [Leng94]. (a)
CMOS thin films CMOS silicon
(b)
Sensor passivation Rough surface
(4
Protection layer Polished surface Mask Etch box
(9) Membrane
Fig. 6.2-6 CMOS-compatible KOH etching of membranes consisting of the CMOS dielectric layers.
6.2 Silicon Micromachining
225
Ammonium Hydroxide Etchants The above reaction scheme shows that a base is needed to etch silicon. The alkali ions in solution do not contribute directly to the etching. They participate indirectly through maintaining a pH value favorable to the formation of a soluble silicon complex. The search for alternative silicon etchants was thus naturally guided to the investigation of nonalkaline bases. Examples are solutions of the inorganic compounds ammonium hydroxide (NH,OH), tetraethylammonium hydroxide, and tetramethylammonium hydroxide ((CH,),NOH), abbreviated TEAH and TMAH, respectively. Ammonium hydroxide at concentrations between 1 and 18 wt% at 75 "C etches silicon anisotropically with etch rates up to 30 p d h . The resulting silicon surfaces, however, are rough and the etch rate is thus unpredictable over longer etch times. Ammonium hydroxide is a gas under ambient conditions. It evaporates from the solution, leading to unstable etchants [Schn90]. Besides KOH, TMAH is the most popular silicon anisotropic etchant. Since it is used in aqueous solution it is sometimes referred to as TMAHW or TMAW (= TMAH with Water). The main advantage of TMAH is that it can be made selective against aluminum by appropriate additives. Solutions compatible with the standard CMOS metallization thus appear feasible. [Taba95] deals with this issue. The TMAH etch rate is maximum at 2 wt% TMAH and decreases with concentration (1.5 p d m i n at 10 wt% and 0.5 pm/min at 40 wt%, both at 90 "C), i. e., with increasing pH value. Simultaneously, the quality of the etched surfaces is improved. Above 20 wt%, smooth etch walls and bottoms are obtained. Below 15 %, the formation of hillocks leads to irreproducible results. Anisotropy ratio R~loo):R~lll) decreases from 35 to 10 between 5 and 40 wt%. Ristic reports (100) etch rates of approximately 4 p d h , 33 p m h , and 80 pm/h for 20 wt% solutions at 50 "C, 80 "C, and 95 "C, respectively [Rist94]. Surface roughness is due to hillock formation which was suggested to be due to persistent H2 bubbles locally screening the silicon surface from the etchant. Oxidizers including ammonium peroxydisulfate ((NHJ2S208) have been added to TMAH solutions to bind hydrogen ions, with various degrees of success [Klaa96a]. Unfortunately the aluminum etch rate increases with increasing TMAH concentration, jeopardizing the CMOS-compatibility of TMAH. The reason is that the natural passivation layer Al(OH,) of aluminum is dissolved by strong bases or acids. By lowering the pH value of the TMAH solution, the selectivity to aluminum can thus be improved. Tabata et al. showed that by lowering the pH value by 1 unit by adding the acids (NH4)2C02and (NH,),HP04, the aluminum etch rate was reduced by a factor of roughly 10, [Taba95]. An alternative scheme consists of adding silicon to the TMAH solutions ("silicon doping" of the solution). Orthosilicic acid, i. e. Si(OH4) (c-? Si02(OH),2- 2H+), is formed and the pH value of the solution is lowered. As a welcome side-effect, the silicon complexes react with the aluminum to form a less soluble aluminum silicate. Adding silicon hy dissolving wafer fragments or silicon powder is time-consuming. An alternative approach consists of directly adding orthosilicic acid instead of silicon.
+
226
6 Silicon Microsystem Technology
A clear advantage of TMAH solutions is their high selectivities against the various available dielectrics. [Rist94, Schn9 11 have reported selectivity values of different etch formulations. In every case the selectivity against LPCVD silicon nitride and thermal silicon oxide was found to be larger than 2X104 and 5X103, respectively. PECVD layers show selectivities larger than 103. In silicon-doped solutions, selectivities are even larger. Consequently, a single thin dielectric layer reliably protects silicon against TMAH solutions, even if the etching goes across entire wafers. Ethylene-diamine Pyrocatechol Etchants Historically, solutions based on hydrazine (N,H,) and pyrocatechol (C,H,(OH),) [Cris62] were the first with which anisotropic silicon etching was demonstrated. Later, the toxic hydrazine was replaced by ethylene-diamine (NH,(CH,),NH,) by Finne et al. [Finn67]. The resulting solution is frequently referred to as EDP or EDW (W for Water). Water was shown to be an essential ingredient of the reaction, through the ionization of ethylene-diamine according to NH,(CH2),NH2
+ H 2 0 -+ NH,(CH,),NH,+ + OH-.
(6.5) The surface silicon atoms react with hydroxyl ions to produce Si(OH),,+. In a second step the reaction with water produces the complex Si(OH);-. This is then further complexed by the pyrocatechol through the reaction Si(0H);-
+ 3C&,(OH),
-+ [Si(C6H40,)3]2-
+ 6H20.
(6.6) Customary EDP solutions have the following compositions. Solution of type S (= Slow) consists of 1 liter ethylenediamine, 160 g pyrocatechol, 6 g pyrazine and 133 ml water. This formulation has a relatively low (100) etch rate in comparison with alkaline etchants. At 70"C, 8O"C, and 90"C, its etch rate is 14 pm/h, 20 p d h , and 30 p d h , respectively. Its anisotropy ratio R~,oo,:R~,,,, is approximately 35 [Pete82a]. A second EDP formulation (type F, Fast) contains 320 ml instead of 133 ml water. Its etch rate is larger, however it has lower aluminum selectivity and etch quality. EDP is much appreciated for its CMOS compatibility. Its selectivity against aluminum is roughly 300: 1 at 90 "C. Consequently, during the three hours required to produce say a 90 pm deep etch pit, the top 0.3 pm of contact pads are removed. With typical CMOS metallization thicknesses between 0.6 pm and 1 pm, sufficient material remains on-chip for acceptable wire bonding. Bond reliability may be increased by designing contact pads with two or more (if available) superposed CMOS metals. Typical selectivities of EDP type S against silicon oxides are larger than 2000: 1 and even larger against silicon nitrides [Mose93]. If CMOS chips are to be etched in EDP, their silicon substrate must be locally exposed. This is achieved by cutting across all dielectrics, either during the CMOS process by superposing active, contact, via, and pad masks [Mose93], or after the CMOS process by a dry etch across the dielectric layers. The high selectivity against oxide makes an HF dip just before EDP etching necessary. This removes the native oxide protecting the silicon areas to be niicro-
6.2 Silicon Micromachining
227
structured. Even at a thickness of only 30 A, the native oxide would cause irreproducible and uncontrolled results. After completion of the EDP etching, cavities are often found to be plugged up with insoluble white deposits, likely to be solid Si(OH)4. Also, bonding pads have been found to bccome unbondable, possibly due to their passivation with Al(OH),. A cure against both problems consists of thoroughly rinsing in deionized water, dipping in 5 % ascorbic acid and cleaning by a second rinse in water [Leng94]. EDP solutions are toxic and corrosive. If this micromachining approach is adopted, extreme care should be applied in handling this solution. EDP oxidizes in contact with air. With time, its color changes from opaque dark-red to transparent brownish and unstable etching characteristics are obtained. Optimally the chamber volume in the reflux condenser above the solution is continuously rinsed with dry nitrogen. Even then, after roughly two weeks at room temperature, the solution usually has to be replaced by a fresh mixture.
6.2.3 Basic Etch Shapes The anisotropy of etchants provides a straightforward method to fabricate a variety of micromechanical structures. Their geometry is defined by the fact that (111) crystal planes etch slowly, while (100) surfaces and others are rapidly etched. The relatively high etch rate of intermediate planes such as (122) or (133) allows convex structures to be undercut. Using these basic characteristics, grooves, membranes, mesas, cantilevers, bridges and more complicated structures are readily obtained. Etch Grooves Usually the substrate wafer to be etched has (100) orientation and is covered by a masking layer, i.e., SiO, or Si,N4. This is patterned to locally expose the silicon below. We now let an anisotropic wet etchant act on the silicon surface. One atom layer after the other of the (100) surface is removed. As shown in the schematic cross-section in Fig. 6.2-7, the progression of the (100) plane is laterally constrained by (111) planes. Once a portion of (111) crystal plane has been exposed by the etchant, it is etched at the much lower rate R(lll). Thus, apart from slow underetching the lateral (111) planes appear stable. Their slope angle with respect to the vertical direction is a(1lll = arctg(J2) = 54.7'. After a sufficiently long time, the (100) etch bottom dies out at the intersection of the lateral (111) walls, as shown in Fig. 6.2-7. The etching then virtually comes to a stop. The result is a v-groove of width W and depth D, related by W = J2D. Viewed from above, the three-dimensional structures obtained using rectangular mask openings with edges oriented along [ 1101 directions, as shown in Fig. 6.2-7, are rectangular grooves delimited by four (1 11) planes originating at the edges of the openings. With a square opening, the resulting etch pit is an inverted pyramid. The angle between (111) walls is 70.5'.
228
6 Silicon Microsystem Technology
Fig. 6.2-7 Rectangular mask openings aligned with [Oil] and [OlT] crystal directions on (100) wafers result in anisotropic etch grooves delimited by (1, + 1, 1)
+
and (100) planes. Ultimately the (100) plane vanishes.
A mask opening of arbitrary geometry on a (100) substrate will ultimately lead to a v-groove. At edges with orientation other than , crystal planes with underetch rate higher than R(lll)will be exposed, thus enabling the edge to be underetched. Ultimately the corresponding etch front is stopped at the outermost (111) planes defined by the mask opening. These observations are summarized in Fig. 6.2-8 where two mask openings and the resulting v-groove are shown. To obtain the etch pit geometry for a given opening, construct the smallest rectangle with sides oriented along the [ O l l ] and [OlT] directions, which completely contains the opening. If the circumscribed openings of two mask openings overlap, construct the enveloping rectangle of the overlapping rectangles; iterate to convergence. This defines the ultimate v-groove as illustrated for example in Fig. 6.2-8. For some applications, (1 10) substrate material is preferred over (100) silicon. This choice is justified by the fact that (110) wafers contain (111) planes perpendicular to the wafer surface. The etching then proceeds as shown in Fig. 6.2-9 (a) and (b). The surface (110) plane is efficiently etched and the progression of the etch front exposes vertical (1 1I) walls. Viewed from above, rhomboidal structures as shown in Fig. 6.2-9 (c) are obtained. In view of the verticality of the four side walls, the etching proceeds until the wafer is etched across. Two further (111) planes intersect the (110) surface at an angle of 35.3 degrees and may be exploited for other structures, using appropriately designed etch masks.
6.2 Silicon Micromachining
229
Fig. 6.2-8 Irregularly shaped mask openings lead to anisotropic etch grooves defined by the smallest enveloping rectangle aligned with directions. Openings with overlapping grooves ultimately result in large etch grooves.
Fig. 6.2-9 Rhomboidal mask openings on (1 10)-oriented silicon with edges aligned along [T12] and [1i2] directions may result in etch grooves with vertical sidewalls.
6 Silicon Microsystem Technology
230
Membranes With large openings, the (100) etch front on (100) material reaches the opposite side of the wafer before the lateral (111) walls intersect. If the etching is stopped shortly before this occurs, a silicon membrane laterally clamped to the silicon substrate is obtained. Such membranes now belong to the most successful commercial silicon microstructures. In numerous silicon pressure sensors, the deflection of the membrane under differential pressure gives access to various kinds of pressure dependent signals (see Section 6.4). For reproducible fabrication and reliable operation, the final membrane thickness has to be accurately controlled. Several methods to ensure this are described in Section 6.2.4.
Mesas and Tips It is a greater challenge to etch protrusions than cavities. Mesas, as protrusions are often also referred to, are obtained by convex mask structures surrounded by exposed silicon. As shown in Fig. 6.2-10, etching then produces a truncated pyramid which progressively emerges from the receding silicon. The difficulty of the task results from the underetching of the convex mask corners by planes with etch rates comparable to R(loo).A square mask thus does not lead to a mesa with square top face. The resulting pyramid is defined by (111)-oriented and higher index planes A solution to this problem consists of adding compensation structures to the corners of the mask, as shown in Fig. 6.2-11. The underetching then starts from the corners of the compensation structures. If the compensation structures are judiciously designed, the various underetching fronts converge to the desired top geometry of the mesa just when the desired mesa height is reached. Understandably, this requires reliable underetch rates, i. e., a well controlled and stable etch solution. Note that the dimensions of compensation structures scale linearly with the height of the mesa and thus dictate the minimal distance between mesas. Since
(a)
Mask
Silicon
(b)
Mesa
Fig. 6.2-10 Convex mask structures (a) result in mesas with corners rounded by rapidly etching higher index planes. In (b) mask has been omitted for clarity.
6.2 Silicon Micromachining
(a)
Mask \
(001)
Silicon I
(111)
(b)
23 1
Mesa
Higher index planes
Fig. 6.2-11 Simulation of the effect of corner compensation structures on anisotropic silicon etching. The higher index etch fronts converge to four corners and result in principle in a well defined silicon mesa.
no intrinsic etch stop is reached and processes variations are common, mesas often have irregular corners. If one lets the underetching planes of a square mask converge, the mask material ultimately floats off. Left behind is a prismatic cone with sharp tip, as shown in Fig. 6.2-12. Such sharp structures have been used in a number of applications including scanning tunneling and force microscopes and biomedical applications [Henr98].
Cantilevers Beams with one-sided support, also referred to as cantilevers, can be produced as shown in Fig. 6.2-13 on (100) and (110) wafers. The mask opening in Fig. 6.2-13 has two convex corners which are underetched during the micromachining process. While the etch bottom recedes and the peripheral (1 11) planes remain stable, higher index etch fronts progressively release the cantilever until the rear (1 11)
Fig. 6.2-12 Due to underetching, small convex etch masks ultimately result in sharp silicon tips or ridges after mask lift-off.
232
6 Silicon Microsystem Technology
Fig. 6.2-13 Cantilevers are produced by underetching of convex corners. Correspond-
ing cross-sections between arrows are shown on the right hand side. plane is reached. It should be noted that the receding underetch fronts intersect at a sharp corner. Local thin film stress at this corner sometimes leads to the fracture of the microcantilever. Cantilevers serve a variety of purposes in resonators, accelerometers, and infrared, gas flow, and chemical microsensors, and test structures. The useless but illustrative example of a miniaturized piano for micropianists is shown in Fig. 6.2-14.
Fig. 6.2-14 SEM micrograph of an array of dielectric cantilevers fabricated using anisotropic silicon etching (courtesy of DASA, Munich).
6.2 Silicon Micromachining
233
Bridges Bridges, i. e., beams clamped at both ends are feasible with restrictions. Fig. 6.2-15 shows a design with etch openings intended to produce bridges. While the left design produces disconnected parallel etch grooves, the second layout enables the the bridge to be formed. A bridge will result if the enveloping rectangles of the separate mask windows overlap. The underetch time required to release the structure depends on the relevant underetch rates and should be evaluated already during the design phase. Especially bridge orientations too close to (110) should be avoided in view of their slow underetching.
Fig. 6.2-15 Design rule for successful microbridge fabrication using wet anisotropic silicon etching. The left design with bridge parallel to directions fails to produce a bridge.
Fig. 6.2-16 CMOS microstructure fabricated using EDP front etching of CMOS processed silicon. The micro test structure is used to measure the heat capacity of CMOS thin film sandwiches [Arx98al.
234
6 Silicon Microsystem Technology
As an example, a more complex microbridge etched in EDP type S at 95 “C is shown in Fig. 6.2-16. It was fabricated using a complete CMOS process and is composed of all CMOS dielectric layers, a meandering polysilicon line and a rectangular metal cover sandwiched in-between. The structure has been used to determine the heat capacity of CMOS thin films [Arx98a].
6.2.4 Etching Control Reproducible micromachining requires an accurately controlled etching process. This means controlling the progression of etch fronts in the various relevant directions and stopping the process once a desired geometry is obtained. The simplest way of achieving this is by timing; the etching is interrupted by rinsing the sample after a predetermined time. For this method to be successful, however, one has to know the relevant etch rates accurately enough, have a sufficiently stable solution, and control the etch temperature with sufficient accuracy. With an activation energy of 0.4 eV, at 90°C for example, an etch rate varies by 3.6%/K. This shows that a considerable inaccuracy in etched distance and microstructure geometry may be expected. More reliable control methods which exploit intrinsic mechanisms of the etching process rather than an arbitrary time scale are described in the following. Etch Stop Mechanisms A straightforward method is provided by the selectivity of etchants against materials other than silicon. In the context of IC technology, dielectric layers such as silicon nitride and silicon oxide provide “natural” etch stops. An example of this method was provided with the CMOS compatible KOH membrane etching in Fig. 6.2-6. Once the KOH has crossed the approximately 600-ym-thick wafers, it is stopped by the field oxide of the CMOS sandwich. Ultimately, the field oxide is also etched, finally exposing integrated polysilicon structures to the etchant. With a thermal oxide etch rate of about 200 h m i n . of 6 M KOH at 95 ‘C, one is given approximately 20 minutes before half the field oxide is removed. A sufficient time is therefore left to ensure that the process is completed over the entire wafer. With EDP and TMAH, the situation is even more favorable and dielectric structures are reliably and reproducibly released. The dopant concentration in silicon profoundly influences etch rates. The general observation is that the etch rate is decreased significantly in p-doped silicon at boron concentrations higher than 2 X 10’9cm-3. Figure 6.2-17 shows the dependence of the (100) silicon etch rate of two anisotropic etchants. In the case of EDP, as shown in curve (a), a reduction by a factor lo3 in comparison with weakly doped silicon is observed at a doping level of 1.7 X 1020cm-3.This value is close to the saturation concentration of boron in silicon. The reduction is rather independent of surface orientation. Similar findings for KOH are summarized in curve (b) of Fig. 6.2-17. Again, doping close to saturation leads to a significantly reduced etch rate.
6.2 Silicon Micromachining I
1017
235
I
1018
1019
1020
Boron concentation (ems) Fig. 6.2-17 Dependence of the (100) silicon etch rate in EDP type S at 100 "C (a) and 24 wt% KOH at 60°C (b), (after [Rist94] and [Heub91]). The dependences are almost independent of temperature.
This so-called boron etch stop is simple to implement by heavy doping. In practice, however, several disadvantages are revealed. At the high doping levels required, more than 1000 ppm of boron atoms are present in the silicon crystal. Due to the smaller size of the substitutional boron impurities, the released microstructures shrink or, if suspended on opposite sides, are subjected to considerable tensile stress. The second disadvantage is technological. In view of the high surface density of boron atoms, prohibitively long and thus costly doping predepositions or implantations are necessary to load the crystal with the desired number of impurities. In addition, the degenerate doping prevents circuitry from being implemented in these areas. The boron etch stop mechanism is understood in terms of the fundamental silicon etching mechanism. Electrons injected into the silicon in the first reaction step, Eq. (6.3), are minority carriers in the p-doped sample. In degenerately doped samples, their recombination life time is short and they recombine before the dissociation of water according to Eq. (6.4) is able to occur. Examples of microstructures fabricated using the boron etch stop are shown in Fig. 6.2-18. Such microneedles are used by neurophysiologists as a minimally invasive tool to monitor neural signals in-vivo. The individual needles are fabricated using a two-step boron diffusion with different depths, the deeper of which ensure the stability of the beam over most of its length, while the shallow diffusion allows extremely fine tips to be realized. CVD dielectrics cover the top surface of the structures ; integrated metallizations with exposed contact areas at various locations along the needle make it possible to monitor neural activity. Needles with widths down to 20 pm in the main beam area, typical thicknesses of 12-15 pm, and lengths up to 20 mm have been demonstrated. More than six parallel needles have been integrated on single devices. Recently, even microchannels were integrated in similar structures, for controlled drug delivery.
236
6 Silicon Microsystem Technology
Fig. 6.2-18 SEM and optical micrographs of neural probes fabricated using the boron etch stop technique. (a) Perspective view of a probe tip showing the deep and shallow boron diffusions to define the shank. (b) shows probes with several different two-dimensional geometries (courtesy of K. D. Wise, University of Michigan, Ann Arbor, USA, [Wise98]).
A second example is schematically shown in Fig. 6.2-19. Similar to the above KOH membrane etching, dielectric membranes are fabricated by etching across entire wafers. Inaccuracies in the in-plane dimension of the membrane due to uncontrolled underetching are limited by a circumferential boron diffusion at which the etchant stops. At the same time, boron diffusion provides a method to produce large dielectric membranes supported by a grid of degenerately p-doped silicon lines [Yoon921.
Silicon
Mask’
Fig. 6.2-19 Micromachined membrane accurately defined by heavily boron doped edge regions (after [Yoon92]).
6.2 Silicon Micromachining
237
Electrochemical Etching Since wet etching of silicon is an electrochemical process, one may expect the etch rate to be influenced by an electrical potential Vapplied between etch solution and silicon sample. The I-Vcharacteristics in Fig. 6.2-20 show that this is the case for both, n and p-doped silicon in a 40 % KOH solution at 60 "C. Similar behavior is observed or expected in the other silicon etchants. Depending on its direction, the current I results from a dominance of either chemical oxidation or reduction of the silicon surface. In any case I has to be returned to the solution by complementary reactions at a counter-electrode. At a voltage of approximately -1.55 V, no current flows into or out of the sample. At this so-called open-circuit potential, the reduction/oxidation reactions at the silicon surface are charge-balanced and the silicon etches as though its potential were floating. A second important feature in Fig. 6.2-20 is the sudden drop of the current for both n and p-doped samples to close to zero around -0.9 V. This corresponds to an electrochemical regime where the silicon surface is oxidized (in the chemical sense) without ensuing dissolution of the oxidation products. The surface is said to be passivated. At sample voltages more anodic (positive) than -0.9 V, the silicon etch rate is negligible. This fact now routinely serves in the fabrication of silicon membranes with well-controlled thickness using variants of the three-electrode setup schematically shown in Fig. 6.2-21. A Pt reference electrode defines the reference potential, i. e., 0 V. The silicon sample to be etched consists of a p-doped substrate with n-doped epilayer containing circuit blocks and sensor elements. Its epi thickness corresponds to the desired membrane thickness. To protect the wafer front, the
h
OS8 0.6
I -
0.4
-
0.2
-
B
2
4
E
U
.-
Ic.
u)
s P
0 :
4-
c L
3
7
i
- o * 2 ~ -0.4 -0.6
1 .o
-1.8
-1.4
-1
-0.6
-0.2
Potential (V)
Fig. 6.2-20 I-V characteristics of the electrochemical etching of n and p-doped silicon in 40 wt% KOH at 60°C (after [Rist94]).
23 8
6 Silicon Micmsystrin Tt)chnology
Epi contact
\
Mask
Potentiostat
I I=O
Referenceelectrode
1
Counterelectrode
Fig. 6.2-21 Three-electrode sctup for the electrochcmical etching of silicon ineiiibrancs with accurately defined Lhickness. ‘The etching stops at the
built-in pn-junction [Kloe89].
wafer is usually inserted into a protection box or covered by an etch resistant wax. Only the rear face with its structured mask layer, the p-silicon is locally exposed to the etchant. An anodic potential larger than -0.9 V on the epi ensures its immediate passivation when it enters into contact with the etchant. In contrast, the potential of the p-substrate automatically adjusts itself to a value close to the open circuit potential. The pn-junction is then reverse biased and except for a small leakage current, no current flows through p-substrate nor pn-juntion. The sample is therefore etched at its unbiased speed, with etched structures defined by the rear mask, until the etch front reaches the pn-junction. At this point, the n-silicon surface is passivated and the etching stops. Membranes with controlled thickness are thus obtained. To ensure the proper potential definition, a counterelectrode is used in addition to the sample and reference electrodes. By returning the sample current to the solution, it enables the reference electrode current to remain at zero volts. This is achieved by using a so-called potentiostat, which simply is a feedback circuit maintaining Ire,= 0 by appropriate adjustment of the counterelectrode potential. A result of such processing is shown in Fig. 6.2-22. A lightly n-doped membrane is suspended on a p-doped substrate. In contrast with the boron etch stop, this technique permits the integration of additional active or passive elements into the micromachined structures, such as p-doped diffusions, serving as piezoresistors or heating resistors, or even of CMOS circuitry [Reay95]. Dielectric CMOS membranes with a suspended silicon island have been fabricated using electrochemical KOH etching, allowing the thermal decoupling of circuitry components from the rest of the chip [Mii1198]. Similarly, electrochemical front side etching in TMAH has enabled the production of silicon islands suspended on dielectric cantilevers. Such structures are again thermally well isolated
6.2 Silicon Micromachining n-Epilayer
Membrane
239
Circuitry
Piezoresistor
Fig. 6.2-22 Silicon membrane with integrated piezoresistors fabricated by the electro-
chemical etch-stop. The structure is used for pressure sensing or ultrasound generation and detection [Bran97].
from the rest of the chip. Micromachined thermal converters have been fabricated using this method IKlaa96bl. Recently, a different electrochemical method to etch silicon has been developed. When silicon is biased to a sufficiently anodic potential with respect to diluted HF solutions, pores with diameters between a few nanometers and tens of micrometers and pore pitch to diameter ratios between 1.1 and 10 are etched into the silicon. Pore parameters depend on bias voltage, HF concentration, and temperature [Lehm9 11. Nanoporous silicon with irregular nm-size pores has been used to turn extended regions of exposed silicon into a sponge-like material which is easily removed using diluted alkaline etchants. In contrast, ,urn-size mask openings combined with proper bias and HF concentration yield perfectly regular vertical holes useful, e. g., for high-area integrated capacitors, high aspect ratio microstructures, and microoptical components [Lehm96].
6.2.5 Characterization of Anisotropic Wet Etchants Several reasons make it necessary to characterize the direction dependent etch rates of anisotropic etchants : the evaluation of underetch times required to produce microstructures, the accurate prediction of etch shapes, the design of optimized etch masks. The space of etch parameters to be varied is large. In addition to their direction dependence, etch rates show strong variations with etchant composition, temperature, bias potential and illumination level, among others. The temperature dependence is frequently handled by determining etch rates R(T) at several temperatures and extracting the activation energy EA of the process, by fittting Arrhenius’ law R(T) = Roexp (-EA/kT) to the data. An elegant method to efficiently acquire such data has recently been proposed by Sato et al. [Sato97]. It is schematically shown in Fig. 6.2-23. A polished hemispherical silicon sample with a diameter of 22 mm is used. Such a hemisphere exposes all existing crystal planes. Consequently the etch rates of all crystal faces can in principle be determined. The sample is mounted on a goniometer and its
240
6 Silicon Microsystem Technology
Initial shape
Final shape
Fig. 6.2-23 Schematic cross-section of initial and final shape of anisotropically etched silicon sphere.
shape is accurately determined. It is then etched for a certain time in the solution to be characterized, and rinsed. Its final shape is the result of the progression of all etch fronts originating from the hemisphere. From the difference of the two topographies, a map of the etch rates is extracted. The etch duration has to be chosen judiciously. If it is too short, the etched distances are small and inaccurate rates are extracted. If it is too long, some crystal planes between fast-etching directions are reduced to edges between fast etching fronts. Nevertheless the hemisphere method gives straightforward access to complete sets of orientation dependent etch rates. A disadvantage is the cost of the samples. A method for more modest budgets is based on the “wagon wheel”, denoting a planar etch mask which consists of a large number N of radial spokes, as shown in Fig. 6.2-24 (a) [Csep83]. Mask spokes and separating transparent areas alternate with an angular period of 2rrlN. Photolithography is used to transfer the pattern into a mask layer on a polished (100) silicon wafer. Spoke-shaped areas of exposed silicon then separate spoke-shaped mask structures. Through the mask openings, the etchant rapidly progresses in the (100) direction normal to the wafer surface. Simultaneously, the different mask edges are underetched at individual rates depending on their respective orientations. Thus, after an etch duration tetcha series of etch grooves is produced, delimited by a (100) floor and side walls composed of one or several crystal planes parallel to the corresponding inask edge. The overall result is a pattern similar to that shown in Fig. 6.2-24 (b). The clover-shaped clear area shows where the mask spokes were completely underetched due to the merging of neighboring side walls. The underetch rate R,(0) is thus obtained as a function of edge direction 8. It is given by R,(8) = r ( 8 ) d 2NtetCh, where the radius 4 0 ) denotes the radial extension of the clover-shape. In addition a quantitative analysis of etch rates requires the inclination angle (Miller indices) of smooth sidewalls with respect to the wafer normal to be determined, e. g., optically. With this input, the unteretch rates can be translated into etch rates R(0) of crystal planes by R = R,sinp. The wagon wheel method yields a discrete set of etch rates.
6.2 Silicon Micromachining
241
Resist mask
1001
Fig. 6.2-24 (a) Wagon wheel mask for the determination of direction-dependent un-
deretch rates and etch rates; (b) micrograph of etched wagon wheel pattern.
6.2.6 Dry Etching The general terminology and basic effects involved in dry etching were introduced in Section 4.7. Here, further information is presented concerning the dry etching of silicon and IC thin films. In recent years, in fact, the dry etching for microsystem fabrication has developed into an art of its own. Several exciting techniques have emerged and spread rapidly. These include isotropic silicon etching using xenon difluoride (XeF,) and a variety of techniques allowing the fabrication of high aspect ratio structures, i. e., features with small width at comparatively large height.
XeF, Etching XeF, is an isotropic silicon etchant with high etch rate [Chan95]. It is one among a few rare gas compounds of the inert noble gas Xe. It may be purchased in bottles in the solid form from which it sublimates under ambient conditions. On a silicon surface it decomposes readily into the volatile Xe, and silicon tetrafluoride (SiFJ. Silicon etch rates up to tens of ymlmin have been reported. However, etching appears to rapidly enter the diffusion-limited regime, when microstructures are being underetched. The etch process is then progressively slowed down. In this case, pulsed operation with repeated etching and pump-down cycles has been found to be beneficial to the etch rate. Relatively simple equipment is sufficient for XeFz etching: a bell jar with the XeF, bottle with a valve and a pump with pressure gauge and throttle valve appear to be sufficient. No external energy input such as plasma nor heating are required. However, safety precautions have to be taken in view of the aggressive fluorine. Typical etching pressures at ambient temperature are a few torr.
242
6 Silicon Microsystem Technology
XeF, attacks neither IC dielectrics nor aluminum metallizations. It is therefore fully CMOS compatible. Microstructures have been produced by this method on CMOS chips fabricated through the MOSIS service [Hoff95]. Similarly to EDP front micromachining, the stack of dielectric layers has to be opened at well-defined locations through appropriate design of the field, contact, via, and pad masks. This locally exposes the substrate silicon to the etchant. A possible disadvantage is the resulting rough silicon surface which makes the method less reproducible than selective wet silicon etching. However, since XeF, does not attack ceramic or plastic materials, it is even suitable for micromachining of packaged CMOS microsystems. XeF, micromachining can thus be the very last step of the entire microsystem fabrication process. Further variations of halogednoble gas etching were developed at the Forschungszentrum Karlsruhe, Germany [Koh196]. These processes are based on fluorine and bromine compounds carried by a noble gas. Both Ar and Xe were shown to be well-suited for this purpose. By adding Xe to F,, etch rates up to 1.1 ymlmin were achieved. Determinant for the etching are the XeF, formed in the plasma and the sputtering action of the heavy Xe ions. However, under certain process conditions these ions cause rough silicon surfaces. Optimal surface qualities are achieved using a mixture of fluorine, bromine, and Xe as the carrier gas. The reactions Br, F, + 2BrF, BrF F, + BrF,, and BrF, F, BrF, occur in the plasma. BrF and BrF, are strongly fluorinating compounds and react rapidly with silicon to form silicontetrafluoride (SiF,). At a Br:F mixing ratio of 1:3, BrF, is preferentially formed. In the reaction
+
4BrF,
+
+ 3Si + 2Br2 + 3SiF4,
+
(6.7)
with silicon, Br, is thus regenerated and continues to feed the reaction chain until all available F, is depleted. Experimentally, the surface quality is controlled and optimized by varying the ratio of partial pressures between the Br/F compounds and the carrier gas Xe. All reaction products are volatile. Hemisperical etch cavities have been produced in silicon using this etching method and a mask layer with circular holes (Fig. 6.2-25). Reaction products escape through the small openings in the etch mask. In view of their excellent surface quality, the resulting silicon wafers, after stripping of the etch mask, can be used as a moulding tool for the fabrication of microlenses in PMMA or other thermoplastic materials. Figure 6.2-26 shows an SEM micrograph of an array of such lenses. These are to be inserted and used in medical catheters.
High Aspect Ratio Silicon Micromachining Besides these isotropic etchants, several highly anisotropic dry etching methods for silicon have recently emerged. They carry names such as DRIE (deep reactive ion etching), HARM (high aspect-ratio micromachining), ICP-RIE (inductively coupled plasma RIE), BSM (black silicon method) or just ASE (anisotropic silicon etching). Improvements in several areas of plasma process technology have
6.2 Silicon Micromachining
243
Fig. 6.2-25 Fabrication of moulding tool for hemispherical microlenses using dry silicon etching by Xe halogenides.
Fig. 6.2-26 SEM micrograph of an array of PMMA microlenses produced using the moulding tool in Fig. 6.2-25.
enabled this progress. The first is the use of higher plasma densities. This was made possible by novel plasma excitation methods. One method implemented in several commercial high-aspect ratio etchers is inductive coupling using a coil surrounding the plasma chamber. The magnetic field emanating from an alternating current at a frequency of 13.56 MHz causes more efficient ionization of the etching gas than in conventional plasma etchers. Biasing of the wafer substrate accelerates the ions into trajectories perpendicular to the wafer surface. Other meth-
244
6 Silicon Microsystem Technology
Fig. 6.2-27 Sidewall passivation as used in CMOS technology for fabrication of narrow metal lines.
ods of creating denser plasmas use a static magnetic field, e.g., in MIE (magnetron ion etching) or the combination of a static magnetic field and dynamic electric fields through electron cyclotron resonance. In all these processes, intense vertical ion bombardment of the silicon surface becomes a central component of the etching process. SF, is the reactant of choice. The second improvement consists of passivating the resulting silicon sidewalls during the process. Sidewall passivation has been a standard process in dry aluminum etching in IC technologies for many years. There, chlorine based etching chemistries lead to the rapid attack of horizontal surfaces and, simultaneously, to the deposition of a polymer film on the resulting vertical sidewalls. The polymer layer acts as passivation against further etching. Anisotropy is thus achieved. This process enables much better dimensional control of aluminum features than isotropic wet etchants. The process is schematically shown in Fig. 6.2-27. Similar concepts are implemented in various high-aspect ratio silicon etching processes. This is achieved by adding O2 and/or fluorocarbons such as CHF, or C4F, to the plasma. These produce polymerized fluorocarbon coatings. While vertical walls are covered by such a polymerized layer, horizontal surfaces, e. g., the bottom of the trenches are cleaned from the protecting layer by the on-going ion bombardment. Appropriate concentrations of the etching SF6 and the passivating species allows the fabrication of trenches with walls at 9 0 k 2 " to the silicon surface and aspect ratios (trench height to width ratios) of 30:l and more. A process pioneered and patented by Bosch [Laer96] has been implemented in several commercial etchers. It temporally splits the etching step from the passivation step. A brief period of etching with SF6 based plasma chemistry is followed by fluorocarbon based passivation, which is followed by etching, and so on. A resulting trench cross-section is schematically shown in Fig. 6.2-28. A second sidewall passivation process uses cryogenic cooling. The wafers are mounted on a special chuck cooling them to temperatures as low as liquid nitrogen temperature (77 K). This is achieved by cooled He gas flowing over their rear face. At such low temperatures, sidewalls are protected by condensed gas, while condensation at-the etch bottoms is prevented by the ion bombardment.
6.2 Silicon Micromachining
245
Fig. 6.2-28 Anisotropic dry silicon etching using alternating isotropic etching and anisotropic passivation steps. The process results in deep high-aspect-ratio trenches. Applications of Dry Silicon Micromachining
Single Crystal silicon Reactive Etching and Metallization (SCREAM) is a process for the fabrication of released structures such as beams, bridges, and more complex structures from monocrystalline silicon. The process has been reported by the Nanofabrication Facility of Cornell University, USA [Shaw96]). As shown in Fig. 6.2-29, the process starts with a substrate covered by a patterned silicon dioxide. Anisotropic silicon etching produces trenches with depth up to 10 pm. A thin silicon oxide layer is then conformally deposited, that is, it covers sidewalls and horizontal surfaces with similar thickness. Anisotropic etching removes the oxide from horizontal surfaces, while leaving vertical surfaces protected. This is followed by isotropic silicon etching to undercut the material defining the trenches, which leads to the formation of underetched, suspended structures. By appropriate layout of the initial oxide mask, laterally suspended structures are produced. Finally the deposition of a metallization and its subsequent patterning turns the high aspect ratio beams into, e. g., capacitive elements. The method has been used to fabricate the scanning and tunneling units for scanning tunneling microscopes, linear resonators, accelerometers, and electrostatic lenses and quadrupoles. All individual process steps of SCREAM are performed at temperatures below
Fig. 6.2-29 Fabrication steps of SCREAM process.
246
6 Silicon Microsystem Technology
Fig. 6.2-30 High force bidirectional comb-drive actuator, part of a multiple-level monolithic single-crystal silicon torsional resonator fabricated using SCREAM3D, a recent variant of SCREAM (courtesy of W. Hofmann, Nanofabrication Facility, Cornell University, USA [Hofm98]).
300°C, so that in principle the process is CMOS-compatible. A variant of SCREAM [Hofm98] has enabled the electrical isolation of beams from the substrate, and the fabrication of up to three independently mobile structural levels, see Fig. 6.2-30. This variant exploits the thermal oxidation of the entire cross-section of thin silicon beams and the different etching rates of trenches of different widths. A less involved, but also less versatile dry silicon micromachining process is Silicon Micromachining by PLasma Etching (SIMPLE), as shown in Fig. 6.2-31 [Fren96]. The process exploits the surprising modulation of the dry etch rate by different doping levels in silicon. Using C1-based plasmas, these authors showed that undoped or lightly doped silicon regions can be etched anisotropically, whereas degenerately n-doped buried layers can be etched isotropically and selectively against less doped regions. Cross-sections of a typical structure before and after such processing are shown in Fig. 6.2-3 1. For the etching process to become selective, very high doping concentrations close to lo2' cmp3 are required. Since such highly doped buried layers are unusual in standard IC technologies, this precludes the straightforward combination of SIMPLE with standard IC technologies. An elegant way of combining CMOS-compatible dry etching of dielectric thin films with isotropic etching of silicon has been demonstrated in [Fedd96]. The process is schematically shown in Fig. 6.2-32. It starts with a die fabricated using a triple metal 0.8 pm CMOS process of Hewlett-Packard accessible through MOSIS. Metal levels 1 and 2 are used as electrically active layers, while the third level is used as an etch mask for the subsequent micromachining. An anisotropic plasma etch with CHF3/0, chemistry is then applied. This removes the passivation over the entire chip. The dry etch stops on the third metal and the CMOS thin film
6.2 Silicon Micromachining
247
Fig. 6.2-32 CMOS-compatible dry etching for the fabrication of laterally suspended CMOS dielectric sandwich structures with integrated conducting lines.
sandwich below is thus preserved. In contrast, in regions where the third metal was opened, the entire CMOS stack is etched down to the silicon substrate. A second dry etching step with SF6/02 chemistry isotropically etches the silicon, thereby selectively underetching the dielectric structure. Narrow dielectric sandwiches with integrated conducting layers are thus released and again provide beams and bridges for, e. g., electromechanical microstructures such as comb-
248
6 Silicon Microsystem Technology
drives. Although [Fedd96] did not demonstrate the cointegration of circuitry with microstructures, this is in principle feasible in view of the CMOS-compatibility of the process.
6.3 Surface Micromachining The term suqace micromachining is somewhat misleading, since all micromachining processes remove material from a surface. Rather, the suface micromachining summarizes a number of techniques producing microstructures from thin films deposited onto the surface of a substrate. In contrast with bulk micromachining, surface micromachining leaves the substrate intact. The resulting microstructures are thus entirely built above the substrate surface. Surface micromachining uses the sacrificial layer method. The following process steps and materials are required, as illustrated in Fig. 6.3-1: 1. The base material, for instance an IC dielectric onto which the microstructure is built. The base layer may be structured (Fig. 6.3-1 (a)) to provide electrical contact paths between electrical components below and the microstructure above. 2. The so-called sacrificial layer is then deposited and patterned. Its sole purpose is to define the spacing between base layer and subsequent structural thin film (Fig. 6.3-1 (b)). 3. A structural thin film is then deposited and patterned. In the final device it performs the desired mechanical, thermal, and electrical functions. Its layout defines the geometry of the final device (Fig. 6.3-1 (c)). The microstructural layer is fixed to the base layer where the sacrificial layer was opened. Electrical contact is established in such areas. 4. Eiaally, a selective etchant removes the sacrificial material while preserving the structural material, the base layer and all subjacent materials (Fig. 6.3-1 (d)). The result of such processing is a large variety of possible microstructures. As examples, Fig. 6.3-2 shows a simply clamped beam, a microbridge, and a microchannel. Steps 2 and 3 may be iterated several times to build up structures composed of more than one structural layer. The more complex topologies of micromotors and microgears for instance require such cyclic processing. Up to five levels of polysilicon have been deposited to fabricate micromechanical gears with, e. g., wheels, bearings, and transmission shafts [Rodg98]. The basic mechanisms of sacrificial layer etching are identical to those of wet bulk silicon micromachining : transport of reactant from the solution to the etch front, chemical reaction at the surface, transport of reaction products away from the etch front into the solution. In bulk micromachining, the efficiency of the two transport steps can be kept high, e. g., by stirring. With the exception of the rather violent HNA reaction system, therefore, the efficiency of bulk silicon micromachining processes are reaction rate limited. Etch fronts progress linearly with time. In sacrificial layer etching the situation is often different. Take the example of the microchannel in Fig. 6.3-2 (c). Once the etching has cleared a suffi-
6.3 Sui$ace Microvnackining
249
Fig. 6.3-1 Process steps of surface micromachining.
cient portion of the channel, reactant molecules have to diffuse a considerable distance along the channel before reaching the etch front. Similarly, reaction products are eliminated froin the etch front and the channel by diffusion. Consequently, after some time, diffusion dominates the efficiency of the process [Monk94a, Monk94b, West961. Agitation of the microstructure is ineffective in this case. Viscous friction of the etchant in the narrow etched structures is too high to allow laminar, and even less, turbulent motion of the etchant. In the diffusion-limited case the etch length is roughly proportional to fi, where 1, D, and t denote the etched channel length, the diffusion constant of the reactant in the solution and Note however the etching time [Pau197]. The etch rate thus decreases as (D/t)-112. that this simple dependence applies only to linear channels with constant crosssection. Other geometries show different time dependences and required further analyses. However, they all have in common that the etch rate drops rapidly with increasing distance.
Fig. 6.3-2 Basic structures feasible by surface micro-
machining: cantilevers (a), beams and bridges (b), channels (c).
250
6 Silicon Microsystem Technology
6.3.1 Polysilicon Micromachining Since the late eighties, polysilicon has become the structural material of choice for surface micromachining. Its popularity has much to do with its readily controllable mechanical properties and the fact that it is compatible with high temperature processes, is easily doped, and can be structured with high accuracy. The method is usually referred to as polysilicon micromachining, in the sense that microstructures are built from polysilicon. Like gate or capacitor polysilicon layers in standard IC processes, micromechanical polysilicon is usually deposited at temperatures near 600"C, either by low pressure chemical vapor deposition (LPCVD), atmospheric pressure CVD (APCVD), or plasma-enhanced CVD (PECVD). The deposition process is based on the pyrolysis (thermally induced decomposition) of silane (SiH4) or chlorinated silanes (SiH,Cl, SiH,Cl,, SiHCI,, and SiCI,), where Si atoms are deposited onto the surfaces while H,, HC1, or Cl, are pumped out of the reaction chamber. The morphology of polysilicon layers strongly depends on processing conditions such as reactant pressure and substrate temperature. At lower deposition temperatures, amorphous films tend to be produced. At temperatures above 620 "C, mostly polycrystalline layers are obtained. The polycrystalline film results from columnar growth, with submicrometerwide columns perpendicularly to the substrate surface [Chan96]. Layers with thicknesses between 0.3 pm (typical CMOS gate thickness) and several micrometers have been produced. Most applications require electrically conductive polysilicon. For this purpose, it is doped using a variety of methods. Adding phosphine (PH,), arsine (ASH,), or diborane (B2H6) to the pyrolytic gas mixture incorporates the necessary impurities into the film. If the sacrificial layer is a phosphorous doped silicon oxide, it may serve as a phosphorous source during deposition and the subsequent anneal. Thin film stress is an important issue with polysilicon. The residual stress of polysilicon films depends strongly on the deposition parameters. Residual stress in as-deposited films is compressive with values down to -700 MPa for films produced under standard LPCVD conditions at 900 "C [Howe95]. For polysilicon microstructures, however, the stress and stress gradient of the constitutive film has to be as low as possible. This objective is reached by annealing the layers at temperatures above 1000°C. Significant reduction of the residual stress to values below 50 MPa is achieved by such a treatment. Phosphorous silicate glass (PSG) is frequently used as the sacrificial material. It is deposited using LPCVD or PECVD equipment with SiH4 or tetraethoxysilane (TEOS) and O2 or N 2 0 as silicon and oxygen sources, respectively. Phosphine (PH,) provides the phosphorous dopants. PSG films contain up to 14 % of phosphorous. Selective etching is done in HF-based solutions at rates of the order of 1 p d m i n . PSG films deposited at higher temperatures (LPCVD) have usually lower etch rates than those produced at lower temperatures (PECVD). Also, etch rate increases with phosphorous concentration. Unfortunately, HF etching of PSG is rapidly limited by diffusion. Prohibitively long times are required to un-
5.3 Sugace Micromachining
25 1
Fig. 6.3-3 Surface micromachining of an electrostatic micromotor.
deretch distances longer than a few micrometers. This is one of the reasons why extended polysilicon microstructures are usually structured with dense arrays of through-holes. The holes are also a necessity in view of the degradation of polysilicon under prolonged exposure to HF solutions. Electrostatic micromotors were among the earliest microstructures fabricated using this technology. A three level polysilicon process schematically shown in Fig. 6.3-3 was used for their fabrication. A finished device is shown in Fig. 6.3-4. Despite the initial excitement which they created, such structures have remained academic curiosities, mainly due to lubrication problems which severely limit their lifetime. Coupling torque out of the devices was another problem. A solution to this problem using five levels of polysilicon was recently proposed at Sandia National Laboratories [Rodg98]. These authors demonstrated the fabrication and operation of transmission gears and successfully translated the rotational motion of micro$omponents into linear displacements and vice versa.
252
6 Silicon Microsystem Technology
Fig. 6.3-4 SEM micrograph of an electrostatic micromotor fabricated using polysili-
con surface micromachining (courtesy of the Berkeley Sensor and Actuator Center, CA, USA).
Further applications include comb-drive actuators (see Section 6.4) and threedimensional structures. In the latter, polysilicon structures are flexibly mounted on micromachined polysilicon hinges. Appropriate combinations of such components can the be raised into three-dimensional assemblies usable, e. g., as optical elements: mirrors, Fresnel lenses, or supports for active optical components, as shown in Fig. 6.3-5. A field referred to as microoptoelectromechanical systems (MOEMS) is developing around this original approach [Yeh95]. In the above examples, polysilicon taylored specially to the needs of micromechanics was used. A group at Siemens avoided this restriction by using a standard n-doped gate polysilicon layer of a 0.8 pm CMOS process [Hier96]. The 600 nm thick field oxide was used as the sacrificial material. During the CMOS process, the various dielectric layers were opened above the polysilicon structure to be released. After completion of the CMOS process, micromachining using buffered HF released the polysilicon. An accelerometer microsystem with on-chip signal conditioning circuitry was demonstrated.
Clamp
Hinge
Fig. 6.3-5 Hinged “origami” structures fabricated using polysilicon micromachining.
6.3 Suface Micromachining
253
6.3.2 Sacrificial Aluminum Micromachining Fully CMOS compatible surface micromachining is possible if one of the CMOS metallizations is used as the sacrificial material. This process has been referred to as SALE (Sacrificial ALuminum Etching). In many ASIC CMOS processes, two such metal layers made from aluminum alloys are available. Removal of the first metal as a sacrificial layer allows structures to be fabricated which are composed of the intermetal dielectric, the second metal, and the passivation. The second metal is then sandwiched between two dielectrics. Appropriately structured, it may serve as a mirror, electrode, heating resistor or thermistor. Membranes with integrated heater/thermistors [Pau195a], microchannels [West97a,West97b], resonant plates, and clamped beams have been fabricated using this technique. As an example, the process shown in Fig. 6.3-6 was used to fabricate the thermal pressure microsensor and microsystem shown in Figs. 6.4-16 and 6.4-17, respectively. Etching aluminum alloys selectively against silicon based dielectrics is achieved with several etchants. A first mixture is based on Nitric acid, Phosphoric acid and Acetic acid (NPA), and water in concentrations of 2.29 wt%, 72.88 wt%, and 11.37 wt%, and 13.46 wt%, respectively. Nitric acid oxidizes the aluminum, likely producing aluminum hydroxide, which is then etched away by the phosphoric acid. As in the HNA etchants, the acetic acid slows down the decomposition of the nitric acid into less favorable compounds. Initial etch rates of 6.8 p d m i n , 68 pm/min, and 170 pndmin were measured at 30 "C, 50 "C, and 65 "C, respectively, in the initial etch-rate limited regime. However, the etching process rapidly crosses over to the diffusion limited regime. Although diffusion considerably slows down underetching, microstructures underetched by several hundred micrometers are nevertheless feasible in view of the high selectivity of the above etch mixture against the dielectric layers. Clearing a 150 pm long channel takes roughly 2.5 hours at 65°C. Krumm etch, composed of hydrogen peroxide (H,O,), phosphoric acid and acetic acid achieves similar results [West96, Pau1971. The resulting etch fronts are rougher and less reproducible. Hydrochloric acid (HC1) mixtures with water or with diluted hydrogen peroxide (H,O,) violently attack aluminum. A linear distance of 150 pm is etched within 30 min. For SALE to be compatible with the CMOS process, all exposed non-sacrificial metal structures, i. e., electrical contact pads have to be protected from the etchant. Satisfactory protection against the NPA solution and Krumm etch is ensured by photoresist cured at 140°C. The photoresist layer should be thicker than the largest topographical steps on the MEMS die for smooth step coverage and protection uniformity. Similarly, electroplated Au bumps covering the pads are reliable shields against the etchant [Pau197]. Aluminum has also been used in non-CMOS micromachining. Nickel structures used for the fabrication of vibrating gyroscopes (see Section 6.4.1) were electroplated on a sacrificial A1 base. Similarly, microstructures made of two polyimide layers with integrated TiW heating resistors were built on a 2 pm thick sacrificial aluminum layer [Suh95]. The released structures were used as thermally driven miniaturized ciliary actuators.
254
6 Silicon Microsystem Technology
Fig. 6.3-6 Sacrificial aluminum etching (SALE). Microstructure after CMOS process (a), photoresist protection (b), sacrifical layer etching (c), and photoresist
removal (d).
6.3.3 Sacrificial Polymer Micromachining Polymer layers have also been successfully used as a sacrificial material. In view of the limited temperature resistance of these organic compounds, they preclude high temperature processing. Evaporation, sputtering, and electrodeposition however are compatible processes to be used for the construction of structural levels. The particular attraction of organic materials is that first they provide excellent planarization and second they are easily removed by ashing in oxygen. Texas Instruments used two-level polymer sacrificial micromachining to fabricate impressive two-dimensional micromirror arrays, described in more detail in Section 6.4.3. The structures are all-metal devices with mechanical, electrical, and optical functionality. A novel application of sacrificial polymers has emerged recently [Cros98]. Micrometer-thin parylene coatings have been used for years as a protective coating of micromachined pressure sensors against potentially aggressive media. Parylene-C is deposited from the gas phase and converted into a dense layer by plasma polymerization. The reason for using Parylene is the highly conformal and pinhole-free coverage achievable. A coating is said to be “conformal” when it uniformly covers even complex topographies. In the novel sacrificial method, vertical metal structures intended as capacitor electrodes are electroplated on the substrate using thick photoresist techniques. The entire surface is then coated with a 5 -ym-thin parylene film onto which a second layer of metal is electroplated. After removal of the organic material, metal structures with narrow gaps usable as interdigital electrodes
6.3 Suface Micvomachining
255
are obtained. The parts built directly on the substrate provide fixed electrodes, while those floating above the substrate are mobile. These flexible electrodes are attached to the substrate at selected locations where the parylene coating was opened before the second electroplating. The process is a candidate for the fabrication of inexpensive electrostatic sensors and actuators on a broad range of substrates including silicon and CMOS wafers.
6.3.4 Stiction Sticking or stiction, as it is also referred to, is a serious problem in micromachining, especially surface micromachining. In the last step of the micromachining process, the microstructures are rinsed and dried. Towards the end of the drying process, liquid has vanished from the chip surface, while it still fills the micromachined gaps. The surface of the residual liquid forms menisci with negative average (Gaussian) curvature. Radii of curvature are comparable in size with representative gap widths. Due to surface tension, liquid surfaces with negative curvature require an underpressure in the liquid with respect to the ambient pressure. This pressure difference pulls the microstructure towards the substrate. Two things may then happen. Either the structure breaks or it is pulled into contact with the substrate surface. The last liquid drop between structural material and substrate surface contains highly concentrated impurities which may ultimately glue the microstructure to the surface at undesired locations. As an example of typical forces consider water against air at 25 "C. With a surface energy of o, = 7.2X1OP2 J m-2, the pressure difference Ap resulting from a cylindrical meniscus with a radius of curvature of Y = 0.72 pm amounts to Ap = qJv = 1 atm. Repeated rinsing to clean away residues is no cure. Clean rinsing liquid dissolves the impurities or even introduces more of them. Upon concentration during the next drying process the microstructures are usually reattached. In some cases, the problem is relieved by using rinsing liquids with low surface tension such as heptane or hexane. A method to totally avoid the formation of a liquid meniscus uses the sublimation of frozen solvent. After rinsing in water, and possibly an intermediate solvent the microstructures are rinsed in the final solvent. This is then frozen and sublimated at reduced pressure [Take91, Koba92, Lin951. Another method to avoid the liquid/air interface is critical point drying [Mulh93], as schematically shown in Fig. 6.3-7. The rinsing liquid is changed into the gaseous state without the appearance of a meniscus separating the liquid and gaseous phases. This is achieved by circumventing the critical point in the p-Tdiagram at sufficiently high pressure and temperature. With its critical point at 31 "C and 72.8 atm, CO, is well suited for critical point drying. After the microstructures have been extensively rinsed in deionized water, they are transferred into methanol to replace the water by dilution. The structures in methanol are then placed in a pressure vessel, where the methanol is exchanged against liquid C 0 2 at roughly 25 "C and 80 atm. Heating up to 35 "C changes the liquid C 0 2 into the gaseous phase. Venting the
256
6 Silicon Microsystem Technology
pressure vessel completes the procedure and the fabrication of released microstructures. Appropriate design of microstructures is another way of alleviating stiction [Abe95]. Sharp protrusions added locally to the edges of the structural components reduce the effective contact area between substrate and microstructure. The elastic stiffness of the released microstructure pulls the two components more easily apart.
P
Liquid Initial state
i
?+-B
2
cT
I
T,
Fig. 6.3-7 Principle of critical point drying for stiction-free surface micromachining. Process path 2 surrounds the critical point (highest temperature and pressure at which liquid and vapor phases coexist), whereas process path 1 crosses the liquidhapor phase transistion line. Drying using path 2 avoids surface tension caused by liquid/vapor interface.
6.4 Micro Transducers and Systems Based on Silicon Technology
257
6.4 Micro Transducers and Systems Based on Silicon Technology Complex micro structures and entire microsystems can be produced using silicon technologies and the micromachining techniques described in the previous sections of this chapter. Numerous research and development teams around the world work on such devices. Excellent detailed accounts of the entire field are available [Sze94, Trim97, Kova98, Proc98, Sens991. For this reason this section only describes a few representative structures and systems for the transduction of mechanical, thermal, radiant, magnetic, fluidic and electrical signals. The examples given below are by no means exhaustive and are intended to introduce and illustrate a selection of transduction effects. An early spectacular achievement of microsystem technology was the demonstration of micromotors smaller than the diameter of a human hair. However, these devices have quietly vanished from the stage, to be replaced by no less impressive other systems. Tribological effects are difficult to control in miniaturized, rapidly rotating microstructures. In particular, it is difficult to achieve a precise, wobble-free bearing of rotors on a central shaft, fabricated by surface micromachining techniques. Since the height of the resulting structures is small in comparison with their lateral dimensions, only a restricted support area is available. In comparison with conventional macroscopic gears, the relative precision of microparts is smaller by orders of magnitude. In a micromotor, the ratio between shaft diameter and rotorkhaft gap width is roughly 10’ (100 pm : 1 pm). For comparison, consider a typical macroscopic component such as the crankshaft in an automobile. Here the same ratio is roughly 5X104 (50 mm : 10 pm). Admittedly, the shrinking of motors to microscopic dimensions was a strong demonstration of the power of micromachining. The imitation of macroscopic devices at the microscopic level by exploiting the shrinking power of micromachining still dominates in many actual devices and systems. At the same time, micromotors have become a constant reminder that reduction alone does not guarantee success. As many other applications demonstrate, an important opportunity of silicon micromachining is the realization of unconventional devices, taking advantage of favorable scaling properties of selected physical effects, and the formidable potential of large-scale production based on the established IC fabrication techniques, enhanced by selected micromachining techniques.
6.4.1 Mechanical Devices and Systems Mechanical transducers such as pressure sensors and accelerometers are among the most successful microsystem products. Miniaturized pressure sensors have found applications in fields as diverse as process control, differential-pressure flow measurement, altimetry, barometry and medical pressure monitoring. The main customer of miniaturized accelerometers is the automotive sector using
258
6 Silicon Microsystem Technology
them mostly as crash sensors. In addition, much attention has recently been focused on miniaturized gyroscopes for inertial navigation.
Pressure sensors Many of today’s mature pressure microsensors are based on a silicon membrane supported by a rigid silicon die. Rudimentary bipolar technology followed by electrochemical anisotropic silicon etching suffices to fabricate such devices. Depending on the pressure range, membranes have thicknesses of a few micrometers and a few tens of micrometers. As schematically shown in Fig. 6.4-1, the membrane is supported on its sides by a silicon rim. Under a pressure difference AP, the membrane is deflected. Deformation is then translated into an electrical signal using the piezoresistive response of four diffused strain gauges. The strain gauge resistors are placed close to the membrane edges (or close to one edge) where the curvature of the deflected structure is maximum. Two of the resistors are parallel to the respective supporting edges, while the other two are perpendicular to them. and The individual resistances undergo changes according to ARIl/Rll= KIl&(AP) AR,IR1 = KL&(AP),respectively, where E, Klland KL denote the pressure-dependent local strain and the two relevant piezoresitive gauge factors, respectively. For p-doped silicon with NA = 1019cmp3,one has Kii = 29 and K1 = 7 [Ober86]. Connection of the four structures into a Wheatstone bridge produces a differential signal AU proportional to (K,-KII)~(AP),which grows with deflection and ideally vanishes in the undeformed state of the device. The arrangement is schematically shown in Fig. 6.4-2. At small deflections the response of such a device is linear. At larger pressures, it is dominated by geometrical nonlinearities in the device and piezoresistive nonlinearities in the resistors. The resulting overall nonlinearities and an unavoidable residual offset can be compensated by trimming resistors or on-chip circuitry, which also take care of the various temperature coef-
n-Epilayer
Pietoresistor
Membrane
Circuitry
Differential pressure
Fig. 6.4-1 Silicon membrane pressure sensor. The deflection of the membrane under differential pressure causes the contraction or expansion of the integrated piezoresistors. The pressure-dependent resistances are integrated into a Wheatstone bridge as shown in Fig. 6.4-2.
6.4 Micro Transducers and Systems Based on Silicon Technology
259
Fig. 6.4-2 Wheatstone bridge configuration of piezoresistor on silicon membrane
pressure sensor. Resistors parallel and perpendicular to the membrane edges experience opposite resistance changes.
ficients. An example of an industrial piezoresistive pressure sensor with integrated signal conditioning circuitry is shown in Fig. 6.4-3 [Kres94]. After IC processing and micromachining, the individual chips are anodically bonded to a borosilicate substrate and mounted on a metal header. The sensor fulfills the severe specifications required by the automotive industry: operation between -40 "C and 125 "C at pressures between 20 kPa and 115 kPa. A shipping device is shown in Fig. 6.4-4.
Fig. 6.4-3 Schematic cross-section of an industrial version of a piezoresistive pressure sensor. Signal processing circuitry is integrated on-chip (courtesy of Robert Bosch GmbH, Stuttgart, Germany).
260
6 Silicon Microsystem Technology
Fig. 6.4-4 The industrially fabricated and packaged pressure sensor schematically shown in Fig. 6.4-3 (courtesy of Robert Bosch GmbH, Stuttgart, Germany).
Accelerometers Acceleration is measured by its action on masses. In an accelerometer these masses are usually referred to as proof or seismic masses and are elastically hinged to a rigid substrate exposed to the acceleration. Two principles have been implemented in various configurations. In the first, the deformation of the hinges under the inertial force by the proof mass is measured. In the second, the inertial force on the seismic mass is balanced by applying a counterforce in a feedback system to keep the seismic mass in a stable position with respect to the substrate. Parameters describing accelerometers are the fundamental mechanical resonance frequency and the sensitivity, i. e., the signal output per unit acceleration. Construction of accelerometers often involves a trade-off among these parameters. In order to suppress spurious low frequency signals, the stiffness of the device is increased. However, at the same time this reduces sensitivity. A third important parameter is cross-sensitivity, i. e., the response of the device to accelerations perpendicular to its principal sensing direction. Usually, cross-sensitivity is expressed in percent. Miniaturized devices show cross-sensitivities down to a few percent. Finally, bandwidth is an important specification of accelerometers. In order to trigger the inflation of an airbag within milliseconds, crash sensors have to cover a bandwidth of several kHz. Fig. 6.4-5 shows a structure using the first sensing principle. It is realized by anisotropic etching of silicon wafers from both sides. The proof mass is suspended on a solid silicon frame by two silicon hinges with integrated piezoresistors. The seismic mass of an accelerometer with capacitive readout is shown in Fig. 6.4-6. Again, the structure is produced by double-sided anisotropic silicon etching. It is
6.4 Micro Transducers and Systems Based on Silicon Technology
261
Fig. 6.4-5 SEM micrograph of a piezoresistive inertial sensor (courtesy of Robert Bosch GmbH, Stuttgart, Germany).
Fig. 6.4-6 SEM micrograph of the suspended seismic mass of a capacitive micro
accelerometer. supported by eight thin tensile ribbons at its corners which guarantee the parallelity of seismic mass and counterelectrodes. In principle the sensitivity of capacitive accelerometers can be increased by shrinking the gap width. This has the advantage of leaving the resonance frequency unchanged except for squeeze film effects between the capacitor plates. Fascinating examples of force-compensated accelerometers are produced and commercialized, e. g., by Analog Devices. These structures are fabricated using polysilicon micromachining and implement an electrostatic comb geometry. The. basic structure of the accelerometer is schematically shown in Fig. 6.4-7 [Chau95]. Its polysilicon proof mass is attached to the silicon substrate via flexural beams optimized to restrict its motion to linear displacements in essentially one direction. Other modes are highly constrained. The proof mass has equally spaced long electrode fingers on both sides, alternating with pairs of electrodes fixed on the substrate. The electrode arrangement on one side is used to sense the deflection of the proof mass out of its equilibrium position. Those at the
262
6 Silicon Microsystem Technology
Fig. 6.4-7 Schematic top view and cross-section of surface micromachined electromechanical accelerometer. The deflection of the central shuttle from its equilibrium position under the inertial force F, is detected as the unbalance of the capacitances between the shuttle and, respectively, the fixed electrode systems 1 and 2.
other side are at respective potentials of V, and Vddenabling to recenter the proof mass by applying an appropriate potential deviating from (V,,+Vdd)/2to the proof mass. This provides the acceleration signal. Both parts are connected by a feedback loop ensuring that the proof mass stays within k10 nm of its equilibrium position. The substrate die contains all the necessary circuitry, including squarewave oscillators, a demodulator, low-pass filter, differential amplifier, and preamplifier, all on a chip of 3x3 mm'. Systems with ranges of +5 g and 'r50 g, mainly for automotive applications, are available. In contrast to the bulk micromachined devices, the polysilicon micromachined structures offer the advantage of enabling a straightforward realization of two dimensional accelerometers. They measure two orthogonal components of the acceleration by combining two one-dimensional devices on a single chip.
Gyroscopes Micromachining techniques have enabled the fabrication of impressive gyroscopes, that is, devices for the measurement of yaw rate (angular rotation) or angular acceleration. Whereas the most sensitive macroscopic yaw sensors are optical devices, their micromachined counterparts exploit subtle mechanical effects.
6.4 Micro Transducers and Systems Based on Silicon Technology
263
These basically originate in the Coriolis force F, = 2m (v X u) acting on any mass m moving with velocity v in a frame of reference rotating with angular rate w. In many devices, two orthogonal oscillators with identical fundamental frequency are weakly coupled to each other through the Coriolis force. One oscillator is harmonically excited. At a vanishing yaw rate, both oscillators remain independent. On the other hand, under rotation the motion of the driven oscillator is transferred to the other oscillator with a coupling strength proportional to the yaw rate. A recent realization of this principle is schematically shown in Fig. 6.4-8 [Putt94, Spar971. The device essentially consists of a wheel fixed on the substrate by its axis. Curved suspension arms give it the freedom to vibrate in the ring plane. It is surrounded by evenly spaced electrodes. They are used to excite selected resonance modes and detect their shapes. Excitation occurs electrostatically through the capacitance between the electrodes and the biased wheel. When the device is excited using two opposite electrodes, at vanishing yaw rate it responds by an elliptical breathing mode symmetrical with respect to the electrodes, as shown in Fig. 6.4-9. Under rotation the principal axes of the breathing mode are rotated with respect to the line connecting the excitation electrodes. The rotation angle @ is proportional to the yaw rate. In practice, neighboring electrodes are made to participate in the excitation through a feedback loop guaranteeing the breathing motion to remain unrotated. The size of the required driving voltage is then proportional to the yaw rate and provides a more reliable response to the external input. The device in Fig. 6.4-8 was fabricated on a silicon substrate with integrated circuitry. The mechanical structure consists of electroformed nickel (Ni) on a sacrificial A1 base layer. After removal of the aluminum, the wheel is released and held in place only by the central post. The high aspect ratio (19 pm high, 5 pm wide) Ni structure was fabricated using a LIGA-like process, with a polymer electroplating template. The wheel/electrode gaps are 7 pm wide. Yaw rate resolution of the device is 0.5”/s with a rate range of klOO”/s.
6.4.2 Thermal Micro Devices and Systems Most microstructures are sensitive to temperature. Temperature dependent material properties are often responsible for this often undesired effect. In devices not specifically designed as temperature sensors, the art consists of reducing the temperature cross-sensitivity to a minimum. Counter-measures range from the inclusion of reference structures and temperature-coefficient compensating analog circuitry, to the inclusion of on-chip temperature sensors and programming of look-up tables and interpolation schemes. On the other hand, numerous microstructures using silicon technology do enable the measurement of the absolute temperature T or at least temperature changes AT with respect to a reference temperature To. In addition to their stand-alone use, temperature sensors are applied in many “tandem” transducers where a non-thermal signal is first converted into a thermal signature which is finally transduced into an electrical signal. Initial parameters to be measured include
264
6 Silicon Microsystem Technology
Fig. 6.4-8 Schematic top view and cross-section of vibrating ring gyroscope. The ring is centrally attached to the substrate. Its in-plane vibration mode is excited and monitored using the peripheral fixed electrodes.
Drive electrode
w =0
Sense electrode
Vibration mode
wf 0
Fig. 6.4-9 Resonant mode shapes of vibrating ring gyroscope without (left hand side) and with (right hand side) yaw.
6.4 Micro Transducers and Systems Based on Silicon Technology
265
gas flow, vacuum, and pressure, as described below. Further devices based on thermal principles include radiation detectors and miniaturized incandescent lamps. Temperature Sensing
Silicon devices designed to measure temperature include pn-junctions, bipolar transistors, thin film thermistors, and integrated thermocouples and thermopiles. A silicon diode shows a strong temperature dependence, as seen from the basic I-V characteristic I = I,(T)exp(qV/kT) of the forward-based diode, where Is, q, and k denote the device's temperature-dependent reverse saturation current, the elementary charge, and the Boltzmann constant, respectively. When the device in biased at constant current, the applied voltage decreases approximately linearly with increasing temperature, with a slope of approximately -2.2 mV/K. This slope shows variations of about k 0 . 2 mV/K depending on the device, technology, and bias current. Diodes are simple in operation and easily fabricated. They can be cointegrated beside more demanding microtransducers, to provide a reference substrate temperature at the cost of negligible silicon area. Appropriately calibrated, they provide temperature values with an accuracy down to 0.1 "C. Nonlinear contributions to the temperature-dependent diode response are effectively cancelled by structures referred to as PTAT (proportional to absolute temperature) circuits. The basic idea is the combination of two different diodes with different junction areas. If these device are forward biased with identical currents, I, = I,, the difference AV = V. - V, in the respective voltages is equal to AV = kT/q X ln(r), where denotes the ratio of junction areas. The only temperature dependence is in the prefactor. It is linear with a slope determined by universal constants and the design parameter r. In practice, the diodes are commonly replaced by bipolar transistors of different area, driven by identical currents from a current mirror. The example of a simple circuit realizing this elegant temperature sensing principle with output current proportional to T, is shown in Fig. 6.4-10.
Fig. 6.4-10 Transistor circuit providing an output current proportional to absolute temperature (PTAT) (after lout
[Midd94]).
266
6 Silicon Microsystem Techtzology
A third method to measure temperature is provided by resistors. Indeed pure metals have large temperature coefficients of resistance at room temperature. By suitable alloying, the temperature coefficient can be changed over a wide range. CMOS aluminum metallization are usually alloyed with silicon and copper. They show temperature coefficients between 2900 p p d K and 4000 ppm/K at 300 K [Arx98b]. Resistors used for temperature measurements are often referred to as thermistors. Accurate local temperature measurements are best performed using the four-point configuration shown in Fig. 6.4-11. The resistor is contacted with four lines, two of which are used to provide the sensing current, while the other two serve to accurately measure the potential drop over the resistor. This configuration is recommended, because it results in a resistance value insensitive to the resistance of the sometimes long leads connecting the device. Due to design constraints, their resistance often cannot be neglected in comparison with the sensing part of the device and has to be effectively discarded from the measurement. Polysilicon is also a useful thermistor material. The degenerately doped gate polysilicon (No = 10” cmP3) of MOS-based IC processes shows a temperature coefficient between 650 ppm/K and 900 p p d K . Polysilicon and silicon samples with n-doping concentrations below 1019cm-3 and p-doped samples generally show negative temperature coefficients of resistance. A value of -500 p p d K was for instance measured on a p-doped diode polysilicon layer of a CMOS ASIC process [Arx98b]. A possible layout of a polysilicon temperature sensor is shown in Fig. 6.4-11. Diffused silicon resistors are also suitable for temperature measurements. The task is however made more difficult because of their biasing-dependent junction depth. Generally, resistive temperature measurements require calibration of the device, since even commercial IC technologies guarantee sheet resistances with at best a reproducibility of f 10 %. Even resistor matching is rarely better than 1 %. However, for the measurement of small temperature excursions of a few tens of degrees, resistors are a simple and versatile tool, if their temperature coefficient of resistance can be calibrated in-situ or is available from independent measurements. Finally, thermocouples enable the straightforward determination of temperature differences on thermal microstructures. They are particularly appealing since their
?-
J”
Fig. 6.4-11 Schematic view of integrated temperature dependent resistor (thermistor) and its use at constant bias current Zo. The voltage measurement is accurately performed in the four contact configuration independent of contact resistances in the current path. For clarity, surrounding dielectric layers are not shown.
6.4 Micro Trunsducers and Systems Based on Silicon Technology
267
signal is self-generating [Midd94], i. e., they need no external driving or biasing. The basic structure of a thermocouple is a pair of different materials A and B contacted at one end, as shown in Fig. 6.4-12. The contact is exposed to the temperature To AT to be measured while the other two ends are at the reference temperature of To , e. g., an efficient heat sink such as the silicon substrate material. When the measurement and reference contacts are exposed to a temperature difference AT a voltage appears between the two reference ends. This is the thermoelectric potential, given for sufficiently small temperature differences by AU = aABAT, where aAB= ciB- aA denotes the relative Seebeck coefficient between the two materials. In silicon technology, thermocouples can be realized using pairs of the available conducting materials, i. e., doped monocrystalline silicon, n-doped or p-doped polysilicon and various metallizations. Largest thermoelectric coefficients have been obtained using weakly doped silicon legs against aluminum, with Seebeck coefficients up to 1 mV/K [Midd94]. Approximately, the Seebeck coefficient of polysilicon follows c, 0.79(kB/q)ln(no/n)and aP 0.86(kB/q) In(p,/p) as a function of carrier concentration n and p , with the coefficients no= 9.8 X lo2' cmP3and po = 1.28X1021 cmp3 [Arx98b]. Gate polysilicon layers (Rsq -25 a) against CMOS metallizations achieve values between -87 pV/K and -115 pV/K. Positively doped CMOS polysilicon layers (p 128 Pam) have shown thermopowers up to 268 pV/K at 300 K [Arx98b]. A simple way to boost the relatively small signals provided by individual thermocouples is to connect them in series into so-called thermopiles, as shown in Fig. 6.4-12. Thermal gas flow sensors, pressure sensors, infrared radiation detectors, chemical sensors, and ac-dc converters have been equipped with thermopiles for temperatures measurements. It should be noted that the use of thermopiles is most beneficial when temperature differences
+
-
-
-
- -
Material A. a,
Material B, as
T = To+ AT
Fig. 6.4-12 Schematic view of a thermocouple and a thermopile composed of N = 3 thermocouples. Under a temperature difference AT, thermoelectric voltages V, = uABATand Vip= NuA,AT are measured, respectively.
268
6 Silicon Microsystem Technology
are to be measured. They do not give access to absolute temperature. If absolute temperature needs to be known, this is better achieved using a diode or a transistor-based circuit described above. One should also note that polysilicon/metal thermopiles are sandwiched in-between the stack of dielectric IC materials and the silicon below their measurement contact can be entirely etched away, providing optimal thermal isolation.
Flow Sensors Flow velocities of fluids, i. e., gases or liquids are conveniently measured using thermal methods. Generally, one of two principles is applied: (i) the heat transfer from a heated structure into the fluid or (ii) the heat transport from one area of a heated structure to another area, mediated by the fluid in motion. Case (i) is referred to as hot-wire anemometry, in view of macroscopic sensors based on a heated wire immersed in the flowing fluid. The heat power P transferred from wire to fluid is well approximated by P = ( c , c21/;)AT, where c, and v denote system-dependent constants and the fluid velocity, respectively, and AT is the teinperature increase of the hot wire above the reference temperature defined by the fluid. Principle (ii) has been referred to as hotfilm anemometry. In practice the sensor structure is heated centrally and temperatures are measured at upstream and downstream locations. An important advantage of hot film over hot wire anemometry is the fact that the strong ,,&nonlinearity does not appear in its response. An early micromachined flow sensor (now commercially available) implementing the hot film principle was reported by Honeywell [John87]. The device is schematically shown in Fig. 6.4-13. It consists of a pair of parallel, 500-pmlong diagonal silicon nitride bridges on a silicon substrate, fabricated by silicon front micromachining. As described in Section 6.2.3, the bridge is formed by the overlap of etch cavities resulting from the triangular openings in the silicon
+
Fig. 6.4-13 Schematic view of diagonal bridge based thermal flow sensor developed by Honeywell [John87]. Flow-induced temperature differences are measured thermoresistively.
6.4 Micro Transducers and Systems Bused on Silicon Technology
269
nitride to either side of the double-bridge and the slit between the bridges. The structure contains integrated thin-film metal resistors. The resistor winding around the central slit serves as the heater, while the other two are used as thermistors enabling the determination of the temperatures upstream and downstream. The output of the device is the flow-rate dependent difference of the thermistor resistances. Integrated into a Wheatstone bridge, the devices provide a flow-rate dependent voltage. In the commercial version, the device is encapsulated in a ceramic/plastic package with integrated flow channel and dust filter, if required. The thermal time constant of the device is 5 ms. Flow rates down to 1 cm3/min can be measured. Conversion of the strongly nonlinear response has to be performed externally using calibration data. Recently, CMOS technology and compatible micromachining were used to fabricate the highly integrated flow sensor microsystem shown in Fig. 6.4-14. The system is produced using a 2 pin double polysilicon double metal CMOS ASIC process of EM Microelectronic-Marin SA (EM). The chip comprises a membrane-based flow sensor, power management to heat the sensor, a two-stage amplifier to boost the output signal of the device into the mV range, and an AID converter [Maye97]. Electroplated Au structures for the packaging of the die are provided on-chip. The sensor consists of a silicon oxidehitride membrane fabricated by the CMOS-compatible micromachining method discussed in Section 6.2.2. It measures approximately 300 pm by 600 pm and contains a polysilicon heating resistor with 1.9 kL2 and two polysilicon/aluminum thermopiles. These provide the upstream and downstream temperature signals with respect to the common chip tem-
Fig. 6.4-14 Integrated CMOS flow sensor microsystem including thermoelectric membrane based flow sensor, power management and output amplification circuitry, and electroplated structures for the subsequent low-cost packaging (courtesy of the Physical Electronics Laboratory of ETH Zurich, Switzerland) [Maye97].
270
6 Silicon Microsystem Technology
perature. Connected differentially, they provide an output signal proportional to the temperature difference of their respective hot contacts on the membrane. The membrane is composed of the entire sandwich of dielectric CMOS layers. The heater is operated at a constant voltage. The thermal output voltage is fed into the two amplifier stages and provided at the system output as a digital signal. Overall gains of 25 and 250, corresponding to resolution of 12 and 14 bits, can be selected externally. After CMOS fabrication and before micromachining, the chip undergoes the bumping process of EM. This normally serves to cover the aluminum bonding pad with ca. 25 -pm-thick electroplated Au bumps for tape-automated bonding (see Section 9.3.1). By using an unconventional layout of the bump mask, the sensor is surrounded after bumping by a rectangular Au frame, beside the usual contact bumps. This is used for the encapsulation of the system: the die is flip-chip mounted on a carrier, as schematically shown in Fig. 9.3-2. However, the carrier has a rectangular opening corresponding to the flow sensor. In this process the electroplated frame is solder-bonded to an identically shaped structure on the carrier. Simultaneously, the contact bumps are attached to the pads on the carrier. Since the sensor is now surrounded by a hermetic seal, the delicate circuitry is protected from potentially corrosive media flowing over the microsensor. An additional barrier is finally built in by filling the space between carrier and microsystem die using an epoxy underfill [Maye98]. A pen-sized prototype anemometer was fabricated based on this microsystem and state-of-the-art packaging materials: a flexible substrate with copper metallizations sandwiched in-between polyimide layers was used as the carrier. After the chip has been mounted on the flex and this has been soldered to a standard
Fig. 6.4-15 Flow sensor microsystem mounted on flexible substrate (left and middle)
and inserted into plastic housing with flow channel. Housing diameter is ca. 10 mm (courtesy of the Physical Electronics Laboratory of ETH Zurich, Switzerland) [Maye97].
6.4 Micro Transducers and Systems Based on Silicon Technology
271
socket, the microsystem is introduced into a plastic housing with a flow-channel (Fig. 6.4-15). The sensor is tangential to the channel and measures the pressure difference between the inlet and outlet ports of the channel due to the external wind velocity. Wind speeds between 0.02 m s p J and 38 m s-’ have been measured by this “flow-pen”. Vacuum and Pressure Sensors Nonmechanical gas pressure sensors exploit the pressure-dependent thermal conductivity of gases. Traditionally known as Pirani gauges, such devices have recently been miniaturized (so-called micro-Pirani gauges) using silicon technology. An example is shown in Fig. 6.4-16 [Pau195a]. The sensor is fabricated using an industrial ASIC CMOS process followed by sacrificial aluminum etching (SALE), as shown in Fig. 6.3-6. It consists of a polygonal membrane clamped along its edges to the IC substrate. The membrane is composed of the intermetal isolation and passivation dielectrics with a meander made of the second CMOS metallization sandwiched in-between. The meander serves to heat the membrane. It has a resistance of ca. 50 The membrane is released by removing a polygonal sacrificial structure made of the lower CMOS metal. Access to the sacrificial layers is ensured by eight lateral openings in the dielectric sandwich. Such processing clears a gap with a width of roughly 0.65 pm, i.e., the thickness of the lower CMOS metal. During pressure measurements, the pressure of the gas filling the gap via the access holes is determined. When heated, the membrane experiences a temperature change AT given by AT = P/(G Ggas(p)),where P, G, and G,,(p) respectively denote the dissipated power, the thermal conductance of the materials between the heater and the substrate, and the pressure-dependent thermal conductance of the gas in the
+
Fig. 6.4-16 SEM micrograph of thermal CMOS pressure sensor fabricated using commercial CMOS technology and sacrificial aluminum etching (SALE)
[Pau195a].
272
6 Silicon Microsystem Technology
micromachined gap. The dissipated power is easily determined by current and voltage measurements over the resistor, while the temperature change AT is accurately deduced using its temperature coefficient of resistance. In practice the heating resistor is compared to a gapless reference structure with resistor in direct contact with the substrate. This enables possible substrate temperature drifts to be compensated. Such pairs of structures were integrated into a microsystem including a bandgap reference, current sources for sensors and references, a differential amplifier, and two A/D converters for current and voltage measurements [Habe96]. The product of their output signals provides a measure of the pressure. The circuitry constitutes a feedback loop tracking the difference of sensor and reference resistors to be constant, and thus the temperature increase of the sensor above the die temperature to remain stable, by adjusting the pressure-dependent heating power. Whereas the membrane-based devices in Section 6.4.1 are absolute pressure sensors independent of gas composition, thermal pressure sensors measure the thermal conductivity of the gas within a gap. This parameter depends on the pressure, heat capacity, gap dimensions, and surface properties [Paul95b].
Fig. 6.4-17 CMOS pressure sensor microsystem containing four pressure sensors like that in Fig. 6.4- 16, four reference structures, two A/D converters, bandgap reference and current sources (courtesy of the Physical Electronics Laboratory of ETH Zurich, Switzerland) [Habe96].
6.4 Micro Transducers and Systems Based on Silicon Technology
213
6.4.3 Devices and Systems for Radiant Signals Microtransducers for the detection, emission, or modulation of electromagnetic radiation are available in a large number of variants. They cover the energy spectrum from far infrared to X-rays. Low energy radiation is detected using cooled narrow-bandgap semiconductor materials. Detection of light using photoconductors and diodes is among the oldest applications of semiconductor materials. State-of-the-art high efficiency silicon solar cells make use of anisotropic silicon micromachining for improved light trapping. The ionizing power of high energy radiation is exploited in elementary particle detectors. Semiconductor light-einitting diodes and lasers have become ubiquitous. The following few examples illustrate the use of various micromachining techniques in such radiation handling devices. The examples address the three topics of uncooled infrared detectors, thermal scene simulators, and light modulators for image projection. Uncooled Infrared Detectors
A recent trend in micromachined detectors for infrared (IR) radiation is the fabrication of two-dimensional arrays. Such devices have applications, among others, in building control, smart intrusion and presence detection, spatially resolved radiation temperature monitoring, and fire detection. Radiation of thermal origin has wavelengths between 4 pm and 20 pm, i.e., energies between 60 meV and 300 meV. Since direct detection using narrow-bandgap semiconductor materials requires cryogenic cooling, a tandem detection principle is frequently implemented in uncooled microsystems: in a first step, the radiative energy is absorbed by a thermally isolated structure and causes the structure to heat up. In the second step the temperature change is quantified using thermoelectric conversion or thermoresistively. A recent thermoelectric array based on a commercial 1 pm CMOS process of EM Microelectronic-Marin SA serves as an example of this tandem approach [Pau198]. In view of its application in building control, cost considerations ruled out vacuum encapsulation and, consequently, surface micromachining in view of the narrow gaps and high thermal losses at ambient pressure associated with surface micromachining. The detector with its 10 by 10 pixels is entirely located on a single robust membrane. A schematic cross-section of the device is shown in Fig. 6.4-18. The membrane is composed of all dielectric CMOS layers from field oxide to passivation layer. It is fabricated using the CMOS-compatible 6-inch wafer KOH processing described in Section 6 [Munc97]. It is stiffened by a gold grid electroplated onto the CMOS-processed wafers using the standard bumping process of EM, similar to the packaging structures of the thermal gas flow sensors described above. The grid lines have a height and width of 25 pm and 80 pin, respectively. They are anchored to the bulk silicon supporting the sensor membrane. The gold lines subdivide the membrane into pixels with an effective radiation absorbing area of 250 pm square. Each pixel contains a thermopile composed of 12 polysilicon/aluminum thermocouples sandwiched
274
6 Silicon Microsystem Technology
ElectroplatedAu
Pixel N
Pixel N+l
Fig. 6.4-18 Schematic cross-section of thermoelectric infrared detector array fabricated using commercial CMOS technology, Au electroplating, and CMOS-compatible KOH micromachining (courtesy of the Physical Electronics Laboratory of ETH Zurich, Switzerland) IMUnc971.
between the dielectric layers, with cold contacts below the gold lines and the hot contacts in the center of the pixel. Signal lines are integrated below the gold lines which simultaneously act as thermal separation lines between neighboring pixels. Output signals of the pixels are selected by a multiplexer beside the array and are forwarded to a highly sensitive low noise/low offset on-chip amplifier optimized for low frequency sub-microvolt sensor signals. The amplifier achieves a low frequency input noise power spectral density of 13 nV/1/Hz, 800 nV offset, gain of lo4 at a bandwidth of 600 Hz, and a common mode rejection ratio of 135 dB. The pixels have an average sensitivity of 4.1 V/W. Pixels and amplifier achieve a noise-equivalent temperature difference of 320 mK at a bandwidth of 10 Hz. Using a 12.7 mm diameter Fresnel lens with a focal length of 9.4 mm, and an average transmission of 53 %, the microsystem is able to thermally “see” its environment with a resolution of roughly 1 K at a rate of one frame per second and an angular resolution of 2”. Figure 6.4-19 shows the 6.2 mm by 5.3 mm large microsystem die. IR detector arrays with higher integration density are based on micro bolometers. These require temperature stabilization and vacuum encapsulation for reliable and effective operation. The basic element is shown in Fig. 6.4-20. It consist of an absorber plate with integrated thermistor suspended on two arms. In a microsystem reported by Honeywell, each pixel is fabricated above an SRAM cell on a CMOS substrate, the absorber material is a PECVD silicon nitride sandwich, and vanadium oxide serves as the temperature dependent resistor, with a temperature coefficient of -2 %K-’. The structures are fabricated using sacrificial layer micromachining, deposition and structuring of dielectric layers and finally sputtering and patterning of the thermistor material. Arrays with up to 240 by 336 pixels were demonstrated. They can be operated at a frame rate of 60 Hz [Cole98].
6.4 Micro Transducers and Systems Based on Silicon Technology
215
Fig. 6.4-19 CMOS infrared radiation imager with 10X 10 thermoelectric detector pixels, multiplexers, and on-chip amplifier system [Pau198].
Silicon substrate
Fig. 6.4-20 Schematic view of a micro bolometer for infrared radiation imaging (after [Cole981).
Thermal Scene Simulators Microlamps have potential use as single elements or linear arrays in miniaturized infrared spectrometers, and as two-dimensional arrays in infrared projection applications. The second application was successfully addressed by structures similar to the surface micromachined infrared detectors described in the previous subsection. The difference with the detectors is that the integrated resistor (a TIN meander) is used as a heating resistor rather than thermistor [Cole95]. In view of the excellent thermal isolation of lo7 K/W of the individual radiation pixels in vacuum, pixels have been operated at temperatures as high as 900 K. The array consists of 5 12 by 5 12 pixels.
276
6 Silicon Microsystem Teclznology
Fully CMOS based CGait93, Swar931 thermal scene simulation devices functional without vacuum encapsulation were obtained by silicon front micromachining using EDP, as described in Section 6.2.2. By appropriate design layout of the field, contact, via, and pad masks and silicon bulk micromachining subsequent to completion of the CMOS process (through MOSIS), microbridges with integrated (gate) polysilicon resistors were fabricated. The pixels have a thermal resistance of 3.7X lo4 K/W and a thermal time constant of about 1 ms.
Light Valves Impressive arrays of light switches were developed by Texas Instruments (TI) and are being commercialized under the name digital micromirror device (DMD) as a component in professional projection systems and high performance displays [Kess98]. The arrays are composed of up to 1280 X 1024 torsional mirrors, each built over a CMOS SRAM cell fabricated using a 0.8 pm CMOS process of TI. As shown in Fig. 6.4-21, each mirror structure is composed of three levels. The bottom level consists of a pair of landing electrodes preventing electrostactic sticking between the deflected mirror and the substrate and a pair of actuation electrodes. The next level includes support posts for the torsional hinges and for address electrodes used for the electrostatic deflection of the device. A yoke bearing the third structural level is supported between the two hinges and includes two pairs of landing tips defining the maximum deflection angle of roughly f15" of the mirror. The third level consists of a vertical post and the mirror surface. Each mirror stack has horizontal dimensions of 16 pm by 16 pm and an estimated height of 6 pm in the undeflected state. During operation the mirrors are switched
Yoke and mirror ,4' address electrode
CMOS substrate with logic cell
-\
Landing electrode
Fig. 6.4-21 Exploded view of a surface micromachined micro mirror for digital projection applications. The device is integrated on top of an addressing logic cell. Under appropriate bias voltages it rotates around the torsional hinges into one of two stable tilted positions (off and on).
6.4 Micro Transducers and Systems Bused on Silicon Technology
211
appropriately between the two fully deflected positions corresponding to the on and off states. In the on-state, light from a localized source is reflected into the projection optics and further onto the screen, producing a light spot. In the offstate, the reflected light is projected into a light sink, so that the spot on the screen remains dark. The position of each mirror is updated fast enough to make it possible to display about 80 frames of three colors with an 8-bit brightness range per second. Deflection of a mirror is achieved by applying about 30 V between the mirror and the actuation electrodes. The mirror then rotates into either direction until it is stopped by the corresponding landing electrode. Which direction is chosen is defined by a small bias voltage applied to the appropriate address electrode. Once the mirror is deflected its position remains (bi)stable under the actuation voltage and preparation of the following on/off update of the biasing electrodes can proceed. Fabrication of the device is based on sacrificial polymer micromachining and aluminum-based metallizations. CMOS fabrication of the RAM array is followed by the deposition and patterning of the bottom electrode metal. Next a polymer layer is spun onto the wafer, planarizing the CMOS/electrode topography, and opened to define the first level of posts. Hinges, supporting posts and the yoke are then formed by a two-level metallization scheme resulting in 60 nm thick hinges and more rugged structural components. A second level of polymer is then spun on and structured, defining the upper support posts for the mirror. A final metallization with mirror quality and subsequent ashing of the polymer in oxygen plasma completes the process. For a description of testing and encapsulation, the reader is referred to the pertinent literature [Kess98]. Deformable grating light modulators are simpler structures also able to switch light on or off for projection applications [Bloo97]. The principle is shown in Fig. 6.4-22. An arrangement of parallel thin beams is the central component of the device. The beams are made of LPCVD silicon nitride coated with aluminum
Fig. 6.4-22 Surface micromachined reflective/diffractive digital micromirror for projection application.
278
6 Silicon Microsystem Technology
for optimum reflection. They are clamped at their two ends, released using surface micromachining, and can be electrostatically attracted onto the substrate surfaces. In their equilibrium position, the upper surface of the beams is at a distance of h/2 above the reflecting substrate surface. The entire arrangement then acts as a mirror, since partial waves from beams and interstitial areas interfere constructively. In the deflected state, the distance is reduced to h/4 so that the beams act as a phase grating, diffracting an incoming light wave. Appropriate choice of the grating period allows projection of different colors from a white incident source into the projection optics. Pixels with sizes down to 25 pm by 25 pm were demonstrated. A third approach - among several others - is pursued with the torsional micromirror system shown in Fig. 6.4-23 [Kran98]. They are elastically supported on torsional silicon bars and are individually deflected from their equilibrium position by electrostatic forces. In the present arrangement, the mirrors have a size of 3 X3 mm2 and a maximum deflection angle of & 18". The mirrors are fabricated in bulk silicon and coated with aluminum for improved reflection. They are deflected electrostatically. Considering the high creep resistance of monocrystalline silicon, long life-times can be expected of such devices.
Fig. 6.4-23 Photo of a torsional mirror array. Individual pixels are electrostatically deflected from their equilibrium position (courtesy of the Zentrum fur Microtechniken (ZfM)of the Technical University of Chemnitz-Zwickau,
Germany).
6.4 Micro Transducers and Systems Bused on Silicon Technology
279
6.4.4 Magnetic Devices and Systems Silicon-based magnetic sensors use a range of galvanomagnetic effects, the best known of which is the Hall effect, discovered in 1879. In a sample carrying a current, the Hall effect produces an electrical field perpendicular to both the applied magnetic induction and the current flow direction. The field can be directly measured, e. g., in an integrated Hall plate of classical geometry fabricated using bipolar or CMOS-like technologies, or indirectly, via various carrier deflection, concentration, or modulation effects in split-electrode devices, magnetic FETs, horizontal and vertical magnetotransistors, and current domain devices, among others. Excellent descriptions of these more advanced devices are found in [Popo91, Balt941. In view of its low carrier mobility, silicon is not the optimal material for magnetic sensors. Nevertheless, the availability of commercial fabrication technologies weighs heavily in its favor when less demanding applications are addressed. Magnetic semiconductor sensors show offsets and sensitivity variations due to temperature and stress (from packaging and thermomechanical effects). If silicon is used, such effects can at least partially be compensated by on-chip circuitry. Companies including Honeywell, Siemens, and Texas Instruments produce and commercialize Hall devices with on-chip amplification and stabilization circuitry. Depending on their implementation, Hall sensors are able to measure magnetic fields perpendicular to the chip surface or in-plane. A conventional Hall plate fabricated using bipolar technology is schematically shown in Fig. 6.4-24. It consists of a laterally isolated n-doped epilayer on a p-substrate, with four n+-contacts obtained by the emitter/collector contact diffusion of the IC process. These contacts are the two current contacts for the injection and the extraction of a current Z, respectively, into and out of the plate and two side contacts for the measurement of the Hall voltage proportional to the perpendicular magnetic induction. In the absence of an external magnetic field, the current flow in the plate is symmetric and equipotential surfaces are symmetric with respect to the longitudinal symmetry axis of the device. Due to the Lorentz force acting on the carriers, a magnetic induction B tilts the equipotential lines by the Hall angle 8,. Consequently a Hall
p+-lsoiation
Fig. 6.4-24 Conventional Hall plate in epilayer technology with p+ isolation well. The device measures the out-of-plane component of the magnetic induction.
280
6 Silicon Microsystem Technology
Top Hall c o n t a c i
i”l
,,,,,!Bottom Hall contact
Fig. 6.4-25 Vertical Hall plate in CMOS technology combined with deep trench etching. An in-plane component of the magnetic induction is detected
[Stei99]. voltage VH= GtBr,/qnt appears, where G is a factor depending on the geometry of the plate (G < l), and r,, n, and t denote the Hall factor (empirically, r, = 1.15 in weakly n-doped silicon), the carrier density in the plate and the plate thickness, respectively [Balt94]. An interesting vertical Hall plate for the detection of an in-plane magnetic induction component has been reported recently [Stei99]. It uses state-of-the-art IC trench etching to define a vertical high aspect ratio silicon plate delimited by two parallel trenches. As schematically shown in Fig. 6.4-25, five contacts are defined on the chip surface, three of which are on the plate and two on its sides. Current is forced through the plate using the two outer plate contacts. The external contacts probe the voltage at the bottom of the plate, while the middle contact measures the voltage on the top face. At B = 0 T, the locations of middle and external contacts coincide with the same equipotential surface. In a non-vanishing magnetic induction perpendicular to the plate, equipotential surfaces are tilted and a Hall voltage V, appears between middle and external contacts.
6.4.5 Chemical Microsensors Detection of chemical species in gases or liquids has applications in process control in the chemical or food processing industry, in environmental control, and in clinical diagnostics, among others. Frequently, the first step of the detection process is the adsorption of the chemical compound on the surface of the device, or the absorption into its volume. Several sensor effects enable the quantification of the sorbed species. A resulting mass change can be measured as a change in the resonant frequency of the device. Reaction enthalpies may lead to a temperature change measurable directly on thermally well isolated microstructures or indirectly via thermomechanical effects, e. g., using the bimorph effect or resonant shifts in bilayered or multilayered cantilevers [Lang99]. A more direct transduction of a chemical concentration into an electrical signal is achieved using modified field effect transistors. These devices are summarized
6.4 Micro Transducers and Systems Based on Silicon Technology
281
under the term CHEMFET (chemical FET) and belong to the earliest chemical microsensors. Depending on their specific application for the detection of ions in solution, or gaseous compounds, they also have names such as ISFET (ion sensitive FET), GASFET (gas sensitive FET), and ADFET (adsorption FET). The main use of ISFETs is pH determination [Berg90]. The usual gate conductor of the FET is replaced by the liquid containing the ions to be detected. As shown in Fig. 6.4-26, the device is thus reduced to a semiconductor/dielectric/ liquid sandwich. Silicon oxide covered by the chemically more robust silicon nitride and metal oxides have been used as gate dielectric material. When the device is exposed to a liquid, ions adsorb on the gate dielectric and form the Helmholtz double layer (see Chapter 3) with corresponding potential difference y E In alkaline solutions, dominantly OH- ions are adsorbed, while protons adsorb preferentially from acids. To define the potential of the liquid, the source or substrate of the ISFET is biased with respect to a reference electrode immersed in the solution with well-defined potential with respect to the solution. By varying the bias voltage, the channel of the ISFET can be turned on or off, like in a conventional FET. The main difference is the double layer potential. The bias potential required to yH(pH) VRef,where VRefdescribes switch on the channel is V h H ) = V,, the reference/liquid interface, and V , , is the thrdshold voltage in the absence of a double layer. The pH-dependent potential y H depends on pH through a relation of the form y H= (CkT/q) X (pH-pH,), where C characterizes the chemical activity of the chemical species on the gate surface and q and pH, denote the elementary charge and the p H leading to no double layer, respectively. For SO,, C = 2.303. In principle the threshold voltage of the FET therefore linearly follows pH. ISFETs still present several technological challenges. First, it is difficult to produce gate dielectrics that simultaneously are a reliable barrier to the species in solution and show the required surface activity without being dissolved by the solutions. Second, the reduction of macroscopic electrode concepts to microscopic dimensions and their desirable integration on an ISFET chip is still difficult. As
+
+
Reference electrode
Solution
t\
Silicon substrate
Source
Gate oxide
Fig. 6.4-26 Schematic cross-section of an ISFET.
Metal interconnect Drain
282
6 Silicon Microsystem Technology
Source
Drain Silicon substrate
Gate oxide
Fig. 6.4-27 Schematic cross-setion of a GASFET.
a result of the imperfect solutions to these and other problems, ISFETs tend to drift and thus require periodic recalibration. The principal example of a GASFET is the Pd-gate H2 sensor [Lund75]. Its structure is more similar to the conventional FET than the ISFET and is schematically shown in Fig. 6.4-27. Instead of polysilicon, Pd is used as a gate material on silicon oxide. Palladium absorbs large quantities of hydrogen. When the device is exposed to an atmosphere containing H2, hydrogen atoms diffuse to the gate oxide/Pd interface and form a dipole layer again causing a threshold voltage shift AV,. Similar devices sensitive to CO [Dobo85] an CC14 [Lund81] have been demonstrated. Some of these sensors have gates with pores for improved access of the species to be detected to the gate/dielectric interface. A gate-less variant of the ISFET, with sufficiently thin gate dielectric ( 5 nm) is operated in air. Polar molecules absorbed on the gate surface, cause an electric field to penetrate into the channel region of the FET. This again results in a threshold voltage shift. Such devices are referred to as ADFETs. Sensitivity to NO, NO2, SO2, and HCl among others was demonstrated [Cox74]. Like ISFETs, GASFETs and ADFETs suffer from drift. Long-term reliability is also a concern. Other aspects to be taken into account are the cross-sensitivity of sorption-based chemical sensors to other species than that to be detected. A tradeoff in many devices is that specific sensitivity is often obtained only at the expense of a slow response.
Microfluidic Components and Systems A modem trend in microfluidic systems is to combine valves, pumps, flow sensors, fluid injectors, mixers, cells for optical, chemical, electrical, or electrochemical analysis, and other microcomponents into compact, possibly handheld instruments. The resulting systems have been referred to as micro total analysis systems (pTAS) and labs-on-a-chip. Examples are blood analysis systems, chemical screening in miniaturized immuno-assays, and automatized DNA sequencers, among others. Silicon micromachining has started to play a role in the development of some of their components. Considering the ambitious goal of pTAS, however, numerous other techniques in addition to silicon micromachining are needed to make these systems possible.
6.4 Micro Transducers and Systems Based on Silicon Technology Actuator membrane
Valve seat I
\
\\
Outlet
Fig. 6.4-28
283
Aluminum
Inlet
1
Silicon
Base plate
Schematic cross-section of a microvalve with bimorph actuation. By heating the bossed silicon actuator membrane, the boss is lifted from the valve seat, opening the valve (courtesy of of the Institut fur Mikro- und Informationstechnik HSG-IMIT, Villingen-Schwenningen, Germany).
Fig. 6.4 -28 illustrates the principle of a microvalve constructed using two structured silicon chips mounted on a base plate. The upper silicon level includes the actuator consisting of a bossed silicon membrane fabricated by anisotropic silicon etching. A metal film with thermal expansion coefficient differing from that of silicon is deposited on the actuator. The lower silicon level is anisotropically structured from both sides and acts as the valve seat. The valve is opened by heating the actuator membrane and thereby exloiting the bimorph effect in the silicon/
Fig. 6.4-29
Cross-section of the valve schematically shown in Fig. 6.4-28. All components including the A1 layer, bossed membrane, and valve seat are clearly visible (courtesy of the Institut fur Mikro- und Informationstechnik HSG-IMIT, Villingen-Schwenningen, Germany).
6 Silicon Microsystem Technology
284
Heating resistor
,/+
XI
\
Pyrex Silicon Pyrex
/
Actuation liquid
'
Diaphragm \
Valve seat
Fig. 6.4-30 Exploded schematic view of thermopneumatic valve fabricated from two Pyrex levels and one anisotropically etched silicon level. Upon heating, the actuation liquid expands, forcing the silicon membrane down onto the valve seat [Zdeb94).
metal sandwich. Fig. 6.4-29 shows a cross-section of the fabricated device. Gases and liquids are switched on and off reliably, at differential pressures up to 1 bar. A second valve actuation principle exploits the thermopneumatic effects provided by a phase change in a trapped liquid. The principle is shown in Fig. 6.4-30. Such devices have been realized [Zdeb94] in an anodically bonded Pyrex/silicon/Pyrex sandwich, with an anisotropically micromachined silicon level. A liquid is enclosed in the volume defined by the resulting cavity and the upper Pyrex level. Power dissipation by a heating resistor locally vaporizes the liquid. This increases the pressure in the cavity and leads to an expansion of the micromachined silicon membrane towards the valve seat in the lower Pyrex level. The fluidic connection between inlet and outlet is thus interrupted and the Electrostatic drive.
Pneumatic chamber
Inlet valve
Substrate
Fig. 6.4-31 Schematic cross-section of an electrostatically driven bidirectional micropump [Zeng95]. At lower drive frequencies, fluid is pumped from left to right, as shown; at high frequencies, fluid flow is reversed.
6.4 Micro Transducers and Systems Based on Silicon Technology
285
valve is closed. Redwood Microsystems Inc. in Menlo Park, CA, develops these devices under the name “fluistor”. A further important component of fluidic microsystems are micropumps. It is not surprising that the most successful micromachined silicon based devices are membrane pumps rather than friction-plagued rotary structures. An example is shown in Fig. 6.4-31. The device is electrostatically driven and is composed of four anisotropically micromachined silicon levels. The two lower levels form elastic valves for the suction of liquid into the pump chamber through one valve and its subsequent ejection through the second valve. Suction-ejection cycles are driven by a time-dependent voltage between levels three and four, which leads to the periodic contraction and expansion of the pump chamber. It is interesting to note that the pump is bidirectional [Zeng95]. At low driving frequencies it operates as expected, with liquid sucked in by the left valve. In contrast, at frequencies higher than about 3 kHz, the operation of the pump is reversed, due to a phase shift between the membrane and valve motions, caused by the inertia of fluid and micropump components [Ulri96].
6.4.6 Micromachined Devices for Electrical Signal Processing The fabrication of components with purely electronic function has also benefitted from micromachining. Three examples dealing respectively with the filtering of electrical signals, high-Q inductors for millimeter-wave circuits and the separation of cointegrated analog and digital circuit components by silicon bulk micromachining are briefly described in the following. A micromechanical electronic filter is shown in Fig. 6.4-32. Similar in structure to comb-drive accelerometers, it can be produced using, e. g., polysilicon surface micromachining or deep reactive ion etching of monocrystalline silicon [Nguy98]. If a signal is applied to the lower pair of interdigitated electrodes, the central part Flexural suspension
Resonator 0
Al
Fig. 6.4-32 Microelectromechanical electronic filter based on two electrostatic comb structures with a movable resonator mass (after [Nguy93]).
286
6 Silicon Microsystem Technology
including shuttle, folded arms and the mobile halves of the interdigitated electrodes is excited into resonance by signal components predominantly in the interval f R i fRIQ,where f ,and Q denote the fundamental frequency of the resonator and its quality factor, respectively. Finally, the upper pair of interdigitated electrodes translates the motion into an electrical ac-signal corresponding to the mechanically selected narrow interval of the input signal. Resonance frequencies between 20 kHz and 8.5 MHz and quality factors higher than 8 X lo4 in vacuum have been achieved. Higher-order filters have been demonstrated [Wang97]. Current efforts aim at extending the applicability of such devices into the GHz range. Using micromachining techniques it is also possible to reduce the loss in integrated inductors. Such passive components are highly desirable for low-cost GHz circuits. When these inductors are realized on-chip in standard CMOS technology as integrated coils made of the available metal layers, eddy currents in the silicon substrate materials degrade the Q factor of integrated inductor based resonators to unacceptably low values. A straightforward solution is to locally remove the silicon below the inductor by CMOS compatible silicon micromachining. The inductor then consists for instance of a coil-like structure made of the CMOS metal layers sandwiched between the CMOS dielectrics. The coil is laterally suspended over a micromachined cavity if silicon front micromachining is used. If etched from the rear, the inductor may be integrated into a laterally clamped CMOS dielectric membrane. Quality factor values of 20 have been achieved in vacuum, at oscillator frequencies in the GHz range [Mila97]. Finally, the undesirable cross-talk between digital circuitry and on-chip analog components through the common substrate can be eliminated by the approach shown in Fig. 6.4-33 [Base95]. By appropriate design layout, analogue components are gathered in the center of the die and are surrounded by digital circuitry. Using post-processing rear bulk silicon micromachining, a rectangular trench is removed from the silicon die. All analogue components then sit on an isolated silicon island, electrically connected to the surrounding digital mainland by signal and power lines. Signal coupling through the substrate silicon is thus suppressed CBase95, Mii11981.
Analog circuitry
Digital circuitry
linesa
Siiicon
‘Trench
Fig. 6.4-33 Use of bulk silicon micromachining for the suppression of digital crosstalk to analogue circuit components integrated on the central mesa struc-
ture.
6.5 Summary and Outlook
6.5
281
Summary and Outlook
Silicon based micromachining offers breathtaking opportunities. Nevertheless it has its limits. In particular the use of available, e. g., commercial IC technologies leads to severe restrictions. In this case, materials are predefined in composition, geometry and properties, pushing the microsystem designer to become creative in inventing novel, meaningful two-dimensional mask layouts. The absence of highly efficient actuation effects, of piezoelectric, pyroelectric and ferromagnetic effects, and of a decent optical activity requires the often costly integration of nonstandard thin films or nonsilicon components. In addition, the original driving force of micromachining, i. e., the benefit of continuing miniaturization has lost some of its intensity and miniaturization is no longer the primary goal of silicon-based microsystem technology. As the example of electrostatic micromotors has shown, the scaling behavior of certain effects with miniaturization can be disadvantageous to the scaled down system. Yet, the prospects of silicon based microsystems are bright. Indeed, they have already conquered a strong position in several transducer markets including pressure sensor, accelerometer, and inkjet printer applications, and more recently digital light projection. These examples address mass markets. Further stories of success can be expected in the future. The convenient cointegration in silicon technology of microstructures with dedicated signal amplifying and conditioning circuitry is a strong argument in favor of silicon based microsystem fabrication. Complex systems including large microtransducer arrays or large collections of sensors are likely to continue being realized in silicon technology. Sensors and actuators with spatial resolution and electronic noses, papillae, cochleae, retinae, and skin are possible candidates for such future highly integrated silicon based microsystems.
This Page Intentionally Left Blank
The LIGA Process
7.1 Overview The LIGA process is related to some technologies used in microelectronics fabrication. However, it is technologically very different compared to silicon microtechnology, which will become clearer to the reader in the following description of the process. The developments of the LIGA process began at the end of the 70’s at the Nuclear Research Center, Karlsruhe (KfK), within the development of the so-called nozzle separation process of uranium isotopes [Beck82, Beck861 in order to be able to produce extremely small, and specially designed slotted separation nozzles inexpensively. The application of the process is not only limited to this use but the process is suitable for the production of other microstructures (see Section 7.7) for numerous applications, like measurement and regulation, communication- and/or automobile- and medicine-technology [Ehrf87]. The production of a microstructure by the LIGA process is schematically represented in Fig. 7.1-1. The essential process steps are X-ray lithography with synchrotron radiation, the electroplating of metals and the molding of plastics. This combination of process steps have been given the name LIGA; LI for X-ray lithography, G for galvanic or electroplating and A for Abformung (German word for molding). In the first step of X-ray lithography, a plastic layer several hundreds of micrometers thick is applied to a metallic base plate or an isolated plate with an electrically conductive cover layer, used as the substrate. The X-ray sensitive plastic is either polymerized in place directly on the base plate or glued to it. Up until now PMMA (polymethyl methacrylate) is used almost exclusively as the X-ray resist because of its high contrast known from electron beam lithography. However, because of its low sensitivity chemically strengthened X-ray sensitive negative resists are also used [Sche96]. To form the micro structure an absorber pattern of a mask is transferred into the thick plastic layer with the aid of extremely parallel and high intensive synchrotron radiation with a characteristic wavelength between 0.2 and 0.6 nm.
290
7 The LIGA Process
a lithography
"
' 1111111 1
~ ~X-Rays ~~~~~~~ Absorber (Au)
Irradiation
Maskmembrane Resist
\ Metallic
Development of the Resist
Substrate \ Microstructure Made of Plastic
b Electroformin Metal Deposition
Microstructure Made of Metal
Stripping of the Unirradiated Resist
C
Molding Process
Mold Insert (Metal) Injection Hole
Mold Filling
Molding Mass Gate Plate (Metal)
Demolding Process
d Second Electroformina
I
Gate Plate= Electrode Plastic Metal
Fig. 7.1-1 The basic process steps of the LIGA technology.
7.2 Mask Production
291
The X-ray radiation which passes through the mask is absorbed in the resist and leads to a chemical modification. In the case of PMMA the chemical resistance changes because of bond breaking in the long molecular chain, so that these regions can be dissolved with a suitable developer. Using micro-electroplating a complementary structure can be formed from the resultant resist structure after the development process. The metal e.g. copper, nickel or gold is deposited in the void spaces of the electrically non-conducting resists where the deposition of the metal starts on the electrically conducting base plate. Using these metal templates in injection molding, reaction resin casting or in hot embossing, almost any number of highly detailed plastic copies can be fabricated at relatively low costs [Noke92]. These plastic structures can again be filled by electrodeposition with metals or serve as ‘lost forms’ for the production of ceramic microstructures. In the following chapters the individual steps of the LIGA process will be described in detail. The first process step, X-ray lithography, which defines the structure quality for the following steps and thus, represents the most critical process step, puts especially high requirements on the necessary X-ray masks. So first the important steps of the production of suitable masks are discussed, before X-ray lithography, electroplating and molding techniques are dealt with.
7.2 Mask Production 7.2.1 The Principle Construction of a Mask A mask which can be used in the LIGA process consists of an absorber, the carrier foil and the frame of the mask [Bach91]. In contrast to masks for optical lithography as used in microelectronic fabrication, the required absorbing characteristics are much higher while the thickness of the carrier foil is much lower. This requires a different mask fabrication process. Absorber The information which is to be transferred into a thick resist, is given by the structure of the absorber, which is to shield certain parts of the resist from synchrotron radiation. Whilst in optical lithography using UV light, an approximately 0.1 pm thick chromium layer on the mask is already sufficient, the absorber in X-ray lithography must consist of a material with a high absorbency for X-ray radiation in the particular wavelength region of interest. Materials are considered which have a high atomic weight and therefore a high absorption coefficient, such as gold, tantalum or tungsten. Mostly Gold is used due to its ability to be deposited by electroplating. Tantalum or tungsten find reIatively few applications and are structured by reactive ion etch processes.
292
7 The LIGA Process
The retention capability for X-ray radiation does not only depend on the absorption coefficient uAu(l), but also on the thickness dAuof the gold layer. The transmission of a layer is given by:
TAu(d)= e - a a a ( A ) ' d A u
(7.1)
To achieve a low X-ray transmission, that is, to maximize the absorption of the appropriate synchrotron radiation necessary for structuring in the LIGA process, the gold absorber must have a thickness of more than 10 pm (see Section 7.3.4). The required thickness depends not only on the characteristic wavelength of the synchrotron radiation, but also on the the thickness of the resist to be irradiated. The absorber thickness increases with decreasing wavelength and increasing resist height. In the case of gold absorber the structure is built up by micro-electroplating. Thus, a resist layer is structured, whose thickness is somewhat larger than the absorber thickness and subsequently gold is electro-deposited in the developed voids. With the exception of structuring with synchrotron radiation itself, there is no process at the moment which guarantees either the required precision or the structuring of this resist layer with a height of more than 10 pm and a precision in the sub-micrometer region. Therefore, initially an X-ray mask with an absorber thickness of about 3 pm has to be produced, a so-called intermediate musk. There are several processes for precise structuring of the resist layer of this height which will be described in more detail in the following. Using synchrotron radiation, the pattern of the intermediate mask is transferred into a resist layer of approximately 20 pm thickness, which after development serves as the mold for gold electrodeposition. The mask produced in this way which has sufficiently large absorber thickness, is called a process musk. The use of synchrotron radiation does not display any noticeable structure deterioration in this copy step due to the highly parallel beam and the small wavelengths.
Carrier Foil The absorber structures are fabricated on a suitable carrier [Scho91]. In optical lithography approximately 2 mm thick polished glass- or quartz plates are used. This material cannot be used in X-ray lithography since at such thickness glass plates absorb almost entirely the incoming x-radiation. The carrier foil must have a low absorption coefficient and low thickness, in order to absorb less of the X-ray radiation (see Eq. 7.1). Therefore, materials with a low atomic weight like e. g. beryllium, carbon (diamond), silicon and its compounds, plastics or metals with a lower atomic number are chosen for membrane materials. On selecting materials an optimum must be found between mechanical rigidity, dimensional stability and transparency to the synchrotron radiation. Furthermore, the carrier material must be resistant to X-ray radiation. This limits the use of plastics. Previously in the LIGA process thin metal foils have been used as the carrier material. Only by using these materials was it possible to obtain low stress of free-standing membranes over a large area (e.g. 25 mm X 65 mm). Among the metals, beryllium shows an ideal transmission behaviour (Fig. 7.2-1). With comparably thick
7.2 Mask Production
293
100
80 CI
7.2 Mask Production
295
A process has been especially developed for the production of X-ray intermediate masks with titanium membranes, which avoids the handling of solid invar carriers with cost and time intensive, mechanical handling steps (milling, lapping, polishing). These steps are otherwise necessary to produce surfaces with a roughness R,,, of less than 100 nm to avoid pinholes in the membrane. This alternative process uses a silicon wafer with its high surface quality as an intermediate support for the membrane. First a layer of carbon is applied to the silicon wafer, leaving the outer rim of the wafer uncovered. Substrate temperature and other coating parameters are adjusted such, that a low adhesive layer is achieved. A titanium layer of 2-3 pm thickness is sputtered onto this carbon layer. This layer exhibits good adhesion at the outer most periphery of the wafer, whilst on the carbon layer adhesion is low. Next a solid frame is glued to the inner part of the Ti-layer. After curing the frame together with the Ti-carrier foil can be lifted off the support by gently bending the Si wafer. By this technique a carrier foil is obtained having an excellent surface finish.
7.2.3 Structuring of the Resist for X-ray Intermediate Masks In the case of an intermediate mask a resist of about 3-4 pm thick is spun onto a free suspended membrane. The resist is structured e. g. with the aid of an electron beam writer or by optical lithography or by reactive ion etching. After developing, the voids are filled with gold by electroforming. Finally the non-irradiated resist is removed by a strong solvent or by applying an oxygen plasma. On copying the X-ray intermediate mask (gold absorber thickness < 3 pm) to the X-ray process mask (gold absorber thickness >10 pm) no quality deterioration is noticeable due to the advantageous properties of the synchrotron radiation (see Section 7.3.5). The quality of the microstructure is therefore largely determined by the quality of the intermediate mask. Therefore on the intermediate mask, the absorber structure should have the most acute edges possible, to produce immaculate working masks. Depending on the requirements which the microstructures must fulfil, different processes can be utilized for the production of intermediate masks. Optical Lithography For structures with reduced requirements with respect to precision and miniaturization, the X-ray intermediate mask can be produced by an optical copy of a conventional chromium mask in an approximately 3 pm thick photosensitive coating. The requirements for the perpendicular absorber walls can be attained by optimizing the baking process and the irradiating- and development conditions, so that structures with an angle of slope of about 88" are possible [Schu96]. The minimum achievable lateral dimensions of the structure in the photosensitive coating are about 2 pm because of the unavoidable effect of diffraction in optical lithography. In addition a certain rounding of sharp edges is inevitable. The structural loss
296
7 The LIGA Process
(decrease of structural width of about 0.5 pm), which appears with the optical copying, is compensated by an increased structure size on the chromium mask. Direct Electron Beam Lithography
An electron beam writer with high acceleration voltage (e. g. 100 keV) can be used for direct structuring of an approximately 3 pm thick resist layer [Hein92]. The 100 keV acceleration voltage keeps the necessary steepness of the edges because the electron lobe which results from scattered electrons in the material, takes place primarily in the substrate. PMMA is used as the resist especially for high precision requirements. PMMA shows a very high resolution in electron beam lithography, however one of its disadvantages is a low sensitivity which results in long writing times. The 3 pm thick PMMA layers which are required for the intermediate masks are produced by double coating with a spin coater with a very homogeneous thickness. A temperature annealing step is carried out after every coating step to reduce the susceptibility to stress cracking. In case of less demanding precision also negative resist materials based on the diazo systems are available, which represents a compromise between resolution and writing time. In order to attain precision in the submicrometer region, the structures are subdivided into a fine region, near the border periphery, and directly connected to it, a coarser inner region. The fine region, which has a width of 1 pm, is illuminated with a small beam diameter (e. g. 0.02-0.5 pm). For the coarse region a considerably larger beam diameter (up to 0.5 pm) is sufficient. Thus, the border can be structured with high precision and at the same time the total writing time is considerably reduced, as the writing time per surface unit for the coarse region is about a factor of 50 smaller than it is for the fine region. A graded distribution in regions allows a gradation of the surface dosage, so that a simple correction of the proximity effect (see Section 5.5.6) is possible, in which the edge region is written with a higher dosage than the inner region. The limits of electron beam lithography in a 3 pm thick resist result in the smallest line and space structures in the range of about l pm, because of the proximity effect. Nevertheless, the measurement limitations of the detailed structure are between 0.1 pm and 0.2 pm. Reactive Ion Etching X-ray intermediate masks can also be produced for the LIGA process in a so called tri-level process (see Section 5.6.4) by structuring a layer system from photoresist, titanium and polyimide, whose lateral dimensions also lie below 1 pni. The structuring of the three layers is carried out by optical lithography, sputter etching with an argon plasma and reactive ion etching with oxygen. First a 3-4 pm thick polyimide layer is brought onto a titanium foil, which after its structuring serves as the electroplating template for the gold absorber. The structuring of this polyimide layer is carried out by reactive ion etching in oxygen. A thin titanium layer is used as the etch mask, which is applied onto the polyimide by magnetron sputtering.
7.2 Mask Production
297
For the structuring of the polyimide layer, the operation parameters of the oxygen plasma must be chosen such, that a very high selectivity of the etch rate between titanium and polyimide is obtained. Using the right operation parameters titanium is removed about 300 times slower than polyimide, which allows the thickness of the titanium layer to be very thin, e.g. 10-15 nm. The titanium layer is structured by sputtering in an argon plasma, using a photosensitive layer as the mask. In general, using this structuring process, plastic layers are much faster removed than metal layers. However, under the optimum operation parameters, the photosensitive layer is removed only 2-3 times faster than titanium. As the titanium layer is chosen to be very thin, the thickness of the photosensitive layer is also in a range of 100 nm. In such layer structures, very small lateral dimensions can be precisely reproduced even by photolithography. If structures with very small lateral dimensions are to be produced, the structuring of this uppermost layer is carried out directly with an electron beam writer.
Comparison of Structuring Methods on the Production of Intermediate Masks Figure 7.2-3 shows the comparison of three resist structures of an intermediate mask, which were produced using the three above described processes. With the structure produced by optical lithography, rounding caused by the diffraction effect, can be clearly seen. The three production processes of the intermediate mask are compared with each other in Table 7.1.
Table 7.2-1 Comparison of different processes to produce X-ray intermediate masks Process
Smallest line width
[WI
Structure detail [am1
Energy expenditure
~~~
optical lithography direct electron beam lithography reactive ion etching
1.5 to 2.5 0.8 to 1
-1 0.1
0.3 to 0.4
0.1
small average (100 keV E-beam necessary) energy intense process
298
7 The LIGA Process
a
b
C
Fig. 7.2-3 Resist structures for intermediate masks, fabricated by a) optical contact printing, b) electron beam lithography, c) reactive ion etching. The resist height in all three cases is about 3 pm, the smallest lateral dimensions is (a) 2.5 pm, (b) 1.0 pm, and (c) 0.3 pm.
7.2.4 Electroplating with Gold for X-ray Masks The electroplating of microstructures will be dealt with in detail later in this chapter as an essential process step of the LIGA process (see Section 7.3). As for the fabrication of both intermediate- and process-masks, an electroplating process for microstructures is required [Mane88]. The essential requirements of electroplating with gold for the absorber will be summarized in this section:
7.2 Mask Production
299
The deposition should be carried out with the least amount of stress, so that no mask distortions are induced and to prevent the stripping of large absorber regions. The homogeneity of thickness of the deposited layer must, both in the microscopic as well as in the macroscopic region, show a high uniformity, resulting in a uniform and maximum X-ray contrast. Dimensions of the resist structure even in the submicrometer range must be replicated by electroplating. The absorber structure must adhere well to the carrier material, as the cross sectional area of the absorber structure often amounts to only a few square micrometers.
As titanium belongs to the group of materials which are difficult to cover by electrodeposition, either suitable intermediate layers or a pre-treatment is necessary. For example, with titanium foils, a wet chemical oxidation of the surface has proven to be a suitable method [Mohr88]. It does improve not only the adhesion of the resist, but also the adhesion of the absorber structure. In order to ensure a uniform initiation of the electroplating, a gold layer of about 10 nm thickness is sputtered onto the titanium oxide layer. For electroplating in the LIGA process, cyanide electrolytes are used for the deposition of gold absorber structures. However, the cyanide electrolytes do have some disadvantages; above all the toxicity, small attack of the resist and rough surfaces. By using suitable sulfite gold electrolytes, fine-grained depositions with smoother surfaces can be achieved.
7.2.5 Production of Process Masks The process mask is produced, by transferring the pattern of an intermediate mask into a resist using synchrotron radiation (Fig. 7.2-4). PMMA is used as the resist, which is applied to the mask carrier by direct polymerization with a thickness of about 20 pm (see Section 7.3.1). In contrast to the spin coated layers of similar thicknesses polymer resist structures can be produced which are not susceptible to stress cracking. In case of the process mask, beryllium instead of titanium can be used as mask membrane. Carrier foils of beryllium can be much thicker than titanium foils due to their lower absorption of X-ray radiation. They are produced by mechanical processing of metal sheets. At present this preparation process is limited to a thickness of 500 pm, so that the beryllium carrier can be used only for Xray masks. This metal sheet is coated on both sides by a silicon nitride layer, in order to prevent corrosion. A PVD deposited gold layer is used as the electroplating seeding layer. This may cause problems in resist adhesion which have to be overcome initially by adhesion promoters.
300
7 The LIGA Process sputtering of Titanium casting of PMMA
1 1 1 1 1 1 1
copying of intermediate mask with synchrotron radiation
development and electroforming of gold removal of PMMA
etching of substrate Fig. 7.2-4 Process steps for the final process mask on a titanium carrier with absorbing structures of up to 15 pm height.
As X-ray lithography involves shadow projection of the absorber structure an exact image of the absorber structure in the resist results with a sufficiently thick gold layer. Also lateral roughness of the absorber structure of the interrnediate mask, which is only of some tens of nanometers, is completely transferred onto the process mask. For this copy step the wavelength of the synchrotron radiation must be considerably larger than for the structuring of resists with a thickness of several 100 pm (see Section 7.3.3). With the small thickness of the gold absorber of the intermediate mask the necessary contrast between the illuminated and nonilluminated region can be achieved only by using soft X-ray radiation.
7.3 X-ray Lithography
301
7.2.6 Window for Alignment in X-ray Process Masks If microstructures are to be produced by X-ray lithography, which fit exactly on previously structured auxiliary layers, then the X-ray process mask must be aligned relative to the sample prior to exposure. Because titanium or beryllium mask membranes are not optically transparent, perforations are etched locally into the membrane, whereby perforation and alignment pattern on the substrate can be adjusted simultaneously by an optical microscope. To etch the hole into the membrane the entire mask is covered with a photosensitive coating, which is then removed only at those sites where the windows for alignment are to be etched. In the case of titanium, etch undercutting of the photosensitive coating plays a secondary role due to the thin membrane and hence the short etching time. In contrast, with thick beryllium masks the entire membrane cannot be etched through, as this would lead to a strong etch undercutting due to isotropic etching. For this reason windows must be machined into the beryllium prior to etching. The gold crosses, together with the absorber structure, are produced on the mask and are therefore very precisely positioned with regard to the other structures. These crosses are circumscribed by a gold edge, which subsequently defines the dimensions of the perforation in the titanium foil and guarantees the necessary stability. In the previously applied alignment process a gold cross, which is mounted over an opening in the titanium foil, is exactly aligned with an appropriate cross on the sample. The adjustment precision is limited to 1 pm by the separation distance of both planes (mask, substrate) which is pre-determined by the resist thickness.
7.3 X-ray Lithography By X-ray lithography, the pattern of the mask is transferred into a resist layer, which may have a thickness of up to some millimeters, using synchrotron radiation (see Section 5.8). The region which is exposed to X-ray radiation undergoes a chemical modification. The degree of modification depends on the X-ray sensitivity of the material and on the energy of the absorbed radiation in the resist. The quality of the structure which can be achieved depends on the divergence of radiation, the diffraction of the radiation at the absorber edges and the range of the photoelectrons which are produced in the resist layer. In addition secondary effects must be considered, like fluorescence electrons produced in the mask rnembrane and photoelectrons which are released in the substrate. Also mask distortions resulting from thermal load of the mask membrane have to be taken into account.
302
7 The LIGA Process
7.3.1 Production of Thick Resist Layers The resist layer thicknesses used in microelectronics usually do not exceed 1 pm and the resist consists normally of a polymer, which is soluble in a solvent. On applying this resist solution onto rotating substrates, the solution is spread out. After evaporation of the solvent a homogeneous layer of solid resist remains, whose thickness decreases as the speed of rotation increases. Using this spin coating method very uniform and homogenous resist layers can be produced with thicknesses of about 1 pm. However, this process is not suitable for thick resist layers, especially for those of the LIGA process which are several 100 pm thick. The spin coated layers show only a loose bonding of the polymer chains which, unfortunately, show strong intrinsic stress with increasing layer thickness. In the development stage, this leads to a non-uniform penetration of the developer into the resist layer and to cracking and stress corrosion. Therefore, in the LIGA process a resist layer is polymerized directly onto a base plate [Mohr88] or a polymerized plate is glued or welded onto the base plate. Polymethylmethacrylate (PMMA) is almost exclusively used as the resist material. In case of direct polymerization, the raw material is a viscous cast resin, which consists mainly of a low viscosity monomer, methylmethacrylate, and a solid component dissolved in it. After addition of a polymerization starter (e. g., peroxide), polymerization of MMA to PMMA results, either at a raised temperature or by addition of an initiator (e. g., anilin) at room temperature. The molecular structure of the monomer MMA and the polymer PMMA are represented in Fig. 7.3-1. The PMMA added to MMA remains unchanged on polymerization i. e. no secondary polymerization occurs. On polymerization the resulting molecular weight distribution can be strongly influenced by the different initiators and starters, their con-
Monomer MMA
CH 3
I
CH2=
c I
C = O I
O-CH,
Polymer PMMA
,
CH 3
-CH 2
--L
CH3 CH 3 I -CH2-C-CHz-C -CHz-
1
C=o I
O-CH,
I
C=o I O-CH,
I
C=o I
O-CH,
Fig. 7.3-1 Structure of the monomer methylmethacrylate (MMA), and the polymer
polyrnethylmethacrylate (PMMA).
7.3 X-ray Lithography
303
centration and by the reaction conditions. As the added solid fraction does not take part in the polymerization, normally a bimodal molecular weight distribution (see Fig. 7.3-5a in Section 7.3.3) is achieved. After polymerization the sample is subjected to an annealing process in order to reduce the inner stress. In case of using solid PMMA plates two approaches are pursued. In one of the processes the above described cast resin is applied as a thin adhesion layer (10 pm) onto the base plate and the PMMA plate is pressed onto this. The cast resin used as adhesive, hardens at room temperature by using the same material as with direct polymerization. Alternatively a thin monomer layer is spun onto the base plate, which usually contains adhesion conducive components. Using pressure and temperature the resist plate is welded with this adhesion layer resulting in a bond between substrate and the resist plate [Skro95]. On fabrication of resist structures it is particularly important that after the lithography process i. e. after irradiation and development, both very small and high structures still adhere to the base plate. As the voids are mostly filled with metal in a subsequent electroplating process (see Section 7.4), the adhesion layer on the substrate must guarantee a good and uniform initiation of electroplating on the base plate. In addition, the effective cross-section for the production of photoelectrons in the base plate should be small, which requires a material of low atomic number for the adhesion- and electroplating initiation layer. These requirements can be fulfilled by a compromise, i. e., a sputtered titanium layer, which is subsequently oxidized by a wet chemical process. On oxidation a microporous surface results in which a mechanical teething of the plastic layer is possible. In addition, the internal adhesives which are added to the cast resin, lead to a good adhesion of microstructures. These adhesives are typically siloxanes, which form an oxygen bridging bond with the oxidized surface [Boer81]. Not only titanium, but also copper, nickel and gold are used as adhesive- and electroplating seeding layers, however in all these cases the adhesion is smaller compared to titanium. In the case of gold, adhesion can be improved by addition of thiophenols. If only polymer structures are to be fabricated on a substrate, carbon is well suited as an adhesion layer [ElkhOO]. Although carbon gives better adhesion to polymer structures compared to the titanium adhesion layer, it unfortunately cannot be used as an electroplating seeding layer.
7.3.2 Beam Induced Reactions and Development of Resists The irradiation leads to a scission of the polymer chain of PMMA i. e. to a radiation induced reduction of the molecular weight [Schn78]. From Fig. 7.3-2 it can be assumed, as with PMMA, that by increasing the dosage of radiation the average molecular weight decreases from an initial value of 650 000 g/mol to a minimum limiting value between 2 500 g/mol and 3 000 g/mol at very high dosages of radiation. This is initiated by an electronic excitation of the molecular bonds. The X-ray photons which hit the PMMA with energy in the keV region, are absorbed by single atoms due to the photo effect and release high energy photo- and Auger-elec-
7 The L E A Process
304
e
0
5
10
15
20
dosis [kJ/cm3] Fig. 7.3-2 Influence of the radiation dosis D on the average niolecular weight M , of PMMA.
trons. Their energy is transferred to other molecules or molecular building blocks thereby generating secondary electrons, which are available for further excitation, until they are ultimately thermalized. Finally ionized and excited molecules as well as thermal electrons remain. In the case of PMMA a cleavage of the ester side chain results from the excitation (see Fig. 7.3-3), so that a radical ester group and a radical C-atom are available in the polymer main chain. The radical C-atom generates the scission of the chain resulting in a radical part and a saturated part via a double bond, which originally forms from the free C-atom [SchnSl, Schn831. Thus, a short stable chain and two radicals remain, the radical polymer chain and the radical ester chain, which are free to react with one another or with other radicals to form stable molecules. Other recombination processes after chain scission are energy- or electron transfer to other molecules. The chains can also persist in a metastable excited state. The so-called G-values are a quantitative measure for the chemical effect of incident rays. The G(s)-value gives the number of main chain scission per 100 eV of absorbed radiation energy. The G(s) value can be determined by the relationship
with
= molecular weight prior to irradiation in g/mol, M,t,D= molecular weight after irradiation in g/mol, D = dosage in eVJg which is deposited in the resist, NA = Avogadro’s constant.
7.3 X-ray Lithography
I.fraction of side chain
305
CH 3 -CH
-
C
0
-
CH *-
radicales
I
2. generation of a double bond -CH
-
C =CH
3. fraction of backbone chain Fig. 7.3-3 Mechanism of chain fracture of the PMMA molecule due to exposure by
high energy radiation. Cross-linking of PMMA in competition with chain scission is also observed with higher doses. In this case chemical bonds are formed between different main chains and so the molecular weight increases. Analogous to the G(s) value for chain scission, the G(x) value is defined by each 100 eV of absorbed energy for the cross-linking reaction. Chain scission and cross-linking are processes with opposite influences on the molecular weight. As long as G(s) is larger than G(x) the average molecular weight decreases with increasing dosage. If both G values are comparable in size, the molecular weight remains unchanged. This is the reason for the lower limiting value of the molecular weight, as can be seen in Fig. 7.3-2. For the production of microstructures, the regions of low molecular weight must be selectively soluble in a suitable developer. At the same time the developer should not dissolve the non-irradiated region i. e. the removal by the developer in non-irradiated regions must be negligible. This is especially important for the development of high structures produced in the LIGA process, because the upper regions of the structure are exposed for a much longer time to the developer than the lower regions. Also a swelling of the non-irradiated region cannot be tolerated, as this would lead to unacceptable stress induced crack formation. A very good developer suitable for PMMA in X-ray lithography is a mixture of ethylene glycolmonobutyl ether, monoethanol amine, tetrahydro-l,4-0xazine and water [Ehrf88]. The solubility of PMMA in this developer at different temperatures is shown in Fig. 7.3-4 dependent on molecular weight. For example, one can see, that at a developing temperature as low as 40 "C, PMMA with a molecular weight of over 60000 can no longer be dissolved in the developer. With increasing temperature the solubility increases, and the solubility curve becomes much flatter. Nevertheless, up to 50% of PMMA molecules with a molecular weight
7 The LIGA Process
306
100
80
20 0' 0
I Y
0,5*105
I
1,o -10
I
1,5 -10
mean molecularweight [g/mol] Fig. 7.3-4 Influence of mean molecular weight and temperature T on the solubility of
PMMA during exposure. of 130 000 are dissolved in the developer at 80 "C. For low temperatures the solubility curve is much steeper which results in a higher contrast between non irradiated and irradiated parts.
7.3.3 Requirements on the Absorbed Radiation Dosage PMMA excels in that it gives very good image reproducibility i. e. a high resolution [Gree75]. However, it has the disadvantage that it is relatively insensitive, so that a high radiation dosage is necessary to realize a significant reduction in the molecular weight. A typical molecular weight distribution of PMMA prior to irradiation is shown in Fig. 7.3-5a. The distribution is bimodal with an average molecular weight of 600 000 [Elkh93]. At a temperature of 38 "C the developer (described in the previous section) dissolves at least 50 % of the PMMA molecules with a molecular weight of up to about 20 000 (shaded area in Fig. 7.3 -5). As the fraction of such polymer chains in the non-irradiated PMMA is very small, the developer can in principle dissolve only a very small fraction of the non-irradiated resist. An impairment of the microstructure is not noticeable due to the presence of this small amount of low molecular weight components because it is integrated among the long chained molecules. Irradiation of a dosage of 4 kJ/cm3, results in a mono-modal distribution (Fig. 7.3-5b) with an average molecular weight of 5,700. This distribution lies almost completely in the area in which the developer dissolves more than 50% of the PMMA, so that during the developing process it can be removed. With a radiation dosage below 4 kJ/cm3 the molecular weight is not sufficiently reduced, i. e. the fraction of insoluble polymer chains would be too large, so the irradiated region could not be completely dissolved, and therefore PMMA residues would remain.
7.3 X-ray Lithography
307
Fig. 7.3-5 The distribution of the molecular weight of PMMA before and after exposure with 4 and 20 kJ/ cm3. The shaded area in the diagram indicates the solubility of PMMA in LIGA developer of 38 "C by more than 50 %.
Therefore, 4 kJ/cm3 represents a limiting value for the minimum dosage to be deposited. This limiting value depends on the temperature of the developer. It can be further decreased, if the developing process is supported by convective measures (e. g. stirring, ultrasonic). With a radiation dosage of 20 kJ/cm3 a distribution results with an average molecular weight of 2 800, as shown in Fig. 7.3-52. The whole of the PMMA is dissolved relatively quickly in the developer at such a high dosage. PMMA however, should not be irradiated with a higher dosage, as it can then lead to damage by formation of bubbles, which would prevent a defect free production of microstructures. Therefore, 20 kJ/cm3 corresponds to the upper limiting value, i. e. the maximum irradiation that PMMA can be subjected to. This value is dependent on the temperature of irradiation and decreases at higher temperatures in the resist. As a consequence there is a decrease in the value by 14 kJ/cm3, especially for tall samples (e. g. 1 mm), where the heat dissipation to the substrate is reduced due to the higher heat resistance of the thicker PMMA.
7 The LIGA Process
308
It is essential for X-ray lithography with PMMA, that the necessary radiation dosage required to fully remove the resist and to produce defect free microstructures, lies between the limiting values of 4 and 20 kJ/cm3 (or 14 kJ/cm3). Thus the dosage which is ‘deposited’ in the resist can be varied by a factor of 5 at the most. From this, an estimate of the radiation wavelength can be made. The depth at which the adsorbed dosage, that is to say the intensity, has dropped by a factor of 5 , is shown in Fig. 7.3-6 in dependence on the wavelength of monochromatic X-ray radiation. From this dependence it follows that to structure, e.g. 500 pm thick resist layers, the wavelength of monochromatic X-ray radiation should only be 0.25 nm at the most. One can also assume from Fig. 7.3-6, that the long wavelength radiation is almost fully absorbed in the upper layer of the resist and cannot penetrate into the deeper layers. When considering synchrotron radiation, the wide spectral distribution (see Fig. 5.8-3, Section 5.8.3) must be considered. If the long wavelength component of the radiation is not already absorbed by the X-ray window in the beam tube, it must be filtered out by a further pre-absorber, so that the dose ratio is not exceeded. Fig. 7.3-7 shows, to what degree and in which regions the synchrotron radiation is absorbed in a typical experiment used to produce microstructures. One can now calculate how much dose would be deposited in a 1 pm thick PMMA sample placed at a particular location in the X-ray beam [Bley91]. The curve is a typical representation for the electron-stretcher ring ELSA at Bonn university with a characteristic wavelength of 0.5 nm. The experimental conditions, especially the irradiation time and the pre-absorber, are chosen such that on the underside of a 500 pm thick resist layer, a value no less than 4 kJ/ cm3 (limiting dose D,) and on the upper side a value no more than 20 kJ/cm3 (surface dose 0,)is maintained. As the long wavelength beam is absorbed in relatively thin layers near the surface, it does not contribute to the attainment of the lower limit dosage deep in the sample. In order not to exceed the upper limit, the
-5.
103-
I
c, 102 8 U e
0
E 101 L
. L
aa e aa n
10010-1
100
10’
Wavelength [nm] Fig. 7.3-6 Influence of the wavelength of monochromatic X-ray radiation on the penetration depth in PMMA (decrease of the initial intensity to 1/5).
7.3 X-ray Lithography
309
surface dosis D O
/
minimum dosis D G
102
resist
-
10 0
100
2000 1 0
preabsorber thickness [pml
5
10 0 100
300
mask thickness
resist thickness
Iwl
Iwl
500
Fig. 7.3-7 Absorbed energy by a PMMA test piece of 1 pm thickness at exposure to the radiation of the electron stretcher machine ELSA at Bonn university (2.3 GeV, h, = 0.5 nm, electron current I = SO mA, l h exposure time to an area of 10 x 100 mm2>.
long wavelength component of the synchrotron radiation is filtered out through a 200 pm thick pre-absorber, which is placed in the beam path in front of the mask. Polyimide (Kapton) is used as the pre-absorber. In principle, beryllium or other low Z materials can also be used. For these pre-absorbers it is important that the absorption coefficient for soft X-ray radiation is as large as possible in contrast to that for hard X-ray radiation. The area of the resist which is shadowed by the absorber of the mask must not be attacked by the developer, therefore in this region the deposited dose must lie under 100 J/ cm3 (damage dose 0,).From this value the requirement on the contrast of the mask is deduced to be 200. In order to reach such a high value, the height of the gold absorber must amount to about 10 pm in this example. Other considerations (see Section 7.3.4) may call for an even greater gold absorber thickness. The optimization of the spectrum must be re-assessed each time, for every source and every resist thickness. However, the consequences for the radiation spectrum which hits the resist are similar. The spectrum must be modified such that it best fits with the wavelength, as derived from the approximation (see Fig. 7.3-7a).
310
7 The LIGA Process
7.3.4 Influences on the Quality of the Structure One of the outstanding properties of the LIGA-process is the ability to produce tall structures, whose walls show only a minimum deviation from the perpendicular and therefore maintain constant quality over the entire structure height. Fig. 7.3-8a shows a 400 pm high test structure produced by X-ray lithography, whose width was measured optically (Fig. 7.3-8b). The regressing line indicates a variation of width of 0.04 pm over 100 pm [Mohr88]. A deviation from a perpendicular sidewall could be caused by many reasons or processes: An inclination during the scan-movements through the beam, low selectivity of the developer and physical effects, which are brought about by the X-ray radiation [Munc84], as well as effects which result from the construction of masks and samples. The different sources of error will now be considered in more detail.
a
b 400
= a
300-
I
E
.P
2
200
-
aa L
B
s
;;;; 100 -
a
Q
-7,O
7,2
7,4
7,6
lateral dimension [pm]
Fig. 7.3-8 a) SEM picture of a LIGA test structure of 400 pm height, b) measured width of the structure in dependence on height.
Fresnel-Diffraction, Photoclcctrons In Fig. 7.3-9 the effect, which results from the Fresnel diffraction in an absorber edge, is shown, as well a s (he inlluence of photoclcclrons, which are produced in the rcsist. Furthermore the cllcct of the divergence of synchrotron radiation is shown . If thc incident light beam is shadowed by an edge, then any location in the plane of the edge has l o be considered as the starling point for new and circular diffusc light waves. Thcsc circular waves interfere with each other (Huygens principle) and lead to diffraction (local variation in the light intensity) whose position to the edge is dependent on (he wavelength and on optical path from the point of origin. These diffraction phenomena result in the fact that also in geometrically shadowed areas, the radiation is absorbed and in the lighter regions less radiation is deposited. 'The effect is especially pronounced with monochromatic radiation, as in this case the conditions for the extinction of the light wave (path difference (A/ 2) can be fulfilled exactly. With polychromatic synchrotron radiation the entire spectrum at the point of interest must always be considered. Since the condition for interference extinction is fulfilled only for one specific wavelength, other wavelengths at this point are not extinguished, the diffraction influence is small com-
fresnel diffraction
photoelectrons
beam divergence
Fig. 7.3-9 Resolution limiting effects at X-ray lithography with synchrotron radiation.
7 The LIGA Process
3 12
pared to monochromatic radiation. The calculation shows that the devitation Ax of the first minimum of the Fresnel diffraction from the ideal shadow line increases linearly with the wavelength. This deviation Ax or the blur of the edge is plotted in Fig. 7.3-10 against the characteristic wavelength for a resist depth of 500 pm. In the wavelength region of interest for X-ray lithography the blurred edge is smaller than 0.1 pm. The X-ray radiation releases photoelectrons as well as Auger-electrons in the resist, whose reaction with the resist material brings about the desired chemical changes. These electrons collide with resist molecules and have a fairly wide range due to their high energy. In traversing the resist the electrons gradually loose their energy. Thereby energy can also reach the shielded regions, which leads to a decrease in the edge definition. As the range of the released electrons increases approximately by a power of two with the energy, this effect increases with shorter wavelengths of the synchrotron radiation (Fig. 7.3-10). For the wavelengths region in X-ray lithography the range of interest lies in the region of 0.1 Pm. The total ei-ror caused by both effects, is represented in Fig. 7.3-10. It can be recognized that the blurred edge amounts to about 0.1 pm. The minimum error is obtained with a wavelength of about 0.3 nm.
x -4 c 0
=
0,l
e
0 U
0,Ol 0,Ol
091 190 characteristic wavelength [nm]
10,o
Fig. 7.3-10 Influence of characteristic wavelength on edge definition in 500 pm deep resist with regard to Fresnel diffraction and photo electrons.
7.3 X-ray Lithography
313
Divergence of Radiation The synchrotron radiation is not ideally parallel, it has a finite divergence for two reasons: The first reason is the natural divergence due to the finite electron energy, the second is the divergence due to the oscillations of the electron orbits in the accelerator around the ideal reference orbit, the so-called Betratron oscillations [Koch87]. With an ideal current filament (that is without consideration of the Betratron oscillation) every wavelength of the synchrotron radiation has a unique opening angle. The opening angle decreases with increasing electron energy. The divergence due to the Betratron oscillation, is strongly dependent on the type of machine used and also on the location of the tangential point of the electronic orbit. Typical values lie between 0.1 and 1 mrad in the horizontal and vertical direction. In order to analyze the influence of the beam divergence on the precision of structure manufacture, the divergence of the radiation at the point of origin in the beam line must be considered. During exposure, the mask and the substrate (the sample) is scanned up and down. On scanning every point of the sample is exposed under different angles of the beam. Therefore, on a vertical edge the full beam divergence has an effect on the structure quality. In the horizontal direction the divergence locally leads to an inclination of the structure on the base plate. At a distance of 10 m of the point of origin the walls of a 100 pm wide structure are filled against the vertical by about 0.01 mrad. The influence of the horizontal divergence on the structure quality can therefore be neglected. Inclination of the Absorber Walls to the Beam An inclination of the absorber walls to the beam can come about in two ways. First, the mask- and the sample plane can be adjusted only with limited tolerance vertical to the synchrotron beam. The other reason can be a slope in the absorber walls of the mask due to non-ideal lithographic processes. Especially in the production of masks by optical lithography the angle of the slope can lie in the region of 85". If one considers the dose deposition under an inclined absorber, then the isodose line (points of the same dose) has a larger increase by a factor 200 [Mohr88] for all machines, which may be used for X-ray lithography. This means a conicity of the structure of 0.8 mrad for an edge inclination of 5" on the mask, which for a structure height of 500 pm leads to a declination of the structure width over the height of 0.4 pm. Fluorescence Radiation from the Mask Membrane The absorption of all materials is defined by characteristic edges on which the absorption coefficient increases stepwise with increasing energy. This increase arises because the energy of the irradiated photon is sufficient to raise the electron from the inner shells (K, L) into the continuum. If this excited state recombines again, radiation will be released, which corresponds to this energy level (fluorescent ra-
3 14
7 The LIGA Process
diation). This radiation which is generated in the mask membrane is homogeneously radiated over the solid angle and therefore can also reach under the absorber. There, damage of the resist is caused, which is comparable to the directly irradiated areas and can lead to a dissolution under normal development conditions. Thus, a rounded surface edge will be the consequence. This effect will especially occur, when the increase of the absorber coefficient lies in the region of the synchrotron radiation spectrum used for the structuring. This applies to titanium which is used as the mask membrane and whose absorption edge lies at 0.497 nm. In the example represented in Fig. 7.3-11, a 1 mm high structure which is irradiated with a titanium mask, has a rounding radius of about 150 ,urn. The effect is clearly less using a beryllium membrane (rounding of only about 2 pm), as beryllium does not possess any absorption edges in the wavelength region of interest [Pant95].
Fig. 7.3-11 1 mm thick resist structure exposed by using a titanium mask. Due to fluorescence radiation from the titanium membrane the edge is rounded.
Production of Secondary Electrons from the Adhesionand Electroplating Seeding Layer As already mentioned in Section 7.3.1, the substrate surface onto which the resist is deposited, consists of metal e. g. titanium or gold. This layer has a considerably higher absorption coefficient than the resist material. Therefore, at the boundary of the resist-/adhesion layer, the effective cross-section for the production of secondary electrons increases greatly. These electrons lead to an increased destruction of the resist in the boundary layer. This effect is insignificant in the irradiated area, but can have a drastic effect on the adhesion structure in the region shielded by the absorber, if the absorber thickness is insufficient. Figure 7.3-12 shows how the adhesion decreases using similar thickness of gold absorber, but different synchrotron radiation spectra for structuring [Pant94]. The consequence of this is that the
7.3 X-ray Lithography
315
Fig. 7.3-12 Similar resist structures exposed with radiation of different spectra: a) exposure with a “soft” spectrum (preabsorber Kapton 25 pm), b) exposure with a “hard” spectrum (preabsorber Kapton 125 pm).
thickness of the absorber has to be clearly raised above the minimum value discussed in Section 7.3.3. Alternatively the fraction of highly energetic photons in the spectrum can be reduced by using X-ray mirrors which are irradiated under very shallow angles by the synchrotron radiation.
316
7 The LIGA Process
7.4 Galvanic Deposition The microstructures produced by X-ray lithography from plastic, mostly PMMA, can be the end product in some cases, e.g., microoptical components (see Section 7.7.4). In many cases however, metallic microstructures are manufactured in which the cavities of the plastic are filled with metal by electroforming. To produce microstructures in an economical replication technique (see Section 7.5), robust and shape retaining mold inserts from metal are necessary. The fabrication of these molds is carried out likewise by X-ray lithography and electroforming. In addition, micro-electroforming is applied to produce the gold absorber structure of the X-ray mask (see Section 7.2.4). Thus, micro-electroforming plays an important role in the different manufacturing steps of the LIGA process. To produce the absorber on the mask, gold plating is used, whereas nickel plating is predominantly used to produce microstructures. Up until now copper plating has been of less importance. Also alloy plating from a nickel-cobalt solution (for hardness) or from a nickel-ion alloy (for magnetic properties) is used for special applications.
7.4.1 Galvanic Deposition of Nickel for the Production of Microstructures A nickel sulfamate electrolyte is used for the galvanic production of nickel microstructures and likewise for molds, which are required for plastic molding (see Section 7.4.5). It contains 75-90 g/l of nickel in the form of nickel sulfamate, 40 g/l of boric acid as a buffer and approximately 4g/l of an anion active wetting agent. The pH value of the bath is between 3.6 and 4 and the bath is running at a temperature between 50 and 60°C. Electroplating is carried out on the substrate which has been structured by Xray lithography, which generally is covered by an oxidized titanium adhesion layer. This is a compromise between the requirements for good resist adhesion and ability to initiate electroplating. For several reasons gold or copper surfaces would be much more suitable, but in this case the resist adhesion is not sufficient. On oxidation of titanium under the influence of a hydrogen peroxide solution, an approximately 40 nm thick oxide layer is produced, which differs considerably from a natural oxide layer, which is only 3 nm thick. It was shown that the artificially produced oxide layer represents a very good initial seeding layer for the metal electrodeposition and provides adequate adhesion of the fabricated metal microstructures. This is because on oxidation, TiO, is formed where x is somewhat smaller than 2. In contrast to crystalline Ti02, which is an excellent insulator, the amorphous TiO, is electrically conducting and can therefore be used as a primary electroplating seeding layer. Wet chemical oxidation of the titanium surface leads to microscopically roughened surfaces containing microchannels, in which the re-
7.4 Galvanic Deposition
3 17
sist can be mechanically anchored. Using this method, good adhesion between plastic microstructures and metal can be achieved [Bach92]. Relatively high Ni concentrations (75-90 g/l) in the electrolytes are chosen, in order to attain the highest possible so-called microthrowing power, meaning, structures with small cross-sections are filled at a similar rate as those with larger cross-sections. This avoids the use of leveling agents which also yield to high uniformity of the microscopic metal deposits. Their application, however, leads to negative results if the concentration does not match the particular material transport situation with microstructures, which is different for different geometric sizes. The uniformity of the height of the structure with different cross-sectional dimensions is crucially dependent on the flow characteristics [Leye95]. Material transport differences are minimized, if the current density for electroplating is kept small in comparison to the diffusion limited current density. In this case, the material transport mechanism is dominated by diffusion. This requires a Reynold's number of less than 2. With large Reynold's numbers the material transport is determined by both diffusion and convection. Besides good uniformity on the microscale, the area over the entire surface, which could be several square centimeters, must be electroplated evenly i. e. no intolerable camber should appear at the perimeters. The cause for such macroscopic metal camber is a non-uniform field distribution, usually at the outer margin of the structure. It can also result from non-uniform coverage of resist material on the substrate. In order to attain an equalization of the field distribution and thereby a uniform layer thickness, suitable apertures of dielectric materials are placed in front of the substrate. The anion active wetting agent contained in the electrolyte facilitates the penetration of the electrolyte into the deep and narrow channels in the PMMA-resist, so that the electroplating starts evenly on the metallic base plate. Whereas the optimum wetting agent concentration value normally considered is one at which the surface tension no longer decreases on further addition of wetting agent, a higher wetting agent concentration is used with the LIGA process. The wetting ability was directly analyzed by measuring the contact angle between PMMA and electrolyte. For nickel sulfamate electrolytes at 50 "C, the optimum concentration of the wetting agent was shown to be about 0.5 %. At this dosage the surface tension drops to 25 mN/m compared to 75 mN/m without wetting agent. The contact angle between PMMA and electrolyte, which lies at 70" to 80" without wetting agent was measured to be 5" after 10 min of wetting time which is regarded a sufficiently good wetting. By evacuating the microstructure plate, the penetration of the electrolyte in the resist structure can be further improved. The deposition is carried out with current densities of 1-10 A/dm2, which leads to a growth rate of 12-120 p d h . The current density is the critical dimension for the intrinsic stress which results in the structure, and which should not be greater than 10 to 20 N/mm2. These low intrinsic stresses are necessary so that a large structure e.g. a large honeycomb or a mold, do not bend after being detached from the base plate or do not peel off the base plate during the electroplating. The intrinsic stress varies across the thickness of the deposited layer. Depending on the current density, compressive as well as tensile stress could occur
318
7 The LIGA Process
[Hars88]. Figure 7.4-1 shows a typical example of the influence of the layer thickness and the current density on the intrinsic stress. With increasing current density the intrinsic stress changes from compressive to tensile stress. With increasing thickness the intrinsic stress decreases rapidly and becomes approximately constant for thickness greater than 50 pm. In this example, layer thicknesses greater than 50 pm could be deposited with almost no intrinsic stress for a current density of 5 A/dm3. The intrinsic stress is additionally influenced by the type and concentration of added wetting agents. With nickel sulfamate electrolytes, the intrinsic stress can be controlled by the bath temperature, because the stress decreases with increasing deposition temperature. A large increase in the process temperature is however detrimental due to evaporation loss and a reduced exposure time of the electrolyte. The current density also influences the Vicker's hardness of the structure. As shown in Fig. 7.4-2, at low deposition current densities (1 A/dm2) 350 is the highest value measured, whereas with increasing current density, the hardness falls firstly rapidly and then more gradually to a value of 200. This is due to the hydrogen deposition, which increases with increasing current density. The hydrogen bubbles produced can adhere to the walls of the microstructure, so that at these locations no nickel will be deposited and pores in the nickel layer are formed. These pores only appear when impurities are present in the electrolyte, which serve as nucleation agents for effervescence and as an adhesive for
40
30 20 10
0
-40' 0
I I
30
I
60
90
I
I
I
I
120 150 180 210
thickness [vm] Fig. 7.4-1 Influence of thickness and current density on intrinsic stress of electroplated Ni layers (nickelsulfamate)
7.4 Galvanic Deposition
-
3 19
500
a
9 400 O
I[
structural height 400pm
u m
300 u)
0
W
Ecc
200
v)
5
% 100 .> 0,
2
4
6
8
1
Current density (A/dmz ] Fig. 7.4-2 Influence of deposition current density on Viker’s hardness of a 400 pm nickel layer (testing load 100 p).
hydrogen. Impurities can consist of solid particles such as dust from the air and anode deposits, nickel hydroxide or components of the wetting agent and its decomposition products. Therefore, solid impurities which are either introduced into the electrolytes or are produced on hydrolysis, must be removed by pumping the electrolyte continually through a filter with 0.2 pm pore size. Defects are also formed by an organic decomposition product of the wetting agent in the electrolyte. By exceeding a critical concentration, an increase in pore formation is observed. The organic impurities are eliminated by an “active carbon” purification. This must be carried out periodically depending on the bath loading. As Fig. 7.4-3 shows, no pores appear with a freshly prepared nickel sulfamate. With current densities between 1 and 10 A/dm2 no defects were observed over a period of about 14 days by electroplating of other samples. However, after that the defect rate increases drastically. After “active carbon” purification, in which also the unused wetting agent is removed, and on restoring the original wetting agent concentration, defect free microstructures can again be electroformed. With the above discussed operation parameters of the nickel bath, it is possible to fill narrow and deep channels in the resist exclusively with pure metal by electroforming. Details of the plastic form, which lie in the submicrometer region, are still formed with high precision. Figure 7.4-4 shows a nickel honeycomb structure as an example of an electroformed structure. The height is 180 pm, the wall thickness 8 pm. One can see small non-uniformities of the edges. These are already present in the mask in the form of ridges.
7 The L E A Process
320
200
I
I
I
5
10
15
I 1
VJ
%
150
=
100
r: L
al
g
=I z
50
D-
0
20
25
Runing time [days] Fig. 7.4-3 Number of pinholes on a substrate of 5 cm2 with electroplated microstructures in dependence on service life of a nickelsulfamate electrolyte, and after cleaning in active graphite (AK is a german acronym for active graphite cleaning).
Fig. 7.4-4 Metallic (Ni) honeycomb structure in LIGA technology. The structure is electroplated in nickelsulfamate. The wall thickness is 8 pm, the hole diameter 80 pm. A human hair (about 60 pm in diameter) serves as a comparison.
As can be seen from the picture the surface of the deposited nickel structure is rather smooth. This depends strongly on the roughness of the substrate and the layer thickness. In general, for layers with heights above 100 pin a roughness (R,) of somewhat under 1 pm results. The roughness can be reduced by mechanical finishing (lapping, polishing, milling) if required.
7.4 Galvanic Deposition
321
7.4.2 Mold Insert Fabrication The production of a LIGA mold insert is schematically represented in Fig. 7.4-5. In principle, it is processed similar to the production of metallic microstructures. In contrast however, the metallic deposition is not interrupted when the metal has reached the upper edge of the resist structure, but continues to “overgrow” the resist microstructure i. e. the structures are completely covered by the metal. The metal deposition over the top of the structure is continued, until an approximately 5 mm thick metal layer is formed. As the microstructure and the metal plate are produced in a continuous deposition process, an excellent bonding between the microstructure and the metal plate results. Special care must be taken to avoid intrinsic stresses during the electroforming of the stable metal layers, which could lead to a bending of the mold insert. Because of this risk the current density is limited.
Fig. 7.4-5 Principle of mold insert fabrication: a) fabrication of microstructures in resist by X-ray lithography, b) electroplating of the microstructure, c ) machining of the mold insert, d) removal of the substrate and final machining, e) reinoval of the remaining resist.
322
7 The LIGA Process
After surface treatment of the backside of the mold insert, the base plate is removed. In order to separate the two components by parallel stripping, the base plate is treated prior to electroforming, to ensure a low adhesion between the mold insert and the base plate results. However, it is also possible to deposit metallic interfacial layers onto the base plate by electroplating. If these interfacial layers are selectively etched away from the nickel mold, it can be separated from the base plate without mechanical stress. The same is possible by fully removing the substrate by etching. The surface quality of the front of the mold reflects the surface quality of the base plate. No surface finishing is needed when the surface quality is sufficient on the base plate. However, prior to the removal of the resist, it is possible to process the front of the assembly to the required surface topography i. e. by milling, lapping or polishing. The base of the mold cavity is produced by coating the plastic mold with the electrodeposited metal. As the roughness of the resist surface is generally very small, so is the base of the mold cavity. In the middle of wide resist structures where two plating fronts in the process of overgrowing come together a very narrow trench may remain. It can be shown, that electrically isolated resist areas with a width of less than 0.4 mm can be overgrown and the depth of the trench is in the range of 0.2 pm only. In the case where larger areas must be overgrown, the surface of the resist is made electrically conducting by additional coating. In this case, electrodeposition starts immediately on the resist surface after the overgrowing metal gets into contact with this layer, resulting in very small trenches only where the growing fronts come into contact. The LIGA mold inserts from nickel produced in such a way are used in reaction molding, injection molding and in hot embossing (see Section 7.6). They can easily withstand temperatures up to 150"C and pressures up to 10 MPa. Even after several thousand molding cycles the microstructures show no obvious wear or other degradation.
7.4.3 Electrodeposition of Further Metals and Alloys In principle microstructures can be produced by the LIGA process from any metal and alloy which can be electrodeposited. However, the requirements on microthrowing, wetting, intrinsic stress and minimal gas formation must be fulfilled by the electrolyte. This excludes the practical use of several metals. Besides absorber structures for X-ray masks, gold microstructures with heights of several 100 pm already have been produced. Although either cyanidic or sulfite gold electrolytes can be used. The sulfite gold electrolytes have several advantages which have already been discussed in the context of mask production (see Section 7.2.4). For the production of copper microstructures, sulfate as well as fluoroborate electrolytes are considered. Sulfamate electrolytes lead to ductile and almost stress free layers with good planarization and with 100 % current efficiency. However, organic bath additives are required. They are difficult to analyze which makes
7.4 Galvanic Deposition
323
the process altogether difficult to control. Also copper sulfamate baths are sensitive to impurities. Fluoroborate baths enable a 100 % current efficiency as well as layers which have low stress, no additives needed and little sensitivity towards impurities. The disadvantage of fluoroborate baths is the high corrosivity and the lower hardness of the deposited layers (about 120 Vicker’s hardness). In some cases, especially with reactive primary layers a pre-copper plating is necessary. Different LIGA-structures from copper can be produced successfully with sulfamate- as well as with fluoroborate electrolytes (see Section 7.7). LIGA structures can also be manufactured from metal alloys. The first alloy which was applied to manufacture microstructures in LIGA technique consisted of nickel and cobalt, which could be successfully deposited by a modified nickel sulfamate electrolyte [Eich92]. These Ni-Co alloys were much harder than nickel. Thus, they are of high interest for mold insert fabrication. Iron-nickel alloys, such as permalloy, with 80 9% by weight of nickel and 20 % iron are of particular interest. Permalloy is ferromagnetic and displays not only a high magnetic saturation but also a low coercitive field strength. These magnetic characteristics are important for actuator applications (see Section 7.7.2). The deposition of an alloy with homogeneous and specified constituents from appropriate electrolytes, is particularly difficult for microstructures. Besides the composition of the electrolyte and the deposition parameters (current strengths, temperature etc.), the current intensity is particularly important with respect to the composition of the microstructures. At high current densities the iron deposition is diffusion controlled, which means that insufficient iron will be supplied through the diffusion boundary layer which therefore results in an impoverishment of iron in the structure. At lower current densities, the composition of the alloy is not influenced by the material transport. At a certain current density the transport of metal is controlled by diffusion and depends on the thickness of the diffusion layer. With increasing diffusion layer thickness the diffusion controlled process starts at lower current densities. Due to the high aspect ratios, the diffusion layer thickness is in the range of the structure height. Thus, the current densities in case of alloy electroplating are clearly lower compared to conventional electroplating. They are in the region of less than several A/dm* [Thom95]. Since the diffusion layer thickness varies with the different aspect ratios of the microstructure, the highest aspect ratio on the substrate always defines the current density. Taking this effect into account, Ni/Fe microstructures with a constant nickel-iron content are produced over the whole substrate. The measurement of magnetic properties of such microstructures yields to values which are comparable to alloys made by fusing. The magnetic saturation lies at 1.1 Tesla, about 5 % below fused alloys. These small differences are accounted for by a mixed crystal structure of the microstructure. Whilst no property differences were measured in the 80:20 alloy either perpendicular or parallel to the growth direction, they are clearly present in pure nickel and 5050 alloy. They can be avoided by annealing the sample. For microstructured Ni/Fe-samples the magnetic saturation increases to about 1.4 Tesla with increasing iron content up to about 55 %. At iron content higher than 55 9% the magnetic saturation drops again. The reason for this is a change of crystal structure from face centered cubic Awaruit to body centered cubic Kamacite [Abe195].
324
7 The LIGA Process
7.5 Plastic Molding in the LIGA Process The process for the production of a primary structure made of PMMA as well as using galvanic deposition leading to a metal microstructure, were outlined in the previous chapter. The process steps are laborious and therefore expensive. For commercialization, the LIGA process is especially interesting because of the possibility of mass fabrication by injection molding, reaction injection molding or hot embossing. Therefore, the processes of molding will be described next. In the context of micromolding, these processes are characterized to a lower degree in the injection machine than in the tool retention fixture which is of special importance for microtechnology as well as in process control. Other particular constraints are imposed by the mold insert. The mold insert must be designed such that a non-destructive mold release of the molded structure is possible i. e. the surface roughness of the matrix and the adhesion of the plastic on the surface of the mold insert must be very small, likewise the microstructures on the mold insert should show no undercut. On mold release, a tilt of the matrix must be avoided, so that the microstructure is not damaged. That means that the mold release should be carried out exactly parallel to the walls of the microstructure. To be able to fill the smallest structure dimensions, which could be in the submicrometer range, the molded plastic must have high filling ability, which means low viscosity. Measures must be taken utilizing process control to avoid or counteract volume changes of the plastic on hardening. Then the formation of cavities or a shrinkage of the plastic on the metal structure can be prevented and the dimensional stability of the molded structure can be guaranteed.
7.5.1 Production of Microstructures by Reaction Injection Molding The materials used in reaction injection molding are low viscosity monomers, which are blended with a soluble initiator for polymerization in a mixing chamber shortly before injection into the mold. After injection into the mold, the molding compound hardens by polymerization. The classical material used for reaction injection molding is polyurethane. The scheme of a RIM machine is shown in Fig. 7.5-1 [MacoSS, POts951. Two or more of the liquid reactants are injected into the mixing chamber under high pressure, typically 100-200 bar. The dosage in the mixing chamber must be very precise, and the correct stoichiometric ratio should be maintained throughout the reaction. At higher pressures the low viscosity components attain a higher speed in the mixing chamber and are blended with each other. The mixture flows under a comparatively low pressure of 10 bar or less (in contrast to injection molding), and with low viscosity into the mold, because the polymerization reaction starts with a time lag. The low viscosity and the resulting low injection pressure are the reasons
7.5 Plastic Molding in the LIGA Process
r i g h pressure
325
1
High pressure Component B
Control valves
Mixing chamber
Fig. 7.5-1 Functional scheme o f a reaction injection molding (RIM) machine. Components A and B are blended in a mixing chamber and injected into the mold. After curing the castings is released from the mold.
for the growing application of the RIM-process. Molding parts of up to 50 kg can already be processed. Large molds for the reaction injection molding are relatively inexpensive to produce because of the low mechanical requirements due to the low pressure. In contrast to conventional reaction injection molding, with molding of microstructures it is necessary to evacuate the molds prior to filling, in order to be sure that no gas bubbles are trapped in the structures, which cannot escape due to the high surface tension of the molding material. It must be taken into account on polymerization that the molding material shows an unavoidable reaction shrinkage, which can amount to 20% of the volume. Whilst the associated contraction of the mold can have a positive effect on the mold release, it must be ensured that the reaction shrinkage does not lead to contration cavities or bubbles in the microstructure. During polymerization a constant high pressure is applied to the molding compound to balance this reac-
326
7 The LIGA Process
tion shrinkage. Additional molding compound which is still fluid, is pushed into the mold cavity by this high pressure as soon as a volume decrease takes place due to the reaction shrinkage. As this high pressure affects the structure of the mold insert from all sides, no damage is done to the mold insert. However, it must be ensured that the molding compound does not harden prematurely in the feeding channel prior to entering the mold. For this reason the feeding channel generally is kept at a lower temperature than the mold. A laboratory apparatus for the molding of microstructures using plastic in a vacuum-reaction injection molding process is schematically represented in Fig. 7.5-2. Essentially the apparatus consists of a vacuum chamber, in which a twopart tool (injector and lock side) is constructed together with a container, a holding pressure cylinder and a hydraulic motor, with which the tool and the vacuum chamber are opened and closed. In the figure the apparatus depicts a vacuum chamber already closed, but a tool that is still opened. In this stable state the tools and the feeding channel are evacuated. Prior to filling with the molding compound the evacuated tool is closed by the hydraulic motor with an adjustable mold clamping force (0 to 50 kN). Both tool parts i.e. injector and lock side tool body, can be operated by closing and opening the mold with control guide pins and bushes. The blended reaction mold compound is brought into the process container via a hopper, which is locked by the stopper rod to the vacuum chamber. After degassing the molding compound during evacuation of the process chamber, to remove the entrapped air, a gas pressure is applied in the working container above the molding compound. Pressures of up to 3 mPa can be realized from this applied pressure. Filling funnel Polymer resin Vacuum connector
Pressure connector Holding pressure cylinder
Resin container Feeding channel Thermal isolation
LIGA mold insert
Molding tool injector side lock side
Vacuum chamber
Hydraulic drive
Fig. 7.5-2 Scheme of a vacuum RIM machine for laboratory use.
7.5 Plastic M o l d i q in the LIGA Process
327
After filling the mold voids, in order to apply a large holding pressure on the molding compound, the working chamber can be exchanged by a hydraulic constant pressure cylinder. With this cylinder, a straight pin is pressed into the feeding channel, such that pressures of up to 30 MPa can be achieved. For release molding the vacuum chamber is maintained at a positive pressure of 0.1-0.3 MPa. As the vacuum chamber remains closed at some millimeters above the ejection path, the positive pressure in the vacuum chamber is maintained during the mold release process. On returning the closing unit against the pressure of the chamber the tool body can be opened smoothly. Usually the polymerization of the reaction injection molding resin is carried out at raised temperatures. For this reason the tool body of the apparatus can be heated and cooled by oil which is supplied by an integrated channel system. By means of a programmable control device specified temperature cycles can be maintained precisely. An insulating applicator decouples the temperature sensitive molding compound from these temperature changes in the process container. By annealing the polymers such as PMMA at temperatures above the glass transition temperature (about 110 "C) prior to mold release, several tasks can be accomplished. Firstly a degree of hardening is achieved in which the residual concentration of the monomer is reduced, and secondly, the majority of the intrinsic stress in the molded parts is canceled out. Therefore, after mold release both a distortion of the microstructure as well as a stress crack corrosion in the subsequent electroforming process can be largely avoided. As already mentioned, the reaction shrinkage during polymerization can positively affect the ejection force. The ejection force is lowered further by a mold release agent exuding out of the molding compound during polymerization and thereby forming a film between the mold insert and the structure. Despite these measures the mold release process is very critical with respect to the fragile microstructure. Both, mold release speed and mold release temperature, must be matched exactly to the polymer and the structure. The rule of thumb is that the mold release temperature should lie about 20 "C under the glass transition temperature. The mold release speed should be very small, at least at the beginning of the demolding process. Reaction injection molding shows advantages for the production of microstructures such as a lower process pressure and related lower loading of the microstructure on filling. However, a major disadvantage is that the polymerization reaction in the microstructured mold insert is difficult to control and often highly reactive. Sometimes even explosive reactants must be used.
7.5.2 Fabrication of Microstructures by Injection Molding In the injection molding process [Pots95, Joha941 polymerized plastics are processed from granulate, powder or as extruded profile material. The molding material is melted in the plasticizing unit of an injection molding machine. It is injected into the mold voids of an injection mold insert in this viscous state. The solidification of the molding compound is carried out by cooling down the plastic melt in
328
7 The LIGA Process
the injection mold insert. Typical materials for injection molding are polyvinyl chloride (PVC), polyacrylnitrile butadiene styrol (ABS) and also PMMA. Correspondingly the equipment of an injection molding machine can process thermoplastics, duroplastics and elastomers. Thermoplastics become ductile and can be processed several times. Amorphous and semi crystalline thermoplastics are to be distinguished by their structure. Duroplastics react under the influence of heat and subsequently cross-link. Unlike thermoplastics they can not be melted again by heating. The technically important duroplastics are: phenolformaldehyde, melamine formaldehyde, epoxy resin, silicon resin and polyurethane. Elastomers are plastics, whose plastic-elastic behavior is similar to natural rubber, i. e. elastomers run mainly under the collective term of synthetic rubber. The typical operation of an injection molding machine is represented in Fig. 7.5-3 [Joha94]. It can be divided in three main steps: 0
0
Plasticization, i.e. melting the raw material by heating the polymer in the region of the screw conveyer. Injection of the melt in the normally cold mold insert under high pressure. This task is carried out by the injection unit. Opening the tool and ejecting the hardened molded article.
The retention capability of the tool is brought about by two mounting plates, where at least one is movable, in order to be able to open and close the tool. On the movable mounting plate a device is attached to apply and maintain a mold force. This part of the injection molding machine is called a closing unit. The hydraulics consist of a pump and a coil system with valve, washer and throttle for the production of pressure to control the machine movements.
b)
c)
Fig. 7.5-3 The functional principle of an injection molding machine.
7.5 Plastic Molding in the LIGA Process
329
The granulate or powder molding compound is in a funnel, which is above the feed opening of the injection cylinders and can be opened or closed by a recorder. A screw moves in the axial direction inside the injection cylinder. By turning the screw, the molding compound reaches the feed opening and on further rotation reaches the screw tip. At the same time the molding compound is melted by these cylinders which are heated by electrical power or a temperature controlled oil bath. During initial feeding of the screw, the melt is compacted and injected under pressure through a die into a closed mold form. It solidifies in the desired shape and is ejected after cooling down. The parameters, temperature, time and pressure must be very carefully controlled so that the functions, which include the molding cycles, plasticization, injection and cooling, can be carried out reproducibly. The material parameters which are influenced by the individual function cycles are listed for the case of macroscopic injection molding in Fig. 7.5 -4 [Habe90]. Although the process of injection molding of microstructures is not very different to standard injection molding, two essential points are crucial. As with reaction injection molding, in micro-injection molding the mold insert must also be evacuated. Only by so doing, can typical aspect ratios for the LIGA process be realized. Whereas for conventional injection molding the temperature of the mold on filling and shaping is consistently low, with micro-injection molding it is necessary that during the entire filling time the mold form temperature is well above the glass transition temperature of the plastic that is being used, in order to prevent solidification of the melt [Eich92]. It is however, not necessary
injection
compression
dwell time
4 I
T
surface ,I I appearance ,I
I
I I I
sunk spots voids internal orientation strain crystallisation
-0 0
CI
.-C ?!
z
fn
fn
weight dimensions
I
I
2!
P
time
4
Fig. 7.5-4 Typical pressure-time sequence of an injection molding process and the influence on material parameters.
330
7 The L E A Process
to raise the mold form temperature to the melting temperature (about 240 "C); it is sufficient to remain about 70 "C below this value. Thermal analytical experiments lead to the conclusion that, on injection molding of microstructures at high mold insert temperatures, as mentioned above the molding compound is not decomposed. Longer fill times and therefore lower injection velocities are possible by choosing these high temperatures, so that the injection pressure can be greatly reduced. Due to the low injection pressures, the mechanical damage of the microstructure of the assembly can be completely avoided. However, this means, that after the filling process, the entire mold must be cooled down to solidify the molding compound. It is therefore obvious, that the cycle times with micro-injection molding are higher (some minutes) compared with those of the conventional injection molding (less than one minute). The injection molding machines for microtechnology do not differ much in their principle construction from the conventional molding machine. At the beginning of the injection phase, the mold form is closed, evacuated and the mold pressure is building up. Subsequently, the filling of the mold form cavity with plastic melt is carried out via the injection unit. The mold filling i. e. the mold fill process, is separated into at least two (and maximum six) steps. The switch over between the individual steps is carried out depending on the screw stroke (injection phase) or the time (holding pressure phase). The recording of the screw path with time gives, in general, information about the screw position at the end of the holding pressure phase (residual mass). The flow front speed adjusts proportionally to the screw initial feed speed according to the molding part geometry. In general, during the first injection phase the molding part will be volumetrically filled. The volume shrinkage on cooling of the molding parts is compensated for in the successive injection steps, which form the holding pressure phase. With a reduced pressure and with a very small injection speed, continuously molten polymer is pressed into the mold cavity up to the moment of sealing. The presence of a residual mass is a necessary requirement for the successful holding pressure phase. If the pressure during the holding pressure phase is raised, then in the vicinity of the feed head a positive residual pressure results in the mold cavity, which can lead to formation of stress cracking near to the feed head. A typical injection process is schematically shown in Fig. 7.5-5 with the screw path S, and the hydraulic pressure pH, both as a function of time t [Heus88]. In injection step 1 the screw moves from the end point sp of the plastification path within a time t, to the cross-over point sl. This results in a screw preliminary speed v1 = (s -s )he.The hydraulic pressure increases with increasing time and at4 1 tains its maximum value p I at the cross-over into injection step 2. Directly after cross-over the hydraulic pressure drops to the holding pressure level p z . In injection step 2 the screw moves from the cross-over point 1 to the cross-over point 2 within the time tnl. The initial feed speed of the screw is very small in this phase. In this example, yet another holding pressure phase is effective, the injection phase 3. Here the hydraulic pressure falls to p3. The initial screw feed speed is likewise very small. The middle of the screw position s3 and the front end position
7.5 Plastic Molding in the LIGA Process
331
Screw path SS
SO
. . . . . ..
i
Residual
s3 s2
S1
SP Hydraulic pressure PH
PI P2 P3
P St
Fig. 7.5-5 The screw path versus the hydraulic pressure as a function of time for an
injection cycle.
of the molding compound which is situated in the screw (so) represents the residual mass. Subsequently, in the last injection step the injection die is closed, and the plasticization of new mold compounds are carried out. The plasticization path s3 is put back in the time tp with a hydraulic pressure pst (stagnation pressure). Immediately after the first injection step the cooling phase is initiated. The mold forming parts of the injection mold insert, and therefore the molded parts, are cooled down at a defined temperature gradient. The demolding temperature must lie below the glass transition temperature of the molding compound. After the casting has been demolded with the help of the ejector unit, the warming up phase of the next injection cycle begins. Typical LIGA-structures with high aspect ratios and spaces of 10 pm width and a depth of more than 100 ,urn, can be filled up with PMMA by microinjection molding. A defect free mold release is attained, however only when starting with extremely smooth walls of the mold insert, as is attainable by the LIGA pro-
332
7 The LIGA Process
cess, whilst with a spark erosion processing even in the polishing mode it is not possible to have inold release of structures with similar dimension because o f the large surface roughness. An example of a microstructure made of PMMA, which was manufactured by the injection molding process, is shown in Fig. 7.5-6. The honeycomb structure is characterized by an especially large height of 700 pm, the diameter of the openings is 100 pm, the wall thickness 70 pm [Eich92]. As can be seen in Fig. 7.5-7, the microstructures are fixed on a base plate of the same material. The reason for this is that on closing the mold an interspace remains between the assembly structure and feed plate. Using this method, the filling of the connecting honeycomb structure is possible, which is carried out over a plate with a sprue bush. The feed plate is specially made, so that adhesion of the
Fig. 7.5-6 Example of a microstructure in PMMA, which was fabricated by injection molding (height 700 pni, openings o f the honeycoinb structure 100 pm, wall thickness 70 pm).
n Feed plate
Feeding hole
A
-
Polymer __ base plate Polymer __ microstructures
Mechanical interlocking Molding material Molding tool Mold insert
Fig. 7.5-7 Injection molding of microstructures fixed to a baseplate of polymer. The
picture shows the situation during demolding.
7.5 Plastic Molding in the LIGA Process
333
solidified mold material is ensured and stresses due to volume shrinkage are compensated. In order to produce a suspended microstructure the base plate must be separated from the microstructure in a subsequent processing step. This can be carried out by milling or by laser processing. In this case the whole structure is covered with a soluble plastic material which acts as a structural stabilizer. Afterwards the base plate is milled from the backside. After removing the whole base plate the soluble plastic material is removed resulting in a free standing microstructure. A further possibility to directly produce suspended structures using injection molding, is to use a feed plate with special feed channels. This feed plate itself represents a microstructured mold and can be extremely costly to produce. In this case, as with macroscopic injection molding, predetermined breaking points were generated in the feed, from which the microstructures could be broken off. To conclude this chapter, typical parameters for the previously described processes of reaction injection molding (RIM) and thermoplastic injection molding (TIM) are shown next to each other in the following Table 7.5 -1 for a better comparison.
Table 7.5-1 Comparison of typical parameters of reaction injection molding (RIM) and of thermoplastic injection molding (TIM)
Reaction temp. [ "C] Mold temp. [ "C] Viscosity [Pa s] Injection molding pressure [bar] Molding clamping force [Pa]
RIM
TIM
40 70 0.1-1 10-100 5 x lo5
200 2s 102-10s 100 3 x lo7
7.5.3 Fabrication of Microstructures by Hot Embossing In hot embossing an already polymerized plate of thermoplastic material is shaped by compression at high temperatures to form the microstructures. In the simplest case the plastic plate is put onto a solid base plate, which is made such that by hot embossing interlocking takes place with this base plate. Subsequently both plates are brought to a temperature, which lies above the glass transition temperature of the polymer, with PMMA about 160°C. At this temperature the molding material is in the viscoelastic state, and the mold insert can be pressed relatively easily into the molding material (Fig. 7.5-8). The mold release takes place after cooling below 80 "C. The pressure in hot embossing is about lo7 Pa. Also in this case, to avoid bubbles, pre-evacuation of the mold insert and the space between the mold insert and the plastic plate is necessary. Although the plastic is in a viscoelastic state, and the
334
7 The LIGA Process
Mold insert Polymer layer
Substrate preparation
Adhesion layer Substrate
Hot embossing
Separation
Reactive ion etching
Q.
... ...... ..... ..... ...
..... ...... .... ... ...
Individual structure Fig. 7.5-8
Process steps for the fabrication of isolated microstructures by hot embossing.
embossing pressure is high, the molding material between the base plate and the end of the mold is not squeezed out entirely. A thin layer of several tens of micrometers remains on the base plate, depending on the pressure and the embossing temperature. In order to isolate the microstructures which are connected to this residual layer on the substrate, the film is removed by reactive ion etching (RIE) in an oxygen-plasma (see Section 4.5.7). The advantage of this process to produce isolated microstructures is that a costly feed plate, which is required with injection- or reaction injection molding, is not necessary. Also volume shrinkage does not occur during hot embossing because a polymerized plate is used for the raw material. Therefore, the damage by distortions is definitely less and the accuracy of the microstructure is improved.
7.5 Plastic Molding in the LIGA Process
335
This process is suitable to produce microstructures on processed silicon wafers i. e. on top of microelectronic circuits. The process sequence is schematically represented in Fig. 7.5-9 [Mich92]. In the first step the molding compound is applied to the wafer, which is covered with protection- and metallization layers. This can be done by direct polymerization (a). After solidification the above de-
LIGA molding tool
plastic metallisation passivation layer processed Si-wafer
oxigen plasma microstructures in plastic
microstructures in metal
wet etching
Fig. 7.5-9 Process sequence for microstructures on integrated circuits by hot embossing a) deposition of a passivation layer, a metallic film, and the polymer layer onto the silicon, b) hot embossing of the microstructure by means of a LIGA tool, c) removal of the polymer at the foot of the structure by oxygen etching, d) electroplating of the metallic microstructure, e) insulating the metallic structures by chemical wet etching of the metallic film on top of the passivation layer.
336
7 The L E A Process
scribed embossing is carried out (a, b). In this case after mold release the thin residual layer is located directly above the metallic layer of the wafer. This is removed by reactive ion etching (RIE) in an oxygen plasma (see Section 4.5.7) (c). Therefore, the RIE process is carried out such that the ions impact the substrate as perpendicular to the wafer as possible and thereby hardly any erosion occurs on the side walls of the plastic microstructure. In this way the metallizing layer between the individual microstructures is exposed and can be used as the electrode in a successive electroforming process (d). After metal deposition, the plastic structures on the wafer are removed by solvents. To electrically isolate the metallic microstructures the metallizing layer is removed between the structures (e). A sputter etch process can be used with argon (see Section 4.5.1), but also wet chemical etch processes are possible, which are carried out in such a short period of time, that the metallizing layer under the microstructure is not attacked. In addition, a metallization layer may still exist on the wafer as a protection layer, which is only opened by photolithographic processes for bonding pads to the integrated circuits underneath. Figure 7.5-10a shows an example of a plastic structure, which has been produced by hot embossing. The cross like structures of PMMA are molded with a height of 180 pm, with a minimum width of only 4 pm and without defects. Figure 7.5-lob shows an example of metallic structures, a Ni-honeycomb mesh, which was produced on microelectronic circuits by hot embossing and subsequent electroforming .
Fig. 7.5-10 Samples which were produced by hot embossing (a) and subsequent electroplating (b). a) Detail of a microstructure produced on a plane metallic substrate (height of the structure 180 pm, width of the ribs 4 pm, b) nickel honeycomb mesh on a processed Si-wafer (height of the structure 50 pm, hole diameter 80 pm, wall thickness 9 pm). In the ground of the holes, details of the electronic circuit are visible.
7.5 Plastic Molding in the LIGA Process
337
7.5.4 Production of Metallic Microstructures from Molded Plastic Structures (Second Electroplating) In order to use the material variations to the full extent that the LIGA process offers, it is necessary to convert microstructures produced by molding techniques, into metal structures in a successive electroforming process. A basic requirement is therefore the ability to do electroforming at the molded plastic structures, which requires the presence of an electric conducting layer, on which the metal deposition can be initiated. Furthermore, for the production of metallic structures in which size and shape integrity is preserved, compatibility of the applied molding material with the electrolyte is necessary. In particular the molding material should not swell and no organic components should seep from the molding material, which could lead to impurities in the electrolytes (see Section 7.4.1). Therefore, separate methods were developed with respect to the different molding processes, which enable second electroplating. Second Electroplating of Hot Embossed Microstructures It is obvious that according to the already described process (Section 7.5.3) for the production of structures on processed silicon wafers, metallic microstructures can also be produced. The only difference in this case is that instead of a silicon wafer, a metallic plate is used as the base plate in the molding step. Therefore, in the process, the likelihood of cracking the silicon wafer does not have to be taken into account. Usually a selectively etchable layer (sacrificial layer), often titanium, is applied to the metallic base plate, which is etched off for releasing the microstructure. Second Electroplating with the Aid of a Metallic Feed Plate If the second electroforming is to be carried out with injection- or reaction injected microstructures, it is convenient -if possible - to use a metallic feed plate to fill the mold cavity of the mold insert with a molding compound. By applying pressure to the mold insert (Fig. 7.5-ll), the residual surface will not be covered with plastic and the metal surface remains clean. After hardening of the molding compound, the molded parts are released by separation of the mold insert and the feed plate. A form-fitting compound results from the feed plate and the plastic because of the undercutting of the sprue bush. Therefore, the microstructures remain fixed to the feed plate, even during mold release. These plastic structures represent a reproduction of the resist structure which was produced by lithography using synchrotron radiation. By using the feed plate as an electrode, the plastic molds are filled by electrodeposition with metal. Depending on the application, the feed plate used as electrode, remains fixed to the microstructure, or is detached from the metallic microstructure by a special selective etch process. The disadvantage with this process is the application of high pressure to the delicate microstructure and the possible damage of the fragile structure side walls. In addition only mold inserts can be used, which are completely fillable by means of
338
7 The LIGA Process Feeding hole Feed plate Molding material Mold insert
Molding material Micro structures
Electrode Metal
Fig. 7.5-11 Molding of microstructures by means of a sprue bushing and subsequent electroplating. a) molding of the cavity between sprue bushing and molding tool, b) demolding, c) electroplating of the complementary structure by utilizing the sprue bushing as an electrode.
relatively few sprue brushes, which should have diameters not smaller than 1 mm. Otherwise, the cost for the production of a feed plate with many sprue bushes becomes exceptionally high. This means that the microstructures must have a connection with injectable macroscopic structures. A matrix for a honeycomb-like metal grid, which consists of thousands of microscopic small chambers with diameters of a few micrometers, cannot be produced using this process.
Second Electroplating with the Aid of Electrically Conducting Plastics Another process for the production of metallic microstructures by the second electroplating, is based on the production of electrically conductive bases in the molding process, which serve as support plates for the insulating plastic structures [Harm90]. With this process optimally designed metallic microstructures can be produced, because the support plate serves as an electrode and onto which microstructures can be integrated made from insulating plastic. With vacuum-reaction-injection-molding in the first molding step, the mold cavity of the mold inserts being used is filled with electrically insulating reaction
7.5 Plustic Moldiizg in tlze LIGA Process
339
resin compound. Subsequently, in the second molding step the matrix is coated with an electrically conducting molding compound. By suitable process control the electrically conducting and non-conducting plastic are welded together, whilst the adhesion onto the metallic mold insert is low (possibly by addition of mold release agent). The matrix structure can then be released from the mold without destroying any of the sensitive microstructures. The metallic deposition starts then on the base plate made from electrically conducting plastic. In order to achieve a defined transition between the conducting and nonconducting molding materials, at least one of the molding compounds during thc second molding step with the overlaycrs sliould be in solid form. Based on thc characteristics of non-conducting and conducting reaction resin compounds and mo Idi ng compciunds, thrce production "I)p ro aches result lrom the mo Id i n g concept, which arc represented in Figure 7.5-12. In approach I, the mold insert in the first molding step is filled wilh t h e rcsiri compound. In the second molding s ~ c pa scparately manulacturetl support plate from conducting molding matcrials is presscd onto the filled mold insert, whcrcby 1.Molding step : filling of the mold insert non-conductive molding material
non-conductive molding material
+
I
Removing of surplus molding material
Hardening
2. Molding step : covering of the filled mold insert Conductive polymer
1
Removing of surplus molding material
Conductive polymer
Polymer welding
Conductive
Hardening
Fig. 7.5-12 Production variants of molding of micrnstructures with subsequent electi-oplating by tncans ol conductive polymers.
340
7 The LIGA Process
excess resin compound is expelled from the edges of the mold insert. Due to the diffusion of the liquid is into the solid support plates, a form-fit of both plastics results. The reaction resin compound in the mold cavity hardens to the mold material after filling. In the first molding step of approaches I1 and 111, the matrix is filled over the entire surface with reaction resin compound. The excess resin compound is then expelled from the mold insert by applying a kind of plunger. The resin compound in the mold cavity then hardens with the plunger remaining in position. In the second molding step of the production variation I1 after hardening of the molding material, a separately manufactured support plate made of electrically conducting molding material is welded onto the mold insert which is filled with molding material. The welding is done at elevated temperatures. In the second molding step of production approach I11 in the reaction injection molding, a viscous electrically conducting reaction resin compound is applied, which hardens and becomes the electrically conducting support plate and binds strongly to the previously hardened molding compound. PMMA filled with carbon black or silver is successfully used as an electrically conducting plastic. The optimum degree of filling is about 75 wt% silver and about 12 wt% of carbon black.
Fig. 7.5-13 SEM-micrographs of Ni-honeycomb structures at four different process
steps: a) structures in PMMA after X-ray exposure (primary structure), b) molding tool in nickel (secondary structure), c) molded polymer structures (ternary structure), d) honeycomb structure in nickel after 2. electroplating (quaternary structure).
7.6 Variations and Additional Steps ofthe LIGA Technology
341
A nickel honeycomb grid at four different process steps is shown in Fig. 7.5-13: No structural degradation is observed on plastic molding and electroplating of these structures (minimum dimension = wall thickness = prism distance = 8PI. Also with injection molding electroformable structures can be produced using granulates filled with conducting carbon black. At high flow speed of the melted granulates, a segregation of polymer and conducting carbon black is observed. This segregation is utilized when structures are filled at high injection speeds resulting in a gradient in the conductivity from the structure base to the structure surface. The base plate however, will be filled at a lower injection speed so that there is a homogeneous distribution of carbon black particles and thus the desired conductivity is attained. This process has rendered the production of arrays with an aspect ratio of 3-5, which could be electroformed without any defects.
Second Electroplating by Covering of the Plastic Structures A possibility to produce metallic microstructures by a second electroplating, which appears obvious at first glance, will be mentioned here for the sake of completeness. The structures are coated by a metallic conducting layer. This is most simply achieved by a PVD-process (physical vapor deposition, see Section 4.5). However, in using this process the side walls are also coated. Even if the layer is very thin, the metal deposition starts simultaneously at the structure floor and the side walls. For structures with high aspect ratios, the structure at the top of the cavity will tend to close up whereas the structure at the floor may not yet be filled leading to undesired cavities. Therefore, this process is only considered for those structures which have small aspect ratios.
7.6 Variations and Additional Steps of the LIGA Technology In order to cover the widest possible spectrum of uses, the standard LIGA process is extended by numerous process variations. They will be introduced and discussed in the following chapters.
7.6.1 Sacrificial Layer Technology If micromechanical sensors or actuators are to be produced with microfabrication methods, in many cases stationary microstructures as well as moveable microstructures must be designed. Often movable and stationary microstructures are integrated, so that hybrid assembly is not possible. Such hybrid assemblies are also often hindered by the low dimensional tolerances which are required. These con-
342
7 The L E A Process
straints apply to e. g. acceleration sensors, gyros, linear actuators, resonators and similar structures. Movable structures are produced in silicon micromechanics, in which e. g. a pit is made by anisotropic etching underneath a thin elastic cantilever. In surface micromechanics freely moving structures are produced, in which several thin, structured layers which are made of different materials are placed on top of each other. The so-called sacrificial layers are selectively etched off the layers placed above and below (see Fig. 6.3-4). It is also possible with the LIGA process to produce moveable microstructures by introducing sacrificial layers [MohBO]. Therefore, for movable sensors and actuators a large range of materials is available as well as the possibility of large structure height with no limitation in the lateral shaping. As an example, the process steps for the production of an acceleration sensor is shown in Fig. 7.6-1 for. In this example microstructures have electrical functions, like most sensors and actuators, so that the individual parts of the microstructure must be electrically isolated from each other. The process is therefore based on an electrically non-conducting substrate, e. g. a silicon wafer equipped with an insulation layer or a ceramic substrate. A metallization layer is applied onto this layer using a PVD process. Stringent requirements are made on this layer with respect to the adhesion to the substrate and also to the subsequently electroplated metal layer. These requirements cannot be readily fulfilled by a single layer. Therefore two different metal layers are used, one being the adhesion- and the other the primary electroplating initiating layer. The layer systems made of chromium and silver have proven to exhibit good adhesion where chromium possesses a good adhesion to the substrate and silver possesses a good adhesion to the electroplated layer. When necessary, passivation layers are introduced, in order to avoid problems with the different etch processes. In order to isolate the microstructures from each other, produced later by the LIGA process, the layer system is structured by
metallisation (h 1pm)
electro forming
insulating substrate (Si, ceramik)
metal (Ni, h > 100pm)
sacrificial layer (Titanium, h = 5pm)
c)
resist (PMYA, h > 100pm)
sacrificial layer
f)
Fig. 7.6-1 Process steps for movable microstructures (sacrificial layer technique).
7.6 Variations and Additional Steps of the LIGA Technology
343
optical lithography and wet etching processes. Similarly, in the metallization layer, conducting paths are structured to electrically connect isolated areas of the system. The sacrificial layers is now applied using the PVD process on the pre-treated substrates. The following requirements are placed on these layers: 0 0 0 0 0
good ability to patterning, good adhesion of the resist used in X-ray lithography, good initiation and good adhesion of the subsequent electroplating, good selective etchability compared with all materials which are used as substrate, metallization layer or sensor- and actuator materials, fast etching without residues even underneath structures with large areas.
In the LIGA process titanium has proven to be a good sacrificial layer, as it possesses both good adhesion to the resist and to the electroplating initiating layer and can also be etched with hydrofluoric acid, which does not attack standard materials used in the LIGA process (Cr, Ag, Ni, Cu). The thickness of the titanium layer should be large enough, so that the movable structures have clear openings to move freely. With too small a gap the microstructure may be blocked by contaminants. Also for etching of large areas under microstructures, it is favorable if the gaps which are formed are not too narrow. However, with increasing thickness of the sacrificial layers the precision, with which these layers can be structured by simple photolithography and wet etch processes, decreases. In addition, with larger thicknesses, the inner stresses of the applied layers is too large so that a good adhesion onto the substrate is no longer guaranteed. A titanium thickness of 5 pm is a good compromise between these opposing requirements. This titanium layer is structured, using optical lithography and etch processes, so that on subsequent structuring with X-ray radiation the movable parts of the microstructure are placed above the titanium layer, whilst the stationary parts are connected directly onto the electroplating initiating layer. The resist having a thickness of several hundred micrometers is applied to the substrate in the standard way over the structured metallization- and sacrificial layers. This resist layer is finally irradiated with synchrotron radiation through a mask. The X-ray mask is adjusted with respect to the previously structured layer by alignment marks on the mask and on the metallization layer (see Section 7.2.6). After exposure with synchrotron radiation the irradiated area is developed and the primaty structure is filled with metal by an electroplating process. The electroforming takes place on top of the metallization, as well as on the sacrificial layer. Finally the non-illuminated resist is removed. In the subsequent process sequence the titanium sacrificial layer is selectively etched. Hydrofluoric acid (0.5 %) has shown to be particularly suitable. Thus, the part of the microstructure which was placed on top of the sacrificial layer becomes freely movable, whilst the other parts of the metallic microstructure on the metallization layer are well anchored to the substrate. The electric contacts can be made between the individual parts of the microstructure via bond pads and conducting leads, which were produced in the metal-
344
7 The TJGA Process
lization layer. As a consequence electrical connection with separately manufactured integrated circuits is accomplished. The micromechanical and microelectrical components that are connected in such a way, are then packaged in a common housing. However, direct structuring on wafers with integrated circuits is not possible using X-ray radiation since, for example, the gate-oxide in an electronic circuit is damaged by X-ray radiation. Direct integration of electric circuits and microstructure can be carried out using hot embossing described in Section 7.5.3. In this case the bond connections are avoided and a very high integration density is attained. At the same time, of course, also moving parts can be produced. For this the substrate used in the hot embossing process is provided with a structured sacrificial layer and the molding, aligned with the pre-structured substrate, is carried out. Several examples for the movable microstructures are introduced in the following Section 7.7.
7.6.2 3D-Structuring In principle, the standard LIGA process allows only the production of structures with a constant structure height and perpendicular walls. However, many structures require a variation in geometry in the third dimension. This can be achieved by the structuring in different planes (stepped structures), by tilting of masks and substrates relative to the beam (oblique or conical structures), by additional processes (structures with spherical surfaces) or by effective use of secondary radiation (conical structures). Stepped Structures The production of stepped structures, of which some examples are shown in Fig. 7.6-2, can be fabricated by three variations in the process.
Fig. 7.6-2 Stepped structures fabricated by a twofold LIGA process.
7.6 Variations and Additional Steps of the LIGA Technology
345
In the first process, microstructures which were structured in the first lithography step, are irradiated through a second mask. This mask, which must be aligned with the structure of the first exposure, contains the structural details of the overlay. The radiation dose is chosen such that the lower limit dose is supplied (see Section 7.3.3) to the specific height within the structure. During the subsequent development step, the resist is therefore not fully developed all the way to the base. This results in a step in the structure. Disadvantageous of this relatively simple method is the undefined dose limit which is especially dependent on the development time. Therefore, the parameters for this process have to be optimized for every individual microstructure. Also the surface of the step is quite rough due to the development properties of the resist. In principle, a similar effect can also be achieved, if the absorber on the mask consists of two different materials. Whereas this leads to an expensive mask production, no second radiation is necessary during the production of the structure. In the second method, a structure is produced by X-ray lithography and electroplating in a first sequence. The desired step height of the finished structure is achieved by plan-milling the surface. In a subsequent step, a second structural layer is placed onto this substrate. Although by using this method an exact step height can be achieved, the problem remains that the position of the two structure parts with respect to each other depend on the alignment precision during the irradiation. Variations in the vertical position of more than 1 pm must be accepted. Furthermore, the adhesion of both structure parts is not optimal. In the third method, a base plate is pre-structured such that a stepped substrate is available [Miill96]. The structuring is carried out either with mechanical methods or via lithography and electroplating depending on the requirements of precision. The lateral precision of the steps can be adjusted to less than 1 pm by sputter etching processes. These stepped plates are used as the substrate in the LIGA process and are coated with resist. The structuring with X-ray radiation is carried out all the way to the substrate base. The vertical position is determined exclusively by the second structuring process and does not depend on alignment precision. This method is particularly suitable for microoptical applications because the lateral precision of the location of the individual structures lies in the range of 0.1 ym. Inclined Structures Structures, whose side surfaces have an angle other than 90" to the surface or to the substrate, can be produced by inclination of the mask and substrate to the appropriate angle with respect to the X-ray beam. The L E A process is carried out in the standard way. These structures are also of particular interest for optical applications such as the production of prisms (see Section 7.7.4). By irradiation with different inclination angles and by using negative resists, not only simple structures but also more complex structures can be realized [Feie95].
346
7 The LICA Pvocess
Conical Structures and Structures with Spherical Surfaces The effect described in Section 7.3.4, where secondary electrons are released from the mask membrane and isotropically emitted, enables the manufacture of conical structures on the upper side (see Section 7.3.11). The patterning is Iimited by choice of the spectrum and the membrane material in which the fluorescence radiation is generated. In order to produce structures with defined spherical curvature, an additional process was developed. Column structures produced by the LIGA process are exposed to a second irradiation of X-ray radiation (Fig. 7.6-3). The spectrum is adjusted such that the dose is deposited predominantly in the upper part of the structure. The glass transition temperature is thereby reduced by this dose deposition because of the change in the molecular weight of the plastic, i.e. the structure has a glass transition temperature that varies according to the height. The material in the upper part of the structure melts at lower temperatures compared with that in the lower region. When the structure es heated to a temperature between both Synchrotron radiation
Irradiation
Resist ...................... ///////////////////I//
W, = f i (a,b,c,d, ..., n> = f 3 (n,b,c,d, ..., n>
w, w,= f n
...
(a,b,c,d, ..., n> with Wi the output from the sensor i. To solve the system of equations, the n parameter functions f, ... f, have to be known, e.g. by calibration of the sensors. Even then the task is probably not realistic with the limited computing power of the on-board microprocessor of a microsystem (Fig. 10.4-2) [Poin89]. To overcome this problem of solving a system of equations with a large number of unknowns, other methods have been developed. The task of evaluating the data flow from large arrays of sensors is very common in nature. The sense of seeing, hearing, feeling is a similar problem of evaluating the data flow from large sensor
Fig. 10.4-2 The principle of a sensor array and its evaluation.
444
10 System Technology
arrays, usually much larger than in MEMS. Nature pursues data processing in a totally different way than our data processing in so-called von-Neumann architecture. In our computers so far, data processing is basically a serial process, whereas in nature as far as we understand the function of a brain data processing is performed basically parallel, since speed of processing is more important for the survival of the individual than precision. Neural data processing therefore is discussed in the next section. It should be pointed out though, that this has, up to now, only a slight relationship to nature’s performance, but at least, neural data processing is trying to follow nature’s example.
10.4.2 Neural Data Processing for Sensor Arrays Although microsystem technology offers the possibility of utilizing whole arrays of sensors for one measurement, the efficient management and analysis of the data flow from the array requires computer power, which is difficult to achieve in microsystems. As always with complex tasks of signal processing, nature, during its evolutionary stages, has developed optimal concepts, which are worthwhile emulating. Information processing of living beings by the nervous system is fundamentally organized differently from that used in digital technical data processing, as commonly used nowadays. The information unit in the brain is the neuron. It consists of three main components; dendritic structure, cell body and axons (Fig. 10.4-3a). Across the dendrites, which one can view as data input units in analogy to technical data processXI
Synapses
/ Input
Y, = f ( l j )
endrites x2
Axon
n /
Weighting
x3
a
b
Fig. 10.4-3 Principle of a biological neuron a and its microelectronic analogy b.
10.4 Signal Processing
445
ing, signals are transferred from other neurons as electrical potentials by complex physiological processes. From these individually received signals one integrated signal is guided into the cell body. If this integrated potential exceeds a particular limit, then the neuron “fires”, i. e. the cell nucleus produces an electrical impulse, which is transmitted across the output circuit through the axon, onto the dendrite structure of other neurons. The transfer happens not across solid electrical contacts, but so-called synapses, which are basically non-conducting contact points, but are made to electrically conduct utilizing complex physiological electrolytes. A simple analogue to a technical component would be a coupling condenser, whose displacement current would be controlled by dielectric changing with time. This “neural displacement current” one also calls “synapsis strength”. The control of the synapsis strength is the condition for learning in neural systems. In nature these neural networks are extremely complicated and the regularity in the networks is still essentially unknown. The human brain has about 10“ neurons. One neuron has up to lo4 connections with 4 bytes each. In comparison to the main memory of an efficient computer, the brain possesses a capacity which is about lo8 times larger! How can one transfer such a biological structure to technically related problems? The analogy to the biological system is schematically shown in Fig. 10.4-3b. The model can be mathematically described in a simple form: (10.1) where
Y, = output signal of the neuron, X j = input signal of the neuron, Wu= synapsis strength with which the input signal can be multiplied.
f is the transfer function, which gives information about the signal height which the neuron “fires”, i. e. an impulse of a certain amplitude is emitted. This output signal Y, can be supplied again to many other neurons as the input signal. Usually technical neural networks are represented in layers (Fig. 10.4-4). The simplest configuration consist of an input layer, an output layer and an inner layer in-between (hidden layer). A certain signal pattern, which is placed on the input layer, results in a signal pattern on the output layer. The inner layer transforms by distribution and weighting the input signal onto the output pattern. As the information about the transformation is distributed over the whole network, the system is relatively insensitive to errors or even failure of single elements. By “training” the inner layer, i. e. by adjusting the weighting factors Wv, one can optimize the system to particular tasks. There are rules to train the inner layer, three of which will be sketched out [Hopf82, Koho88, Amit891.
Delta Learning Rule (10.2)
10 System Technology
446
output Layer
Inner Layer
Input Layer
Fig. 10.4-4 Example of a neural network comprising of an input layer, an output layer, and one inner layer.
where X j Y,,, Y, E
= input value =
desired output value
= actual output value = so-called learn parameter, where
0
<E