Ill-Nitride
Semiconductor Materials
Zhe Chuan Feng editor
Imperial College Press
Ill-Nitride
Semiconductor Materials
This page is intentionally left blank
Ill-Nitride
Semiconductor Materials
editor
Zhe Chuan Feng National Taiwan University, Taiwan
4fl^
Imperial College Presj
Published by Imperial College Press 57 Shelton Street Covent Garden London WC2H 9HE Distributed by World Scientific Publishing Co. Pte. Ltd. 5 Toh Tuck Link, Singapore 596224 USA office: 27 Warren Street, Suite 401-402, Hackensack, NJ 07601 UK office: 57 Shelton Street, Covent Garden, London WC2H 9HE
British Library Cataloguing-in-Publication Data A catalogue record for this book is available from the British Library.
III-NITRIDE SEMICONDUCTOR MATERIALS Copyright © 2006 by Imperial College Press All rights reserved. This book, or parts thereof, may not be reproduced in any form or by any means, electronic or mechanical, including photocopying, recording or any information storage and retrieval system now known or to be invented, without written permission from the Publisher.
For photocopying of material in this volume, please pay a copying fee through the Copyright Clearance Center, Inc., 222 Rosewood Drive, Danvers, MA 01923, USA. In this case permission to photocopy is not required from the publisher.
ISBN
1-86094-636-4
Printed in Singapore by World Scientific Printers (S) Pte Ltd
CONTENTS Preface
xi
Chapter 1
Hydride vapor phase epitaxy of group III nitride materials V. Dmitriev, A. Usikov 1-40 1 1. Introduction 2. Experiment 4 3. Material Properties 5 3.1. Undoped GaN layers 6 3.2. Si-doped GaN layers 10 3.3. Mg-doped GaN layers 11 3.4. Zn-doped GaN layers 13 3.5. A1N layers 14 3.6. AlGaN layers 16 3.7. InN and InGaN layers 19 4. New directions in HVPE development 21 4.1. Large area and multi wafer HVPE growth 21 4.2. Multi-layer structures 23 4.3. P-n junctions 24 4.4. Structures with two dimensional carrier gas 26 4.5. Nano structures and porous materials 26 5. Applications of HVPE grown group III nitride materials 27 5.1. Substrate applications 27 5.1.1. Template substrates 27 5.1.2. Free-standing substrates 28 29 5.1.3. Bulk substrates 31 5.2. Device Applications 6. Conclusions 33
Chapter 2
Planar MOVPE technology for epitaxy of Ill-nitride materials M. Dauelsberg, B. Schineller, J. Kaeppeler 1. History of Reactor Development for Ill-Nitrides 2. Types of Planar Reactors 3. Reactor Modeling 3.1. Growth Kinetics of Group-Ill Nitride MOVPE 3.2. Modeling of MOVPE processes 3.3. Horizontal Tube Reactors: Flow Dynamics and Reactor Technology
41-71 41 43 45 46 48 50
VI
Contents
3.4. Planetary Reactors: Transport Phenomena & Parameter Dependencies 4. In-situ Technology in Nitride MOCVD Systems 5. The Mass Production of GaN and Related Materials 5.1. Optoelectronic Device Structures 5.2. Growth in the 8x4 inch Configuration 5.3. Special Aspects of Growth on 4 inch 5.4. The Growth of InGaN Structures 5.5. The Growth of AlGaN Structures 5.6. High Growth Rates in Mass Production Reactors
53 57 62 62 63 63 67 68 69
Chapter 3
Close-Coupled Showerhead MOCVD technology for the epitaxy of GaN and related materials E. J. Thrush, A. R. Boyd 73-116 1. Introduction 74 2. Reactor Development 75 2.1. Close-Coupled Showerhead Technology 76 2.2. Modeling 80 2.3. Scale-up of CCS Reactors 85 3. In-situ Optical Monitoring 86 3.1. Optical Pyrometry 86 3.2. Laser Interferometry (Reflectometry) 88 3.3. Emissivity Corrected Pyrometry 91 3.4. Spectral Reflectometry 93 4. Reagent Concentration Monitoring 94 5. Growth Results 97 5.1. GaN Template Growth in Close-Coupled Showerhead Reactors 97 5.2. Quantum Wells in Close-Coupled Showerhead Reactors 97 5.3. HEMT Structures in Close-Coupled Showerhead Reactors 102 6. Mass Production of GaN Based Devices 707 6.1. Cost of Ownership Dependence on System Size 707 6.2. Process Cycles 709 6.3. Growth Data from 19x2" Production System 111 7. Acknowledgements 114
Chapter 4
Molecular beam epitaxy for III-N materials H. Tang, J. Webb 1. Introduction 2. MBE technology 2.1. Ammonia vs Plasma Assisted MBE
117-160 777 775 727
Contents
Semi-insulating GaN 3.1. Growth of semi-insulating GaN 3.2. Carbon doping 3.3. Other dopants for semi-insulating GaN Electrical Characteristics 4.1. Polarization Induced Two Dimensional Electron Gas 4.2. Reproducibility and Uniformity 4.3. Low Temperature Mobility 4.4. Magnetotransport Properties AlGaN/GaN HFET Device Characteristics 5.1. DC and Small-Signal RF Characteristics 5.1.1. Devices Grown on Sapphire and SiC by Ammonia MBE 5.1.2. Devices Grown on Resistive Silicon by Ammonia MBE 5.1.3. Devices Grown on Sapphire and SiC by PAMBE 5.2. Large-Signal RF Performance 5.3. Device Scalability and Large Periphery Performance Conclusion
Chapter 5
vn
123 123 124 129 130 130 132 135 138 144 145 145 147 149 150 154 156
Growth and properties of nonpolar GaN films and heterostructures J. Sun, 0. Brandt 161-202 Introduction 161 Substrate: y-LiAlO., 163 2.1. Properties of the substrate 163 2.2. Polarity of the substrate 166 2.3. In-plane orientation relationship 168 Optimization of Heteroepitaxy of GaN Films Ony-LiAIO2(100) 168 3.1. Impact of nucleation conditions on phase purity 169 3.2. Influence of nucleation temperature on surface quality 172 Ga Adsorption and Desorption Kinetics 174 4.1. Surface reconstruction 174 177 4.2. Ga adsorption/desorption kinetics M-plane (In,Ga)N/GaN Multiple Quantum Wells 185 5.1. In incorporation and surface segregation 186 5.2. Recombination mechanism 190 Conclusion and Outlook 196
Contents
Vlll
Chapter 6
Chapter 7
Indium-nitride growth by high-pressure CVD: real-time and ex-situ characterization N. Dietz 203-235 1. Introduction 204 2. HPCVD Reactor Characteristics 206 3. Reactor flow characterization 209 4. Precursor characterization: Ammonia and Trimethlyindium 211 4.1. Optical characterization of Trimethylindium, TMI, [In(CH3)3] 212 4.2. Optical characterization of ammonia (NH3) 214 5. Flow kinetics: Analysis utilizing pulsed gas injection 216 5.1. Flow characterization during pulsed precursor injection 218 6. Precursor decomposition dynamics at higher pressures 220 7. Growth of InN: Real-time optical monitoring 222 8. Ex-situ characterization of InN layers 227 9. Summary and Outlook 232
A new look on InN L.-W. Tu, C.-L. Hsiao, M.-H. Tsai 1. Introduction 2. Growth and Structures 3. Compositions and Electrical Properties 4. Optical Characterizations 5. Four Possibilities 6. Conclusions and Outlook
Chapter 8
237-256 237 239 245 247 249 250
Growth and optical/electrical properties of AlxGa!_xN alloys in the full composition range F. Yun 257-304 1. Introduction 257 2. Material Growth of AlGaN 260 2.1. Growth Techniques and Full Range Control of Al 260 2.2. Conduction type control of AlGaN 271 2.3. Dislocation and Morphology Analysis 272 2.4. Chemical Ordering in AlGaN 280 3. Electrical Properties 282 3.1. Electrical Transport 282 3.2. Deep Level Defects 286 4. Optical Properties 289 5. Band Gap Bowing of AlGaN 295 6. Summary 299
Contents
Chapter 9
IX
Optical investigation of InGaN/GaN quantum well structures grown by MOCVD Tao Wang 305-343 1. Introduction 305 2. Strain-relaxation in InGaN/GaN MQW 307 3. Quantum-confined Stark effect and exciton-localization Effect 313 4. Optical Investigation of InGaN/GaN MQWS under high excitation 320 5. Study of stimulated emission from InGaN/GaN multiple quantum well structures 326 6. Femtosecond studies of electron capture times in InGaN/GaN MQWs 331 7. Summary 337
Chapter 10 Clustering nanostructures and optical characteristics in InGaN/GaN quantum-well structures with silicon doping Y.-C. Cheng, C.-Y. Chen, C. C. Yang 345-368 1. Introduction 346 2. Sample Preparation and Measurement Conditions 348 3. Photoluminescence and Photoluminescence Excitation 349 3.1. Amplified Spontaneous Emission 353 3.2. Cathodoluminescence 356 3.3. Strain State Analysis 360 4. Discussions 361 5. Conclusions 366 Chapter 11 Ill-nitrides micro- and nano-structures H. M. Ng, A. Chowdhury 369-398 1. Introduction and Overview 369 2. Nanostructures by Epitaxy 370 3. Nanostructures by Selective Etching and Regrowth 375 3.1. Photoelectrochemical Etching 376 3.2. Polarity Selective Chemical Etching (PSCE) 377 3.3. ID and 2D Periodic Structures Formed by PSCE 383 4. Applications of GaN Micro- and Nanostructures 387 4.1. Second Harmonic Generation 387 4.2. Electron Field Emission 389 5. Summary and Future Outlook 393
X
Contents
Chapter 12 New developments in dilute nitride semiconductor research W. Shan, W. Walukiewicz, K. M. Yu, J.W. AgerIII, E.E. Haller 1. Introduction 2. Material Properties 3. Device Applications 3.1. Long-wavelength Laser Diodes for Telecommunications 3.2. Solar Cells for Photovoltaic Solar Power Conversion 4. Origin of Band-gap Reduction in Dilute Nitrides 4.1. Large B and-gap B owing and Early Impurity Models 4.2. Band Anticrossing 4.3. E. and E+ transitions 4.4. Enhancement in Maximum Free Electron Concentration 5. Concluding Remarks: From Dilute III-N-V Nitrides to Dilute II-O-VI Oxides
399-428 399 400 404 404 406 408 408 409 413 416 422
PREFACE
Group Ill-Nitrides semiconductor materials, including GaN, InN, A1N, InGaN, AlGaN and AlInGaN, i.e. (Al, In, Ga)N, are excellent semiconductors, covering the spectral range from UV to visible and to infrared, with unique properties very suitable for modern electronic and optoelectronic applications. Remarkable breakthroughs have been achieved in recent years for research and development (R&D) in these materials and devices, such as high-power and high-brightness blue-green-white light emitting diodes (LEDs) and blue laser diodes (LDs) as well as other optoelectronics and electronics devices and applications. III-Nitrides-based industry is forming up and new economic developments from these materials are promising. It is expected that IIINitrides-based LEDs might replace the traditional light bulbs to realize a revolution in lightings and change the entire human life in this century, similar to Edison's invention of the electric light bulb more than one hundred years ago. The developments on these materials and devices are moving so quickly. Many data or knowledge, even published quite recently, have been modified and need to upgrade. This new book will cover the rapidly new developments and achievements in the field, in particular those made after entering the 21st century. It is not pure science, but engineering and materials. The book is organized for a wide range of audiences and covers each of the basic and critical aspects of Ill-Nitrides science and technology. Each chapter, written by experts in the field, reviews the important topics and achievements in recent years, discusses progresses made by different groups, and suggests further works needed. This book provides useful information to material growth, characterization, application and development on the Ill-Nitrides semiconductor materials. This book consists of 12 well-written review chapters and the topics of the book include: 1) Hydride vapor phase epitaxy of group III nitride materials, 2) Planar MOVPE technology for epitaxy of Ill-nitride XI
Xll
Preface
materials, 3) Close-Coupled Showerhead MOCVD technology for the epitaxy of GaN and related materials, 4) Molecular beam epitaxy for IIIN materials, 5) Growth and properties of nonpolar GaN films and heterostructures, 6) Indium-nitride growth by high-pressure CVD: realtime and ex-situ characterization, 7) A new look on InN, 8) Growth and optical/electrical properties of AlxGai_xN alloys in the full composition range, 9) Optical investigation of InGaN/GaN quantum well structures grown by MOCVD, 10) Clustering nanostructures and optical characteristics in InGaN/GaN quantum-well structures with silicon doping, 11) Ill-nitrides micro- and nano-structures, and 12) New developments in dilute nitride semiconductor research. As seen, the current book presents the key properties of Ill-Nitrides materials, describes key technologies and demonstrates the remaining challenging issues in material growth and device fabrication for future R&D in the 21st century. This book is useful to material growers and evaluators, device design and processing engineers as well as potential users of Ill-Nitrides technologies, including new comers, postgraduate students, engineers and scientists in the Ill-Nitrides field.
Zhe Chuan Feng (Professor in Electro-Optical & Electrical Engineering, National Taiwan University)
CHAPTER 1 HYDRIDE VAPOR PHASE EPITAXY OF GROUP III NITRIDE MATERIALS
Vladimir Dmitriev and Alexander Usikov Technologies and Devices International, Inc., 12214 Plum Orchard Dr., Silver Spring, MD 20904, USA e-mail:
[email protected] In this chapter we describe recent experimental results on hydride vapor phase epitaxy (HVPE) of group III nitride materials including epitaxial layers and multi-layer device structures. Properties of GaN, InN, AIN, and AlGaN layers grown by HVPE are presented. For GaN layers, ntype and p-type doping during HVPE growth is reported. Thick crack free AIN layers grown by stress control HVPE are described. New directions in HVPE technology including large area growth, multiwafer growth, sub-micron multi-layer growth, and fabrication of nanosize structures including GaN and InN nanowires are briefly discussed. Properties of HVPE grown AlGaN/GaN hetero structures with twodimensional electron and two dimensional hole gases are presented. Applications of HVPE grown group III nitride materials including substrate applications (template substrates, free standing substrates, and bulk substrates) and device structures for both optoelectronic application (blue and ultraviolet light emitting diodes grown by HVPE) and electronic applications (high electron mobility transistors grown by HVPE) are discussed as well.
1. Introduction The HVPE technology has been demonstrated to deposit single crystal layers of both GaN 1 and AIN 2 more than 30 years ago. Fig. 1 illustrates the basic idea of the method. For GaN growth, source materials are
1
2
Dmitriev, Usikov
gallium chloride and ammonia gases. Gallium chloride is formed inside growth apparatus by a reaction of gaseous HC1 and Ga metal. Formed gallium chloride is transported into a growth zone of the apparatus where it reacts with ammonia forming GaN. Substrates are located in the growth zone and, if growth conditions including substrate parameters are suitable, single crystal GaN is formed on the substrate. The method provides deposition rates of several microns per minute making it possible to grow hundred microns thick layers.
Growth zone Fig. 1. Schematic illustration for GaN HVPE growth: 1 - main reactor tube, 2 - heating elements, 3 - Ga source gas channel, 4 - boat with Ga melt, 5 - Ga melt, 6 - substrate, 7 substrate holder, and 8 - ammonia source tube.
Due to well recognized potential of GaN materials for light emitters, numerous attempts to create GaN-based blue light emitting diodes by HVPE, including the demonstration of the first GaN violet light emitter,3 have been taken in the 70th. AlGaN alloy growth by HVPE has also been performed. However, despite substantial progress in material quality and process understanding, background n-type carrier concentration in grown
Hydride Vapor Phase Epitaxy: III- Nitrides
3
materials remained high and p-type GaN materials have not been fabricated by that time. Rapid progress in another epitaxial technology, metal organic chemical vapor deposition (MOCVD) for p-type GaN and AlGaN materials in the early 90th5'6 and its ability to form Ga(Al)N/InGaN quantum well structures made this method a technology of choice for the fabrication of GaN-based devices including green, blue, ultra violet (UV), and eventually white light emitting diodes (LEDs).7 The MOCVD was also the first epitaxial method to make GaN-based laser diodes (LDs) and high performance electronic devices. However, many material issues in the field remain unsolved. Due to absence of native GaN and A1N substrates, GaN-based LEDs and HEMTs are currently manufacturing by MOCVD and molecular beam epitaxy (MBE) on foreign substrates, sapphire or silicon carbide. A poor lattice match and difference of thermal expansion coefficient for these substrates usually lead to the formation of threading defects in the epitaxial layers, cracking of layers during the post growth cooling, and residual strains in the epitaxial layers. These defects contribute to high background doping and degrade both electrical and optical properties of the layers and devices. !
10"
V 10'"
1
a sc
K
10°
N
-P
10° 10' ' 10°
**
10z
]
O x
T
10"'
10°
10'
10z
103 10"
Distance from the substrate (urn)
10"" 10" Distance (m)
10"4
Fig. 2. Defect density in GaN layers vs. layer thickness. Left picture: GaN grown by HVPE on sapphire12. Right picture: GaN layers grown by HVPE on 6H-SiC substrate13.
In the 90th, focus of HVPE development for GaN materials shifted to substrate applications8'9. Slow progress and substantial technical obstacles in other crystal growth techniques to form bulk GaN or A1N crystals, put HVPE method on a leading position to produce substrate
4
Dmitriev, Usikov
materials for group III nitride semiconductor devices. High deposition rate intrinsic to GaN HVPE method and ability to form thick single crystal GaN layers on foreign substrates have led to intense developments in the field and to the demonstration of the first large area (3 cm diameter) GaN free standing wafers.10 The later developments of HVPE technology for free standing GaN wafers resulted in GaN materials with a record room temperature electron mobility of 1320 cm2/V sec and donor concentration of 7.8xl015cm"3.H It was demonstrated by several research teams that dislocation density in GaN HVPE layers decreases dramatically with layer thickness increase (Fig. 2).12 For thick GaN layers grown on SiC substrates, dislocation density measured by transmission electron microscopy (TEM) was about lxlO 7 and 4xl05cm"2 at 10 and 100 microns distance from SiC/GaN interface, respectively.13 Growth of thick GaN layers and subsequent fabrication of low defect free standing GaN wafers led to the fabrication of advanced GaN-based power devices' high-frequency transistors, and blue lasers. Currently, HVPE technology is established for commercial production of various types of GaN and A1N substrate materials (Section 5). For more than 30 years of GaN HVPE development various designs of growth apparatuses and process arrangements were described in numerous scientific publications.14'15'16 In this paper we report on our resent results on the fabrication and characterization of GaN, A1N, InN, AlGaN, and InGaN materials and heterostructures by HVPE. We also describe several novel directions in HVPE technology for group III nitrides such as multi-layer sub micron device structures, nano-structures, and large area HVPE growth. 2. Experiment Group III nitride materials described in this paper were grown on proprietary homebuilt HVPE growth equipment. The growth processes were carried out in atmospheric pressure on a multi-wafer HVPE machines having a hot wall quarts tube reactors with a resistively heated multi zone furnaces (Fig. 3). Ammonia (NH3) and hydrogen chloride (HC1) were used as active gases and argon served as a carrier gas. Ga, Al,
Hydride Vapor Phase Epitaxy: III- Nitrides
5
and In metals were used as group III source materials. The metals were located in boats placed in separate channels in the source zone of the growth machine. Substrates were placed on a holder, which got in and out from the growth zone of the rector by a quarts moving rod. Usually we use sapphire or silicon carbide substrates. Substrate capacity of the growth machines was up to seven 2-inch wafers. Size of the substrates ranged from 2 to 6-inch. Typical growth temperature varied from 900 to 1100°C, except for InN grown at lower temperatures (Section 3.7). For n-type doping, silane (SiH4) gas was used. To grow p-type layers, Mg and/or Zn metals were placed in the source zone of the reactor. Growth rate was controlled from 0.05 to 1 microns per min by changing HC1 flow through the corresponding metal source channel. Thickness of grown layers was varied from a few nanometres to several millimetres and was controlled by the growth rate and deposition time. The machine design allows us to grow multi layer hetero structures with thick (>10 |im) and thin (s ' / 67
60«•a N
3
ji o t: Q
40-
/
// A
/
// /
1
11/
20(1200
250
/
0.46/ j
Z 1 " 3 ? /°- 2 7 /0.11 300
350
f x=0.0 400
Wavelength (nm)
Fig. 16. Optical transmission spectrum for AIN/sapphire, GaN/sapphire and AlxGa^N (0.1 l<x : sickness (pm) | Std.Dev
2.0 2.2%
2.0 2.0%
Fig. 20. Thickness maps of GaN buffer layers on 4H and 6H SiC.
•
I •IV I.I . ••
I)
I- .-"- • . 1 . ;
>e*
'. 1\
.'t
Run ft 2 on 4H-SiC AI content "x" for AlxGa(1-x)N Std.Dev. of "x"
0.35 2.2%
l-r»r°r "•" 1 . .cr* i.u .-, r-t" ^ 5 '. Run # 2 on 6H-SiC AI content "x" for AlxGa(1-x)N 0.35 Std.Dev. of "x" 2.3%
Fig. 21. Aluminium content map of HEMT layers grown on 4H and 6H SiC.
, r \ \ "••
Close-Coupled Showerhead MOCVD - GaN
-20
-10 0 10 X position (mm)
Run#2on4H-SiC AIGaN thickness (nm) Std.Dev,
20
30.0 2.9%
20
105
-10 0 10 X position (mm)
Run#2on6H-SiC AIGaN thickness (nm) StdDev.
20
30.7 2.7%
Fig. 22. Thickness maps of AIGaN layers of HEMT structures grown on 4H and 6H SiC. Fig.21 shows aluminium content maps constructed from photoluminescence wavelength mapping on the same two wafers. There is a small rise in the aluminium content towards the outside of the susceptor (towards the flat) although this is less easy to appreciate in this monochrome illustration than in the coloured original. The average composition for the layers grown on both types of wafer is 35% and again the standard deviation across both wafers is ~ 2.2%. Fig. 22 shows contour plots depicting the thickness of the AIGaN layers of the two HEMT wafers constructed from a series of XRD measurements made across each of them. These data suggest that the thickness of this layer varies on average between 30.0 and 30.7 nm for the layers grown on the 4H and 6H wafers respectively and that the standard deviation is between 2.7 and 2.9% for the two wafers. X-ray measurements were also used to provide a measure of the crystalline quality of the GaN buffer layers, as reflected by the FWHM of the (002) rocking curves, and the variability of that cystallinity
106
Thrush, Boyd
across the surface of the wafers. This is seen to be an important parameter as the 2DEG is located in the upper region of the GaN buffer layer and the local transport properties of that layer will be influenced by its extended defect density and reflected in the characteristics of transistors fabricated upon it. The average FWHM was found to be 230 arc seconds and the standard deviation was 4%. Finally the uniformity of the electrical properties was assessed using a Lehighton contactless resistivity probe to map the sheet resistance across the wafers. The result of this exercise is shown in Fig. 23 indicating that the mean sheet resistance values on the 4H and 6H wafers was 291 and 306 Ohms/square and that the standard deviations were 2.2 and 2.1% respectively.
Run # 2 ort 4H-SiC Average Sheet resistance 291 Ohms/square Std.Dw. 2.2%
Run#2on6H-SiC Average Sheet resistance 306 Ohms/square Std.Dev. 2.1%
Fig. 23. Sheet resistance maps of DEGs of HEMT structures on 4H and 6H SiC.
Close-Coupled Showerhead MOCVD - GaN
6.
107
Mass Production of GaN Based Devices
6.1. Cost of Ownership Dependence on System Size The TMG on-wafer growth efficiency when growing a GaN epilayer is dependent on the process conditions used, including total flow rate, reactor height, wafer temperature, reactor pressure, NH3 flow rate, and rotation speed. The process conditions can be set, on all system capacities to achieve a high TMG growth efficiency above 20%. As was also discussed earlier the process conditions in the reaction zone and the growth rate are similar across the susceptor radius resulting in inherent uniformity. It follows therefore that these process conditions and the growth rate are independent of the susceptor size assuming the delivery gas flow per unit area and gas mixture admitted to the reactor are the same. To scale a process between systems of different capacities we aim to make the process conditions in the reaction zone similar and apply scaling rules that keep the flow rate per injector approximately the same. It follows therefore that the efficiency and mass of TMG per wafer consumed during a run should be relatively similar. For instance the weight of TMG per wafer required to deposit a 4.2 urn GaN layer in 3x2", 7x2" and 19x2" CCS systems is 0.6, 0.47 and 0.40 grams respectively. There is a modest reduction of TMG consumption per wafer moving from the 3x2" system to the 19x2" which is primarily due to an increase in wafer area relative to showerhead area. For commercial wafer production the requirement of MOCVD is to grow structures of the specified performance with a low enough cost of ownership (COO) to maintain profitability per device, or wafer, at a suitable capacity. Because the COO will vary depending on the wafer supplier's circumstances, and will differ between a chip supplier and a wafer supplier a complete model is beyond the scope of this publication. For this discussion we will show unit-less values from a model based on the production of LEDs on 2" sapphire wafers that are accepted or rejected on the basis of their performance yield passing a qualifying specification. Assuming this the profitability of the system is the epiwafer sale price minus the total COO per epiwafer, multiplied by the volume of wafers sold. The relative materials cost per wafer and total
Thrush, Boyd
108
COO per wafer are shown in table 1. These were derived assuming that the LED process cycle involved baking in-situ between runs, a typical 4.2 (im thick blue LED demonstration run, and estimated costs for the metal-organics, NH3, SiH4, N2, H2, electricity and wafers. The total COO per wafer includes facility costs, manpower, spare parts and system book price depreciation. The materials cost per wafer reduces by around one third on scaling from the 3x2" to the 19x2" capacity system. This difference is less than the reduction in TMG consumption since all gas consumptions per wafer are reduced on scaling, but the substrate cost remains constant. The lowest COO per wafer is not automatically achieved by having the largest capacity and highest throughput system. It is conceivable that a large number of smaller capacity systems even with low throughput, could be more profitable than a small number of large capacity systems, if their running and fixed costs were low and the yields were high. Table 1. Relative materials cost per wafer and total COO per wafer for the three CCS multi-wafer system sizes.
System capacity (x2" wafers) 3 7 19
Relative materials cost per accepted wafer 1.34 1.14 1.00
Relative total COO per accepted wafer 6.78 3.62 2.03
However, our data on using CCS reactors for blue LEDs supports a dramatic 3.5x reduction in COO per wafer on scaling production from the 3x2" to a 19x2" capacity system, as shown in Table 1. This is mainly due to the facility costs, manpower, spare parts and system book price depreciation showing only a small increase per system as the system capacity is increased and thus a large reduction in cost per wafer due to higher throughput. In formulating Table 1 identical performance and yield is assumed for the different capacity systems, and in the real case of slightly improved yield with the larger systems the improvement in COO per wafer on increasing system capacity will be even greater. The dramatic fall in total COO per wafer on scaling to larger capacity systems
Close-Coupled Showerhead MOCVD - GaN
109
has facilitated a drop in epiwafer and chip price since their introduction. The epiwafer price has fallen to a point that for typical blue LEDs it is likely that the 19x2" system is currently the only system that is profitable. Considerations of risk, flexibility and development cost cannot account for the difference in COO per wafer. It is because of COO per wafer that epiwafer suppliers were typically quicker than chip suppliers to upgrade their production to the larger capacity systems since they were more exposed to the epiwafer cost than chip suppliers. It is also worth noting that the materials costs are more important for the financial viability of the 19x2" system than is the case for the smaller systems, and that the materials costs are now of a similar magnitude to the fixed costs. This implies that future increases in system capacity will not yield a similar benefit in terms of profitability unless the materials costs per wafer can be further reduced. It should be pointed out that the foregoing analysis was predicated on the manufacture of low cost devices using a mature technology. However a model for the growth of high cost devices such as blue lasers on free standing GaN substrates may yield a smaller relative reduction in the COO per wafer for increases in system capacity.
6.2. Process Cycles The methodology of running the process cycle, in particular the conditioning of the reactor between process runs, can have a significant impact on the cycle time and the yield. It follows that it also has a large effect on the COO in production. A method is required to remove the coating, which is deposited during the process onto the susceptor and showerhead, which would lead to process instability and particulate contamination of the wafers, both impacting on the yield. There are currently a number of methods in use for the mass production of GaN devices, listed in Table 2 with throughputs for blue LED production based on a demonstration process neglecting downtime or maintenance, and a TSSE recommendation on compatibility with AlGaN containing devices.
110
Thrush, Boyd
From Table 2 continuous running looks an attractive proposition for the production of blue LEDs due to the improved throughput, but in reality the stability of the process is not as good as when baking or bake coating is used and if the susceptor is baked in the reactor after a batch of runs this bake-out needs to be long and reduces the throughput advantage. Thus most production is based on methods 2 and 3, baking the susceptor or baking followed by coating the susceptor in the reactor. Both give good reproducibility and performance (results using such baking are shown in section 6.3). The advantage of coating with around 0.25 jam of GaN after the baking is that it stabilises the bulk GaN quality, but good quality can be achieved without coating. Baking with HC1 yields no benefit for structures not including AlGaN, but since cleaning the showerhead by brushing with a nylon brush attached to a vacuum cleaner within the glovebox takes only around 15 minutes cleaning the showerhead every run is feasible. It yields slightly better run-to-run stability but for most products this gain isn't sufficient to outweigh the throughput loss. Recently a number of producers have switched to exchanging the susceptor every run, and cleaning externally. This cleaning can be by baking, baking with HC1, or etching. The variation due to reloading different susceptors isn't seen as a significant issue. For structures incorporating AlGaN in-situ susceptor baking and baking followed by coating is less successful since the baking temperatures (1100°C) used for GaN are insufficient to remove AlGaN deposits at a significant rate. Therefore some groups use continuous running with ex-situ cleaning of the susceptor after a number of runs, or exchanging the susceptor every run, which is the better option for both throughput and stability. It is possible to remove the susceptor, brash it with nylon brush and then bake it in-situ if adequate ex-situ cleaning facilities are unavailable. Finally one could use an in-situ bake with some HC1 gas in the carrier, which would remove the AlGaN deposit. But TSSE has little experience of this due to concerns of exhaust component lifetime if moisture is allowed to enter the system during maintenance.
Close-Coupled Showerhead MOCVD - GaN
111
Table 2. List of process cycles with their typical throughput (based on 24 hour operation excluding maintenance) and compatibility with AlGaN based processes.
No.
1 2
3
4
5
6
Process Cycle Description Running batches of runs with periodic baking of the susceptor and cleaning of the showerhead. Baking the susceptor between every process run. Baking followed by coating of the susceptor loaded with sacrificial wafers between every process run. Baking the susceptor with HCI in the system between every run. Baking or baking followed by coating of the susceptor combined with showerhead brushing between every process run. External Baking / cleaning of the susceptor between every run and exchanging between a batch of susceptors.
Throughput (runs/day)
Recommended for use with AlGaN.
5.4
Yes
3.8
No
3.7
No
3.6
Yes
3.3
No
5.3
Yes
6.3. Growth Data from 19x2" Production System The CCS GaN 19x2" system has proven itself to produce state-of-the-art devices with excellent uniformity and reproducibility in production29. The performance of this system is exemplified by results from a growth campaign undertaken after commissioning at a customer's site. This work, aimed at establishing a capability for blue LED structures, was based upon a 5 period InGaN/GaN MQW structure grown on an n-type silicon doped template, capped by a p-type magnesium doped layer. The structure is shown schematically in Fig.24. The InGaN/GaN quantum wells and barriers were grown at different temperatures (InGaN wells
112
Thrush, Boyd
~720°C, barriers ~870°C) with appropriate pauses between the two to allow for the adjustment of the temperature. |
0.2|xm GaN:Mg ([p]~3x1 0 1 7 cnr 3 )
2\im GaN:Si ([n]~3x1 0 18 cm- 3 )
1nm Undoped GaN 25nm Nucleation Layer
430nm Sapphire Substrate
Fig. 24. LED structure used for the presented production data.
Fig. 25. Susceptor/wafer key.
To determine thickness and optical emission uniformity, PL mapping of the full complement of 19 two-inch wafers was undertaken. This data was collected by an Accent RPM2000 PL mapping system fitted with a white light source to analyse the wavelength dependence of reflection due to optical interference. The thickness data is summarised for the individual wafers in columns 2 and 3 of Table 3. The positions of the individual wafers on the susceptor are identified in Fig.25. The average intra-wafer thickness uniformity was 1.55%, whilst the interwafer standard deviation of the mean wafer thicknesses was 0.89%.
Close-Coupled Showerhead MOCVD - GaN
113
Table 3. Uniformity data from the LED process run. 1
2
1
3
4
5
6
7
8
a a Avge. Avge. PL intensity Avge sheet thickness Wafer Avge. A aA.(nm) thickness intensity res. (%) position (nm) 2 mm excl. (%) 3mm (pm) (Ohms/sq.) (a.u.) 2mm excl. excl. 0.58 125.3 464.3 3.32 0 3.63 11.12 28.1 1 1.27 125.0 6.33 457.6 1.49 27.6 3.55 2 3.54 1.29 131.8 2.72 456.1 1.35 27.7 3 1.15 125.9 2.38 458.7 1.35 27.7 3.55 4 125.0 2.88 459.7 1.75 27.6 3.57 1.30 5 1.35 119.7 27.6 3.58 4.60 460.0 1.90 1.21 127.3 457.1 27.6 6 3.90 1.26 3.58 7 1.99 124.3 3.20 458.2 1.98 27.6 3.60 3.54 1.72 125.6 3.18 457.7 27.6 8 2.30 27.7 9 123.9 4.08 458.6 2.03 3.52 1.80 122.4 458.2 1.79 27.7 10 1.71 2.76 3.53 11 1.91 125.1 3.88 457.4 1.97 27.6 3.53 12 27.6 1.70 120.0 2.89 459.9 2.01 3.55 13 3.61 1.86 119.4 2.86 1.77 27.6 460.1 14 124.9 459.2 2.19 27.5 1.83 5.01 3.60 1.71 118.4 3.93 461.3 1.97 27.5 15 3.60 16 1.69 122.4 459.3 1.95 27.4 5.10 3.60 17 1.77 116.0 5.29 460.2 2.23 27.6 3.58 18 1.65 121.9 2.32 458.0 1.67 27.5 3.58 123.4 Avge. 3.57 1.55 4.13 459.0 1.91 27.6 2.94 (%) a wafer to wafer 1.81 (nm)
9 a sheet res. (%) 1.22 0.62 0.60 0.54 0.48 0.46 0.56 0.60 0.71 0.63 0.56 0.68 0.57 0.58 0.58 0.52 0.50 0.48 0.65 0.61 0.5 (%)
Mapping of the dominant PL emission wavelength of all wafers gave a mean value of 459 nm and indicated an average standard deviation of 1.9 nm on a wafer (with a 2mm edge exclusion) as shown in column 7 of Table 3. The same exercise indicated that the standard deviation of the mean wavelengths of the wafers constituting the fully populated run was 1.81 nm. An indication of doping uniformity was obtained from sheet resistance measurements made on the structures using a Lehighton contact less profiler. This data predominantly samples the conductivity of the Si doped buffer layer. The average values of sheet resistance and the standard deviation of sheet resistance across the wafers are presented in columns 8 and 9 respectively of Table 3. On average the sheet resistance exhibits a standard deviation of around 0.6% within the wafers, whilst the
Thrush, Boyd
114
standard deviation of the mean values of all the wafers is around 0.5%.The run-to-run reproducibility in terms of the optical properties was evaluated by collecting peak PL wavelength data over a series of 22 identical LED production runs. An in-situ susceptor baking procedure was used between every run. The data is shown in Fig. 26. These 22 runs gave a mean global average wavelength of 466.6 nm and a standard deviation of those global averages of 1.18 nm.
480
62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83
Run Number Fig. 26. Run-to-run peak wavelength reproducibility of a blue LED process.
7. Acknowledgements The authors are indebted to members of the Metallurgy and Materials Department of the University of Cambridge with whom we have collaborated to provide much of the data contained in this chapter. We would particularly wish to recognise the contributions of Dr. Menno Kappers, Ms Mary Vickers, Mr. Clifford McAleese, Mr. Matt Charles, Mr. David Rayment and Professor Colin Humphreys in this respect. We also wish to acknowledge the input of those customers of Thomas Swan Scientific Equipment Ltd. with whom we have valuable interchange and in a particular to Dr. Hyeongsoo Park, of EPIPLUS Co. Ltd., Korea for the provision of the 19x2" growth data. We are also grateful to Elsevier
Close-Coupled Showerhead MOCVD - GaN
115
for permission to reproduce Figs. 1, 3 and 13, originally used in Optoelectronic Devices: III-VNitride, M. Henini and M. Razeghi (Eds). References 1. H.P. Maruska and J.J. Tietjen, "The preparation and properties of vapor-deposited single crystalline GaN", Appl. Phys. Letts. Vol. 15, 327 (1969). 2. M. Manasevit, F.M. Erdman and W.I. Simpson,. "The use of Metal organics in the Preparation of Semiconductor Materials IV", The Nitrides of Aluminium and Gallium. J. Electrochem. Soc. Vol. 118, 1864 (1971). 3. S. Nakamura, M. Senoh and T. Mukai. "High-power InGaN/GaN doubleheterostructure violet light emitting diodes", Applied Physics Letters, Vol. 62, 23902392(1993). 4. EJ.Thrush, C.G. Cureton and A.T.R. Briggs. "MOCVD grown InP/InGaAs structures for optical receivers". Jnl. Cryst. Growth, Vol. 93, 870-6 (1988). 5. K.F. Jensen. "Handbook of Crystal growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics", North Holland, (Editor D.TJ .Hurle), 574 (1994). 6. H. Schlichting, "Boundary Layer Theory", Mc-Graw Hill, New York, 1960. 7. T.G. Mihopoulos, S.G. Hummel and K.F. Jensen. "Simulation of flow and growth phenomena in a close-spaced reactor", Jnl. Cryst. Growth, Vol. 195, 725-732 (1988). 8. R.H. Moss and J.S. Evans. "A new approach to MOCVD of Indium Phosphide and Gallium-Indium Arsenide", Jnl. Cryst. Growth, Vol 55, 129 (1981). 9. A. Thon, T. F. Kuech, Appl. Phys. Lett. 69, 55 (1996). 10. T. Mihopoulos, "Reaction and transport processes in OMCVD: Selective and group-Ill Nitride growth", PhD thesis, MIT, (1999). 11. J.R. Creighton, G.T. Wang, W.G. Brieland, M.E. Coltrin. "Nature of parasitic chemistry during AlGalnN OMVPE", Jnl. Cryst. Growth, Vol 261, 204 (2004). 12. M. Dauelsberg. Private Communication, (2000). 13. R.P. Pawlowski, C. Theodoropoulos, A.G. Salinger, T.J. Mountziaris, H.K. Moffat, J.N. Shadaid, E.J. Thrush. "Fundamental models of the metalorganic vapor-phase epitaxy of gallium nitride and their use in reactor design", Jnl. Cryst. Growth, Vol. 221, 622-628 (2000). 14. S. Nakamura. "In Situ Monitoring of GaN Growth Using Interference Effects", Japan J. Appl. Phys. 30, 1620 (1991). 15. L. Considine, E.J. Thrush, J.A. Crawley, K. Jacobs, W. Van der Strict, I. Moerman, P. Demeester, G.H. Park, S.J. Hwang, J.J. Song. "Growth and in-situ monitoring of GaN using IR interference effects", Jnl. Cryst. Growth, Vol 195, 192-198 (1998). 16. S. Figge, T. Bottcher, S. Einfeldt, D. Hommel. "In Situ and Ex Situ Evaluation of the Film Coalescence for GaN Growth on GaN Nucleation Layers", Jnl. Cryst. Growth, Vol 221, 262 (2000).
116
Thrush, Boyd
17. R. Datta, M.J. Kappers, M. Vickers, J. Barnard, C.J. Humphreys. "Growth and characterisation of GaN with reduced dislocation density", Superlattices and Microstructures, Vol. 36, 393-401 (2004). 18. Z. Bougrioua, I. Moerman, N. Sharma, R.H. Wallis, J. Cheyns, K. Jacobs, E.J. Thrush, L. Considine, R. Beanland, J.-L.Farvaque, C. Humphreys. "Material Optimisation for AlGaN/GaN HFET applications", Jnl. Cryst. Growth, Vol 230, 573-578 (2001). 19. K. Haberland J.T. Mullins, T. Schenk, T. Trepk, L. Considine, A. Pakes, A. Taylor, J.T. Zettler. "First Real-Time True Wafer Temperature and Growth Rate Measurements in a Close-Coupled Showerhead MOVPE Reactor During Growth of InGa(AsP) ", Proceedings of the International Conference on InP and Related Materials, 2003, Santa Barbara, IEEE Catalog Number: 03CH37413, ISSN: 10928699, 44-47 (2003). 20. Knauf, D. Schmitz, G.Strauch, H. Juergensen, M. Heyen, A. Melas. "Comparison of Ethyldimethylindium (EDMIn) and Trimethylindium (TMIn) for GalnAs and InP growth by LP-MOVPE", Jnl Cryst. Growth, Vol. 93, 34 (1988). 21. P.K. York, K.J. Beerlink, J. Kim, J.J. Alwan, J.J. Coleman, CM. Wayman. "Metalorganic chemical vapor deposition of InGaAs-GaAs-AlGaAs strained-layer quantum well lasers", Jnl Cryst. Growth, Vol. 107, 741, (1991). 22. E.J. Thrush, C.G. Cureton, J.M. Trigg, J.P. Stagg, B.R. Butler. "Reactor design and operating procedures for InP based MOCVD". Chemtronics, Vol. 2, 62-68 (1987). 23. J.P. Stagg,. "Reagent concentration measurements in metal organic vapour phase epitaxy (MOVPE) using an ultrasonic cell", Chemtronics, Vol. 3, 44 (1988). 24. J.P. Stagg, J. Christer, E.J. Thrush, J.A. Crawley. "Measurement and control of reagent concentrations in MOCVD reactors using ultrasonics", Jnl. Cryst. Growth Vol. 120, 98-102 (1992). 25. S. Hubbard, G. Zhao, D. Pavlidis, E. Cho, W. Sutton. "Optimisation of GaN Channel Conductivity in AlGaN/GaN HFET structures Grown by MOCVD", Paper El 1.11 Presented at MRS Fall conference, Boston MA, (2004). 26. P. Gibart. Metal Organic Vapour Phase Epitaxy of GaN and Lateral Overgrowth. Reports on Progress in Physics 67 (5): 667-715 (2004). 27. E.J. Thrush, M.J. Kappers, P. Dawson, M.E. Vickers, J. Barnard, D. Graham, G. Makaronidis, F.D.G. Rayment, L, Considine, C.J. Humphreys. "GaN/InGaN quantum wells grown in a Close-Coupled showerhead reactor. Jnl. Cryst. Growth", Vol. 248, 518-522(2003). 28. E.J.Thrush, M.J. Kappers, P. Dawson,, D. Graham J.S. Barnard, M.E. Vickers, L.Considine, J.T. Mullins, C.J. Humphreys. "GaN-InGaN quantum wells and LED structures grown in a close coupled showerhead (CCS) reactor", Phys. Stat. Sol. (a) 192, No.2, 354-359 (2002). 29. A.R. Boyd, L. Considine, O. Feron, J.T. Mullins E.J. Thrush, M.E. Vickers, C. McAleese, P. Dawson, D.M. Graham, H. Park. "Performance of a 19x2" GaNbased MOCVD System", Technical Digest of CS-MAX 2002, 246-248 (2002).
CHAPTER 4 MOLECULAR BEAM EPITAXY FOR III-N MATERIALS
Haipeng Tang1 and James B.Webb2 ;
Institute for Microstructural Science, National Research Council Canada M-50 Montreal Rd. Ottawa, Canada K1A 0R6, E-mail:
[email protected] Steacie Institute for Molecular Science, National Research Council Canada, 100 Sussex Drive, Ottawa Canada K1A 0R6 Although current commercial production of GaN based LEDs and LDs is dominated by the MOCVD technique, the emerging market demand for GaN electronics could see GaN-MBE technology becoming an important production tool for future generation RF and microwave electronic components. This chapter looks at the recent advances in molecular beam epitaxy of III-N materials for high-speed electronics applications. Two variations of the nitride MBE technique, ammoniaMBE and plasma-assisted MBE, are covered with reference to key growth challenges such as the growth of semi-insulating GaN. The advantages of the GaN MBE technology for producing high performance GaN/AlGaN HFETs such as the controlled carbon doping of semi-insulating GaN, high material purity and electron mobility, good uniformity and device scalability, and excellent small and large signal RF performance are reviewed.
1.
Introduction
Molecular Beam Epitaxy (MBE) has now reached a high level of sophistication in terms of ultra-high vacuum capability, material purity, in-situ monitoring and control. The technology of MBE has been developed over many decades and today the technique has been successfully applied to the growth of a broad range of materials, particularly group III-V semiconductor compounds. It is still a tool of 117
118
Tang, Webb
choice for many researchers for developing new material systems due to its extensive "in-situ" monitoring capabilities. Many reviews are available describing the technique of MBE1'2 and thus this chapter will be limited to a discussion of the MBE technique as applied to group IllNitride semiconductors for high electron mobility transistor(HEMT) structures. The first part of the chapter deals with MBE material growth while the latter sections describe the recent advances in HEMT structure and performance. In MBE, thermal effusion cells are typically used to evaporate high purity elements to form the compound semiconductors, although variations of MBE are now used to take advantage of liquid and gaseous sources for the group III and group V components, as well as for various dopants. Two variations of MBE specific to the Ill-Nitrides are ammonia-MBE(Am-MBE) and plasma assisted molecular beam epitaxy (PAMBE), although terms such as chemical beam epitaxy (CBE), gas source-MBE and RF-MBE are also used to describe similar techniques. Am-MBE as the name implies, uses gaseous ammonia (NH3) as the group V source and solid sources of Ga, In and Al for the group III components. Solid or gaseous dopant sources of Si and Mg are also used to achieve controlled n and p-type conductivity. PAMBE on the other hand uses N2 as the group V source. This necessitates the use of an RF plasma to crack the N2 to create highly reactive atomic N since the N2 molecule (unlike NH3) has a very high thermal stability. For many years, the GaN MBE research community was divided into two camps according to these two different techniques based on the nitrogen sources. Communication between the two camps has been difficult because the growth phenomena for the two techniques are indeed very different. However, with recent advances in both ammoniaMBE and plasma-assisted MBE (PAMBE), the specific advantages (and shortcomings) of each technique can potentially open new opportunities. 2. MBE Technology Many of the early attempts to use ammonia-MBE in conventional GaAsbased MBEs were less than successful due to the restrictions placed on the range of available growth parameters required to avoid degradation
Molecular Beam Epitaxy for III-N Materials
119
of the MBE hardware components. For instance, ammonia, is a highly corrosive gas when in contact with moisture and can also react with various metals at high temperature. As a result, ammonia-MBE requires pumping systems, substrate heaters, effusion cells and gas control systems specifically engineered to handle this. Metallic surfaces near the substrate heater are typically made from molybdenum while the heater itself is made from pyrolytic graphite which is capable of reaching the high growth temperatures used in ammonia-MBE, and as well, has good chemical stability when exposed to NH3 at high temperatures. The pumping system is typically an oil free combination of cryogenic and turbomolecular pumping followed by dry backing pumps. In addition LN2 cryoshrouding provides a tremendous increase in pumping speed for NH3 allowing flow rates of up lOOccm while still maintaining growth pressures in the range of 10"5 torr. However, a high build-up of ammonia on the cryoshroud requires desorption after several hours of continuous operation. This can be safely accomplished by blanking off the cryopump and using turbomolecular pumping on the growth chamber while the cryoshroud is warmed to RT. This procedure increases the length of time between cryopump regeneration while maintaining the integrity of the system vacuum. However, particular attention is needed to ensure safe operation of the Ga and Al effusion cells, particularly at high ammonia flow rates. In the presence of ~10"5 torr of ammonia, aluminum has a tendency to "creep" from a conventional effusion cell and typical lifetime before failure of these cells is measured in one or two short growth runs. However well designed cold-lip cells have essentially eliminated this problem and extremely long lifetimes are now obtained. A similar, although less severe problem exists for gallium, but this can also be controlled with a suitable hot lip effusion cell. Although PAMBE does not suffer from these problems, the use of N2 as the group V source restricts the maximum available flux of nitrogen at the substrate since N2 is not as readily pumped by the cold shroud as is ammonia and thus low growth pressures are more difficult to maintain at high flow rates. The associated reduced nitrogen flux, requires a lower growth temperature, but is in itself a distinct advantage when indium based compounds are required, since a lower growth temperature is needed to limit the desorption of indium from the substrate during
120
Tang, Webb
growth. The reduced cracking efficiency of NH3 at low temperatures however means that ammonia-MBE is not as well suited for the deposition of indium based compounds. Both techniques however have distinct advantages over MOVPE in that a host of in-situ monitoring techniques are available. Typical MBE systems are equipped with reflection high energy electron diffraction to monitor the surface reconstruction and growth modes of the epilayer in real time while optical pyrometry and reflectometry are used to control temperature and monitor the growth rate and epilayer thickness in real time. However, despite these in-situ techniques, one of the most difficult parameters to control is the substrate temperature particularly at high growth temperatures where thermal radiation losses are high. Uniformity across the wafer is difficult to achieve due to radiation loss at the edge of the wafer and by variations in the refractory metal coatings applied to the back of the substrate. Unlike Si and other III-V substrate materials, the substrates commonly employed in GaN epitaxy (namely: sapphire and SiC) are essentially transparent and thus directly heated wafers require a refractory metal coating such as molybdenum, sputter deposited onto the back surface of the wafer to ensure adequate absorption of the heater radiation. Relatively minor changes in coating properties can result in changes in wafer emissivity, which in turn results in changes in the temperature determined using optical pyrometry. Temperature control during growth is excellent, but run-to-run reproducibility is often compromised since the temperature "window" for optimal material quality is quite narrow. Initially nitrogen plasma sources were very inefficient at producing a sufficient group V flux to stabilise the surface of the epilayer at high growth temperatures and thus PAMBE growth temperatures were limited to lower growth temperatures and relatively low growth rates. AmmoniaMBE however did not suffer from a low group V flux and as a result much higher growth temperatures (closer to the 1100°C used in MOVPE) could be employed while still maintaining relatively high growth rates (>lum/hr). This basic difference between the two techniques has important consequences for the growth of GaN-based materials. In PAMBE growth is carried out at temperatures close to 700°C and in Garich conditions (growth rate controlled by the group V flux - unlike
Molecular Beam Epitaxy for III-N Materials
121
conventional MBE of GaAs for instance) while for ammonia-MBE growth is carried out at temperatures around 900°C under nitrogen-rich conditions(growth rate controlled by the Ga-flux- similar to conventional MBE of GaAs). These two growth regimes have important consequences with regards to surface morphology (ammonia-MBE exhibits rougher surfaces) and dopant incorporation (see next section on carbon doping). 2.1. Ammonia vs Plasma Assisted MBE The PAMBE technique offers growth at lower temperatures (550°C 800°C) and can achieve atomically flat surfaces under Ga rich growth conditions. Surface and interface smoothness are critical for many device structures such as quantum well LEDs, HBTs, and resonant tunneling superlattice structures. The plasma nitrogen source has proved to be very effective for indium incorporation into GaN, a marked advantage for the growth of InGaN based optoelectronic and electronic devices. However, PAMBE performs best when high quality GaN templates are employed. Direct growth of GaN on foreign substrates often shows crystallinity and impurity concentrations that are very sensitive to substrate treatment and a surface polarity that is sensitive to the nucleation layer preparation conditions.4'5 In contrast, the ammoniaMBE technique grows at higher temperatures (850°C - 920°C) and readily obtains Ga-polarity, low-defect-density, and high-electronmobility GaN layers on sapphire and SiC substrates.6'7 In addition, the quality of the ammonia- MBE GaN layers shows little sensitivity to substrate and buffer layer conditions. However, the ammonia-MBE GaN layers typically exhibit significant surface roughness as a result of strong surface faceting inherent to the ammonia-MBE process. The ammonia source is also inefficient for InGaN growth, as the latter requires low growth temperature, which severely limits the ability to thermally crack the ammonia molecules. Tang et.al.8 recently demonstrated that PAMBE grown GaN can be significantly smoother than the underlying ammonia-MBE grown GaN templates. This is an important observation since Am-MBE, unlike PAMBE, is excellent for growing semi-insulating GaN template layers
122
Tang, Webb
(see next section). Fig.l shows the surface morphology of the starting template layer and the surface after the PAMBE growth under Ga rich conditions. The ammonia-MBE template shows significant roughness (RMS roughness of 29nm) with a pronounced faceted grain structure. However, the surface becomes very smooth (RMS roughness of 0.78nm) with clearly resolved atomic terraces after the PAMBE grown GaN layer is grown. The III/V ratio for the PAMBE grown layer was Ga rich, with gallium droplets visible on the surface of the film. Previous studies showed that under gallium droplet forming conditions, the growth of GaN by PAMBE is predominantly via a two-dimensional growth mode, leading to smooth surfaces with clearly defined atomic-steps.3 What was surprising from Tang et al's study was that the diffusion of Ga adatoms was efficient enough to fill in and smoothen out the large facetted valleys on the surface of the ammonia- MBE GaN template.
Fig. 1. AFM micrograph of a carbon-doped GaN layer (l|im thick) grown by plasmaassisted MBE on top of a carbon-doped GaN template grown by ammonia-MBE.8
Using a combination of ammonia and plasma assisted MBE, high quality AlGaN/GaN superlattices were grown on insulating templates. Good surface and interface quality is observed by AFM and x-ray diffraction studies. Fig.2 shows the x-ray diffraction spectrum around the (0002) reflection peak for a 10 period Al0.3Gao.7N (lOnm) / GaN (lOnm) superlattice grown by PAMBE at 700°C. Satellite peaks up to fifth order are clearly seen, indicative of good interface quality. The smooth
Molecular Beam Epitaxy for III-N Materials
123
interfaces are of benefit for growth of AlGaN/GaN HEMTs which will be discussed later. 105 -
Intensity
&
10
-
Mo
10 3 -
-1|
o
zj
GaN (0002)
1 1
-2
o
^
4
+1
+2
/ \J\
-3 -5 -4
10° 10-' -
\
i
i
i
1
16
i —r-
~!
1
I
I
,
17
,
I
18
1
,
I
1
,'
19
6(degree)
Fig. 2. XRD data for a 10 period AlGaN/GaN superlattice grown by plasma-assisted MBE on an in situ GaN/sapphire template prepared by ammonia-MBE.8
3. Semi-insulating GaN
3.1. Growth of Semi-insulating GaN AlGaN/GaN high electron mobility transistors (HEMTs) require a highly resistive GaN base layer to isolate the 2DEG channel from any parasitic leakage. Good isolation greatly improves the pinch-off characteristics of the transistors and greatly improves their R.F. performance. Growth of semi-insulating GaN, however, has not been straightforward since most GaN epilayers grown by techniques such as metalorganic vapour phase epitaxy (MOVPE), molecular-beam epitaxy (MBE), and hydride vapor phase epitaxy(HVPE), have a significant n-type residual carrier density. This background n-type doping arises from both native defects, which are plentiful in GaN films grown on substrates with large lattice
124
Tang, Webb
mismatch, and from residual impurities such as silicon and oxygen. Compensation or removal of these background n-type dopants is essential in order to obtain insulating or highly resistive layers. A number of approaches have been tried to compensate the residual donor levels such as, varying the growth conditions to change the profile of the structural defects and/or residual impurities in the films, and introducing various dopants to provide compensation.911 For example, in MOVPE processes, semi-insulating GaN could be obtained for a growth pressure of 76 torr at low NH3 flow rates.9 However the observed increase in resistivity for decreasing NH3 flow rates, was not consistent with the expected increase in shallow donors-resulting from the increase in N vacancies at low Willi ratios. In contrast, highly resistive GaN layers were obtained using reactive MBE by varying the III/V ratio and the growth temperature.11'12 Unlike the MOVPE results, the highest resistivity material was obtained at high nitrogen fluxes (high Willi ratio). Based on stoichiometry arguments and the electrical and PL data, the residual shallow donors, shallow acceptors and deep centres were attributed to the N vacancy, Ga-antisite/Ga-vacancy complex and the Gaantisite respectively. However, both MOVPE and PAMBE methods placed severe constraints on the range of available growth parameters, provided only limited control over the native defects and residual impurities, and thus reproducibility was difficult to achieve. 3.2. Carbon Doping Clearly a method to reproducibly grow semi-insulating GaN was needed. In an early paper by Boguslawski et.al.13 it was reported that their quantum molecular dynamics calculations showed that carbon in GaN and A1N would be amphoteric giving essentially similar concentrations of CN and Ccatjon, but with a small preference for the incorporation of carbon on the nitrogen site. In 1999, Webb et.al.14 demonstrated that carbon could be used as a dopant to obtain semi-insulating GaN. GaN grown by ammonia-MBE was shown to become highly resistive when doped with carbon using a gaseous methane ion-beam source. In the absence of cracking via the ion beam gun, it was found that methane was essentially inert and no carbon incorporation was observed [see Fig.3].
Molecular Beam Epitaxy for Hl-N Materials
125
Fig. 3. SIMS carbon profile as a function of depth from the surface of the epilayer (a) for a sample grown with fixed carbon ion energy and with the methane flow and the ion gun turned on and off during the growth; (b) for a sample grown with various anode voltages of the ion source. Note that film growth is from right to left.14
However precisely controlled concentrations of carbon could be introduced into the epilayers when the CH4 was cracked in the ion gun plasma, with the result that resistivities >108Q-cm could easily be obtained [Fig.4]. Of particular note was the lack of any memory effect in the MBE chamber from the dopant source, and the demonstration that a high mobility 2DEG could be grown on the C-GaN template with no observable parasitic conduction paths. Recently additional compounds such as CC14, CS215 and CBr416 were found to be effective sources for the introduction of controlled amounts of carbon into PAMBE GaN layers. PAMBE GaN doped with carbon using these sources resulted in insulating material, however the dependence of the resistivity with increasing carbon incorporation was unpredictably different from that prepared by ammonia-MBE. This will be discussed in later. The electrical properties of the carbon doped layers have been studied by a number of authors.15'17'18 Despite the high resistivity of the layers-
126
Tang, Webb
which has made electrical measurements difficult to performconductivity activation energies of 0.3eV to 0.7eV were observed for the PAMBE prepared samples (activation energy increasing with increasing carbon incorporation).15 Tang et.al.17 observed an activation energy of 0.9eV for highly resistive ammonia-MBE layers >108Q-cm, however in a later report for samples prepared under similar conditions, activation energies EA ranging from 0.74 to 1.2eV were observed, dependent on carbon concentration.18 In addition, TSC measurements on these samples indicated a number of clearly defined trap levels that correlated well with DLTS measurements. Traps designated as Ai (~0.90-1.0eV) dominated in GaN with lower concentrations of [C] but as [C] increased, traps A (0.67eV) and B (0.58eV) reduced, and a new trap Bx (0.50eV) appeared. It was suggested that traps A b A and B, and B x were related to Nh VGa, and CGa (or CGa-CN), respectively.
1.4
1.6
1.8
1000T(K"1) Fig. 4. Temperature dependence of resistivity and Hall-effect data for a carbon-doped GaN layer of 2 urn thickness grown by ammonia-MBE.17
Seager et.al.19'20 examined both experimentally and theoretically the role of carbon in MOVPE grown epilayers of GaN. The PL data observed for both low and high concentrations of carbon are shown in Fig.5 They observed that when the carbon concentration was less than
Molecular Beam Epitaxy for III-N Materials
127
the background level of Si in the layers, Nd-Na was close to the difference between the silicon concentration minus the carbon concentration as determined from SIMS measurements. However when the carbon concentration exceeded that of Si, the GaN layers were almost entirely compensated and Nd-Na dropped more than two orders of magnitude below that of either carbon or silicon and the layers were highly resistive. It was noted that the peak in the PL spectrum at 415nm (~3eV Figure 5) was only observed when the carbon concentration exceeded that of Si. A similar peak was also observed near 3eV in carbon doped PAMBE GaN layers,1618 and followed the same behaviour as that reported by Seager et.al. (i.e. an increase with increasing carbon concentration). In contrast, this peak was suppressed for increasing carbon concentrations for the ammonia-MBE layers, [see Fig.6] 2.5
r
n
2
r
!!
-°
;
_
_
_
J
? •f
1.C
m o 0.5
0 . 0 " * " • » « - ' " ——S' • " • " '.'.-.• ••*>•'' •' • - * * * — . . . . 1 300 400 600 600 700 400 WAVELENGTH (nm)
Fig. 5. Cathodoluminescnece intensity vs wavelength for low carbon concentration (dashed Line) and for a carbon concentration greater than the background Si density (solid line). The dashed curve has been multiplied by a factor of 20. (Reprinted with permission from C.H.Seager, A.F.Wright, J.Yu, and W. Gotz, Journal of Applied Physics, 92, 6553(200) Copyright 2002, American Institute of Physics).
Based on Density-functional-theory (DFT) calculations, Seager et.al. were able to provide a straightforward explanation for the observed behaviour. Consistent with the work of Boguslawski et.al.13 the
Tang, Webb
128
incorporation of carbon on the nitrogen site CN is found to be favoured under all growth conditions when the Fermi level was in the upper half of the band gap (when [C]« [Si]) and thus carbon will compensate the Si donors. As the carbon concentration approaches that of Si, the Si donors become increasingly compensated and the Fermi level shifts toward the middle of the gap resulting in an increase in electrical resistivity. When the carbon concentration exceeds that of Si, the material becomes semiinsulating due to self compensation of the carbon species. They noted that the self-compensation depended on growth stoichiometry. When growth occurs under N-rich conditions (as in the case of ammonia-MBE grown GaN), CN and CGa incorporate in roughly equal numbers which results in a Fermi level pinned near midgap, while for Ga-rich conditions (PAMBE) the CGa is preferred and increasing amounts of carbon results in a decrease in resistivity. !
20mT «mT
SmT 1mT NoCBr,
'• ' \
*•*».,
W*A '
%«L'
1,5 2,0 2,5 3.0 3.5 4.< Energy (eV)
Energy (eV)
Fig. 6. PL spectra for C:GaN samples prepared by (a) PAMBE and (b) ammonia-MBE with increasing concentrations of C (SI to S4: l-20mT). (a)Reprinted with permission from D.S.Green, U.K.Mishra and J.S.Speck, J.of Appl.Physics,95,8456(2004).Copyright 2004, American Institute of Physics, (b) Ref.18.
Tang et.al.17 proposed a grain boundary model to explain their carbon doped material, although the more recent data of Fang et.al.18 concluded that a model similar to that proposed by Seager would be consistent with their results. The DFT calculations would also explain the anomalous preferred behaviour of carbon incorporation as donors
Molecular Beam Epitaxy for IIJ-N Materials
129
when the carbon concentration increases above the background Si concentration, as observed by Armitage et.al.13 for PAMBE grown GaN using CC14 and CS2. 3.3. Other Dopants for Semi-insulating GaN Although carbon has been more widely studied than any other dopant for compensation of residual n-type carriers in GaN, researchers have also examined the use of Mg (used as a p-type dopant)21 as well as Zn22 and more recently Fe.23'24'25 In MOVPE grown GaN, doping with Mg results in high resistivity GaN epilayers. Annealing after growth activates the Mg dopant resulting in p-type conduction. Thus Mg doped epilayers can be effectively used in HEMT devices provided the temperature range of operation is below that of the dopant activation temperature. Unfortunately this process is not effective in MBE grown epilayers, since post deposition annealing to activate the Mg acceptors is not required. Zinc has also been used to deposit insulating GaN (1012fi-cm at 300K) on SiC substrates by HVPE. Resistivity measurements of the layers showed two distinct thermally activated regions with energies of 0.18eV and 0.7 eV. The 0.7 eV activation energy observed for temperatures below 300K was attributed to the Zn acceptor level while the origin of the 0.18eV level remained unclear. In the case of MBE prepared GaN, no reports on the use of Zn for this application have been published, however the high vapour pressure of Zn would certainly require increased precaution to limit cross contamination of other sources and components in the MBE environment. Iron doping has also been studied, although very little new data has emerged since the first report by Heikman et.al.23 on the growth of insulating GaN prepared by MOVPE using ferrocene (Cp2Fe, bis(cyclopentadienyl)iron). Samples grown with an Fe concentration of 1.3 x 1019cm"3 had a resistivity of 7 x 109Q-cm and excellent crystallinity of the layers was maintained. However the Fe precursor showed memory effects similar to Mg, making abrupt doping difficult to achieve. Vaudo et.al.24 reported the use of iron chloride in HVPE grown epilayers. The resistivity and PL data for these layers is shown in Fig.7 A single activation energy of 0.5 leV was observed while the PL data showed a
Tang, Webb
130
clear "green" band associated with the Fe impurities(as opposed to the normal "yellow" and "blue" bands observed in C:GaN). MOVPE grown Fe doped layers were also reported by Polyakov et.al.25 but no mention of the Fe precursor used to dope the layers was given. Micro cathodoluminescence data showed a behaviour different from that of Vaudo et.al. and indeed a "blue" emission band near 3eV was observed, suggesting possible carbon incorporation in the layers. At present, Fe doping has not been reported in MBE grown GaN. However, the very effective use of carbon doping in preparing these insulating layers by MBE, has essentially made the search for an alternative dopant unnecessary.
2.6 3,0 &wj)r(»V)
2.0
2.2 2.4 26 1000/T (K1)
2.8 3.0
Fig. 7. Temperature dependence of resistivity and the observed PL spectra from a semiinsulating iron-doped GaN sample at 6 K Ref.24.
4.
Electrical Characteristics
4.1. Polarization Induced Two Dimensional Electron Gas The two dimensional electron gas associated with a GaN/AlGaN heterostructure is largely induced by the polarization charges at the interface.
Molecular Beam Epitaxy for I1I-N Materials
131
Wurtzite GaN and AlGaN crystals are polar along the c-axis. For a GaN/AlxGai_xN heterostructure grown with Ga polarity (i.e. terminating with Ga or Al atoms), the total polarization charge at the interface is the sum of the spontaneous polarization charge and the piezoelectric charge: AP = APspon APpiezo x[Pspon v(AIN)Pspon (GaN)\ spon + "-" piezo =-~l •" / * sport' + 2x
a(AlN)-a(GaN)( a(GaN)
'31
c
" 13 ^ C 33
r
(4.1)
where a, e, and C denotes the lattice constants, the piezoelectric coefficients and the elastic constants, respectively. The polarization charge is immobile charge. Eq. 4.1 shows that this charge increases almost linearly with the Al concentration x in the barrier. Note that e31, £33, C13, C33 are functions of the Al concentration. Calculations show that a free electron gas of similar charge density to that of the fixed polarization charge is induced at the interface, nearly independent of the doping level of the AlGaN barrier [26]. The strong polarization effect is a crucial factor in the design of GaN/AlGaN HFET structures. Figure 8 (a) shows the sheet carrier density versus the Al concentration for a series of GaN/AlGaN HFETs grown by the ammoniaMBE technique. A strong correlation between the carrier density and the Al content is clearly revealed. Therefore, the 2DEG density is almost entirely dictated by the polarization effect, and is increased by increasing the Al content in the barrier. However, the AlGaN barrier is under a tensile strain. Catastrophic structural relaxation occurs if the strain exceeds a critical threshold. Figure 8 (b) shows that the carrier mobility drops abruptly at carrier densities exceeding 1.9 xlO!3cm"2. This threshold density corresponds with an Al concentration of about 35% for barrier thickness from 15nm to 25nm. Strain relaxation in the form of cracks or micro-cracks was observed in samples with larger than threshold strain. The sheet carrier density is an important parameter relevant to the current and power handling capability of the devices. While this parameter should be maximized by using a high Al concentration, it has to be balanced with the increased alloy scattering and risk of structural
132
Tang, Webb
instability associated with the high Al concentration. As illustrated in Fig. 8b, the carrier mobility shows a clear decline with increasing carrier density, indicating the effect of alloy scattering and interface roughness scattering. Catastrophic failure following thermal annealing was observed in devices with Al content very close to the threshold value. The design rule, therefore, is to maximize the carrier density but well within the relaxation limit.
(A
E o
CM
CO
E o
100 0.0
(a)
0.1
0.2
0.3
1
0.4
Al concentration (%)
(b)
2
A/ S (10 1 3 crrf 2 )
Fig. 8. (a) sheet carrier density versus Al concentration in the barrier, and (b) carrier mobility versus sheet carrier density, for a series of GaN/AlGaN HFET layers grown on sapphire substrates by ammonia MBE (Unpublished data by authors).
4.2. Reproducibility and Uniformity The application of GaN/AlGaN HFETs in microwave power amplifiers and modules requires large periphery devices. To build practical modules and circuits, it is important to be able to scale up the small gate width HFET devices with high power density to high power large periphery devices. The material uniformity across the wafer and the wafer-to-wafer reproducibility is essential for achieving these applications. In principle, it is easier to obtain good wafer uniformity and reproducibility with the MBE technique than with the MOCVD technique because of simpler, more precise control of the supply and incorporation of the material species.
133
Molecular Beam Epitaxy for III-N Materials 75%
wo CM
S» 1000 1000
l
I
25%
100 0 (a)
i
1
1
r
10
20
30
40
50
(b)
Sample Number #
tt 15 Run number
Intentional variation of sheet resistance
VV»
0
(c)
Run number
Fig. 9. run-to-run reproducibility of electrical characteristics for growth of GaN/AlGaN HFETs by (a) ammonia MBE27 © 2 0 01 IEEE, (b) and (c) plasma-assisted MBE, Ref.28.
The MOCVD technique is more prone to irregularities in the more complex gas flow dynamics and gas phase reactions, which can cause microscopic non-uniformity and compromise the reproducibility. Molecular beam epitaxy has been historically the preferred technique for the commercial production of AlGaAs/InGaAs/GaAs HFETs epi-wafers. Although the MOCVD technique has been the overwhelming production tool for GaN-based LEDs and laser diodes, the MBE technique has proved to be a highly competitive technique for the production of GaNbased electronic devices. Both the ammonia-MBE and plasma-assisted MBE techniques demonstrated good uniformity and reproducibility for producing GaN/AlGaN HFETs.
134
Tang, Webb
Figure 9 (a) shows the statistics of room temperature mobility of all 50 GaN/AlGaN HFETs grown on sapphire wafers by ammonia- MBE over one year period. About 75% of the growth experiments yielded mobilities higher than 800cm2/Vs. The yield of high mobility structures is quite satisfactrory considering that the statistics include samples of various structures grown under different conditions. Figure 9 (b) shows the run-to-run reproducibility of GaN/AlGaN HFETs grown by plasma-assisted MBE on SiC wafers.27 For 23 consecutive growth runs, a room temperature Hall effect mobility of approximately 1200cm2/Vs was consistently obtained. Figure 9 (c) shows the run-to-run reproducibility of the sheet resistance of the HFETs grown on SiC wafers by plasma-assisted MBE.28 The yield and reproducibility is excellent for a total of 113 wafers.
30
20
10 -
see
tear
1S0O
Fig. 10. Maximum drain current density across a quarter of a 2in. GaN/AlGaN HFET sample.30
GaN/AlGaN HFETs with good electrical characteristics have also been successfully grown on resistive silicon substrates using the ammonia-MBE growth technique. The mobility of the HFET-on-silicon wafers is consistently higher than 1000cm2/Vs, with the highest reported value reaching 1600cm2/Vs.29 Good uniformity of the electrical characteristics across the wafer suitable for fabrication of microwave integrated circuits (MICs) has been
Molecular Beam Epitaxy for III-N Materials
135
demonstrated using the MBE technique. The uniformity was characterized by comprehensive measurement of the maximum drain current of devices across the wafer. The characterization results for an HFET wafer grown by plasma-assisted MBE are presented in Fig. 10 as a histogram of the measured drain currents.30 The variation in /maXis less than 5% . This level of uniformity is comparable to what is found in the III-V (GaAs and InP) device technologies. 4.3. Low Temperature Mobility The room temperature mobility of a high density GaN/AlGaN 2DEG is limited predominantly by the polar optical phonon scattering, and shows little impact from defects and impurities. The screening effect due to the high density of a 2DEG further reduces the influence of the defects. Therefore, the room temperature mobility is not a good indicator of the material quality of the HFET structures grown by the various techniques. At extreme low temperature and for a low-density 2DEG, however, the lattice vibration is no longer the dominant carrier scattering mechanism. Instead, the electron interactions with various structural imperfections (dislocations, impurities, alloy randomness, interface roughness etc.) emerge as the important mobility limiting scattering sources. The low temperature mobility is a more accurate reflection of the material purity and perfection that is attained by any particular growth technique. In this respect, the molecular beam epitaxy technique has proved to be the leading technology for producing extremely high quality GaN/AlGaN 2DEG structures. In fact, the only GaN/AlGaN 2DEGs with low temperature mobility exceeding 50000cm2/Vs reported in the literature have all been grown by MBE.31,32 The advantages of the MBE technique include: low residual impurity incorporation, layer thickness uniformity and precision, and sharp interface. Figure 11 shows the temperature dependent Hall measurement data for a GaN/AlGaN 2DEG grown by plasma-assisted MBE on an MOCVD grown GaN/sapphire template.31 The mobility of this structure was 24000cm2/Vs at 77K, and increased to 51700cm2/Vs at 13K.
136
Tang, Webb
25000
T
|"ri'"y»»|™if|-|f"1,f-,,|
j"'"'j|l"-'j.~
£T j
|
• y i - j j r . - - j j ~ |nm-
\ 15000
d = 31 nm T=13K
.IT 10000
o 5000 0 0.05
0.1
, i X 0.15 0.2 0.25 Alloy composition x
_L 0.3
0.35
Fig. 11. Temperature dependence of the Hall mobility and the sheet carrier concentration in the Al 0.o9 Ga 0 9 1 N/GaN heterostructure with 16 nm AlGaN barrier grown by PAMBE on an MOCVD GaN/sapphire template Ref 31.
The low temperature mobility was found to be a strong function of the Al concentration in the barrier. The ultra high mobility was achieved only in samples with small carrie density and Al concentration. As shown in Fig. 12, the low temperature mobility drops sharply with increasing Al concentration in the barrier. Such a behavior rules out the importance of scattering by charged impurities or dislocations in these high quality structures as the field of these charged centers would be increasingly screened out at higher 2DEG densities. Instead, it indicates that scattering by alloy disorder potential and interface roughness is the most important scattering mechanism. As the 2DEG density increases, the electron wavefunction is closer to the interface, with a portion extended into the AlGaN barrier. The mobility decreases as a result of the increased alloy scattering and roughness scattering. For the sample in Fig. 12, the measured mobility of 51700 cm2/Vs is approaching the calculated low temperature mobility limit of 80000 cmVVs due to alloy scattering.33 This means these MBE grown GaN/AlGaN structures are of excellent material purity, interface quality and low defect density.
Molecular Beam Epitaxy for III-N Materials
100 M~*
25 * . J1
m
z
•
,
10 610*
,,,
— —
j:f'"
,,J3: 510* :
i/
-
y—+ ,!.!*'
\
;'
,,c*
\
,n'
5 -:
*
/
•
310* ~
-! 2 10* 5
:
* - yfcti'
: 110*
«
-/*-,., .
^*""'-*-Hfr™»_».^-#—# ••....,.,».i„,.._^
-JJtt-.'.
410*
\
../
X
CD
i
y
ts 10
I
|
t
x = o,o@ d = 16nm
' *. \ 1g • \ •
8
Tm
—p-TT
137
•• • • - - • - •
•
10 100*0/UK"1)
-
J
' '•••"
100°
Fig. 12. Low-temperature electron mobility in the Al x Ga !_x N/GaN 2DEG structures as a function of alloy composition x.31
Using the plasma-assisted MBE technique, an AlGaN/GaN 2DEG with a record mobility of 75000cm2/Vs at a sheet carrier density of 1.5xl012cm"2 has been grown successfully on a HVPE GaN template with a dislocation density of 2xl08cm~2.34 At such low dislocation density, the scattering by the dislocations is no longer a dominant scattering effect. The measured mobility approaches the calculated mobility limit for alloy scattering. It should be noted that such high mobility 2DEG structures grown by plasma-assisted MBE were obtained uniquely under Ga rich growth conditions and exhibited atomically smooth surface and interface. Growth under nitrogen rich conditions, however, leads to rough surface morphology and significantly reduced mobility. Nearly defect free AlGaN/GaN 2DEGs have been grown by ammonia- MBE on semi-insulating bulk GaN crystals. A mobility of 60000cm2/Vs at 1.5K for a sheet carrier density of 2.4x1012cm~2 has been reported.32 The dislocation density was lower than 2xl08cm"2 in this case, again showing that the mobility was not limited by dislocation scattering in these high quality structures.
138
Tang, Webb
Compared with growth using GaN templates as substrates, direct growth of AlGaN/GaN 2DEGs on sapphire or SiC substrates by MBE is subject to a higher degree of interface roughness and dislocation density. An AlGaN/GaN 2DEG with mobility of 14800cm2/Vs at 1.2K for a carrier density 4xl012cm"2 has been grown on a sapphire substrate by ammonia-MBE.35 Interestingly, this sample exhibited a huge surface roughness (RMS value of 60nm) and very large grain sizes. The large grain size correlates with a small dislocation density. The sample was grown under conditions favorable for dislocations reduction but at the expense of surface smoothness. The defects are major sources of scattering in these structures. 4.4. Magnetotransport Properties Important physical parameters for AlGaN/GaN 2DEG structures such as the carrier concentration, the scattering times, and the effective mass can be extracted from low temperature magnetotransport measurements. The observation of Shubnikov-de Haas (SdH) oscillations in the longitudinal magnetoresistance 7?^ and quantum Hall effect in the transverse magnetoresistance R^ is also an important proof of the existence of a quantized two-dimensional electron gas. The oscillatory part of the longitudinal resistance is expressed as.36 AR^
= 4R0X
(r)exp(
- i / «
rJcos(
ITTF
where rq is the quantum scattering time, (Oc -eBIrn'is
JC )
(4.2)
the cyclotron
2
frequency, e = 7Jh nlm* is the Fermi energy, and X(T) a temperature damping factor given by: X(T) = (2n2kT /fi100 GHz range. The rapid development has seen the power performance of AlGaN/GaN HFETs to surpass other technologies in the S-band and KBand, and to continuously test the potential for higher frequency operation. The nitride MBE technique has proven to be a powerful tool in the development of the AlGaN/GaN HFET devices. Using the two variations of the technique (ammonia MBE and plasma-assisted MBE), AlGaN/GaN HFETs with excellent characteristics have been fabricated on all types of the commonly used substrates: sapphire, SiC and silicon. SiC is the most suitable substrate, possessing the highest thermal conductivity and smallest lattice mismatch with GaN, but is currently subject to high wafer cost. Sapphire is the most widely used substrate at a
Molecular Beam Epitaxy for III-N Materials
145
medium wafer cost. The key drawback of sapphire is the poor thermal conductivity. Flip-chip bonding solution is needed for high power limit operation. Silicon has the largest lattice mismatch with GaN. Special growth schemes involving strain engineering using multiple layers must be used to avoid cracking of the epilayer. However, the low wafer cost, compatibility to silicon and good thermal conductivity are important advantages. The device characteristics for MBE grown HFETs on these different substrates are presented in this section. 5.1. DC and Small-Signal RF Characteristics
5.1.1 Devices Grown on Sapphire and SiC by Ammonia MBE The AlGaN/GaN HFET layers typically consist of a two micron thick, semi-insulating, carbon-doped GaN, a 0.2 micron thick undoped GaN channel layer, and an AlGaN barrier with thickness in the range of 15nm to 25nm and Al concentration in the range of 25% to 35%. Mesa isolation was achieved by CAIBE etching into the insulating carbondoped GaN layer with an etch depth of about 0.3 micron. Annealed Ti/Al/Ti/Au (20/100/45/5 5nm) was used for the source and drain contacts, and Pt/Au (100/200nm) for the gate contact. Various gate dimensions were achieved using photolithography and e-beam lithography. Fig. 17 (a) and (b) show the DC drain output characteristics and the measured fT and fMax over temperature respectively, for a device grown on sapphire substrate with a gatelength of 1 |im and a two-finger total gate width of 100u.m.39 The off-state drain to source breakdown voltage is 33V. A maximum drain current of 949mA/mm and a peak transconductance of 160mS/mm were measured. The negative output conductance at high Vds is attributed to heating, which is accentuated by the poor thermal conductivity of the sapphire substrate. At maximum drain current, the channel temperature is estimated to increase to 282°C, which causes the carrier mobility to reduce to 240cm2/Vs from its room temperature value of 1053cm2/Vs. Room temperature RF
146
Tang, Webb
characterization with Vds at 10 volts indicate device fT and fMax to be 15.6GHz and 49.4GHz respectively.
0
2
4
(a)
-50
6 Vds (volts)
(b)
0
50 100 150 200 Chuck Temperature (C)
250
Fig. 17. (a) Measured Id Vd characteristics for a 2 gate lm x 50m wide device. Vgs from +1V to -6V step =-1V. From linear region, Rs=Rd~2-mm. (b) Measured fT and fMAx o v e r temperature for the same device. Vds is 10 volts.39
0 a
4M
UPG
30CO
c '(5
a
20-
10V^IOV
^
\
V 9 =2.5V
oJ 0
5
10
15
Source-drain voltage V^ (V)
20
10
100
Frequency (GHz)
Fig. 18. DC drain output characteristics and small-signal RF characteristics for a two finger 0.15iim x 40um AlGaN/GaN HFET grown on SiC by ammonia MBE.40
Molecular Beam Epitaxy for I1I-N Materials
147
Fig. 19. SEM micrograph of the T-gate device in Fig. 18, showing a foot length of about 0.15m.40
The DC and RF characteristics of devices grown on SiC substrates with e-beam lithography defined T gate (0.15um foot) are shown in Fig. 18. The total (two-finger) gate width is 80 um in these devices. Themaximum drain current exceeds 1.2A/mm and shows negligible much smaller negative output conductance than the devices on sapphire substrates. This attests to the superb thermal conductivity of the SiC substrates. Rf measurements yielded an fT of 103GHz and fMax of 170GHz. The current-gain cutoff frequency fT scales nicely with the inverse gatelength of the devices with various gatelength values. Increasing the operation frequency of the AlGaN/GaN HFETs depends on deep submicron processing using e-beam lithography. Fig. 18 shows an SEM image of the T-gate device in Fig. 19, processed using threelayer resist, e-beam lithography. 5.1.2 Devices Grown on Resistive Silicon by Ammonia MBE Remarkable progress has been achieved in the effort to grow AlGaN/GaN HFET on silicon wafers using the ammonia-MBE technique.
148
Tang, Webb
The use of AlN/GaN interlayers balances the strain and allows to obtain crack free layers with reasonably low dislocation density (109cm"2). The highly resistive silicon substrates (p=20000ncm) minimize the capacitive charge coupling through the substrate during device operation, making it possible to obtain high cutoff frequencies. The mesa patterns were defined by reactive ion etching using a BCI3/CI2 plasma. Ti/AI/Ni/Au ohmic contacts were evaporated and alloyed at 875 °C for 30s. This resulted in an ohmic contact resistance of Rc= 0.4 0 mm. Fig. 20 shows the DC drain output characteristics for a 50(im wide single finger device with a 0.25um gate defined by e-beam lithography.41 The sheet carrier density and carrier mobility for this wafer are 9.6x1012cm"2 and 1440cm2/Vs respectively. The maximum drain current reaches 1.1 A/mm nd shows negligible decline due to thermal effect, attesting to the improved thermal dissipation with a silicon substrate. Small signal RF measurements yielded a current gain cutoff frequency fT=27GHz and a maximum oscillation frequency fMax=81GHz for a 2x50p,m device biased at Vds=15V and Vgs=-4.5V. These devices also exhibited a breakdown source-drain voltage>50V.
120©
ViaB=1V.4Va*»-1V
1000
f eoo #00-
6: Q
,
.
,
.
,
,
2
4
0
8
10
12
.
. 14
VoftV Fig. 20. IV characteristics of 50m-wide single-finger AIGaN/GaN HEMT on silicon substrate.41
Molecular Beam Epitaxy for III-N Materials
149
5.1.3 Devices Grown on Sapphire and SiC by PAMBE AlGaN/GaN HFETs with excellent DC and RF characteristics have been grown on sapphire substrates as well as SiC substrates using the plasmaassisted MBE technique. Fig.21 shows the DC drain output data and small signal RF measurement data for a device grown by PAMBE on a sapphire substrate42. The layer structure consists of 2p,m undoped GaN, 30nm undoped Al0.24Ga0.76N and a 5nm undoped GaN cap layer. Hall measurements showed a sheet carrier concentration of 1.5 x 1013cm"2 and an electron mobility of 1170cm2/Vs. The device was fabricated using inductively-coupled-plasma reactive ion etch (ICP-RIE) for mesa isolation. Ohmic contacts were formed by rapid thermal annealing of evaporated Ti/AI/Ti/Au at 860°C for 30s. The ohmic contact resistance was typically measured to be 0.35Qmm. Mushroom-shaped gates (Ni/Au) with gatelength of 0.25|Lim were fabricated using electron-beam lithography. The devices had a gate width of 100p.m.
10'
frequency, GHt
|fa|
Fig. 21. (a) DC characteristics of 0.25 x 100m AlGaN/GaN HEMT on sapphire substrate, gate bias was swept from 2 to -8V in steps of -IV; (b) short circuit current gain and maximum power gain versus frequency for typical 0.25 x 100m AlGaN/GaN HEMT on sapphire substrate, device was biased at VDS= 10V and V o s = -6.7V.42
The device exhibited a peak transconductance of 216mS/mm and a maximum drain current density of 1.39A/mm. This is the highest current value ever reported for GaN-based HEMTs on a sapphire substrate. The
150
Tang, Webb
low contact resistance might be responsible for the reduced heating effect at small drain drive voltages. Small signal S-parameter measurements against frequency derived a fT of 67GHz and fMax of 136GHz for this device. Fig.22 shows the DC and small signal RF characteristics of a device grown by PAMBE on a semi-insulating 4H-SiC substrate.43 The epitaxial films consist of a nucleation layer, 2u,m of GaN, and a 24nm AlGaN Schottky barrier layer. The epitaxial layers exhibited room temperature 2DEG sheet carrier density of 1.1 x 1013cm"2and Hall effect mobility of 1100cm2/Vs. The device is a 50nm x 200u.m device fabricated with two-finger, T-shaped gates. The source-drain breakdown voltage was measured to be >50V at a gate current density of ImA/mm. The degraded pinch-off at high drain voltages is due to the short channel effects. This deep submicron device exhibited record value of fT=110GHz with fMax>140GHz. The excellent high frequency response indicate that the AlGaN/GaN HFETs have potential for power amplification at frequencies > 20GHz. ' " " » ' ' • * *•>»•* < " > ' y f
.,-ty
MsamB *•.,,
nit
< i l.i.li,itn1uMti i.
,II,IIII«II. I .I,I,HI,.IIII.IIIM
io (b
Fig. 22. (a) Common source I-V characteristics of typical 0.05 x 200m gate AlGaN/GaN HEMT, with the gate bias starting at -7V in IV steps; (b) Short circuit current gain I h2i 12 and maximum stable/available gain (MSG/MAG) of typical 0.05 x 200m gate HEMT biased at VDS=7V and VGS=-4V.43
Molecular Beam Epitaxy for III-N Materials
151
5.2. Large-Signal RF Performance The microwave power limit can be predicted from the DC currentvoltage characteristics by the relation:
p =-i out
Q
an
v max
max
W-1/
The maximum voltage is of course limited by the source drain breakdown voltage. Breakdown voltages up to 400V have been reported for GaN devices due to the high breakdown field of 3MV/cm of GaN.44 The off-state breakdown voltage is linearly dependent on the effective gatelength (the gatelength plus twice the barrier thickness). But bulk and surface defects, doping concentration and processing conditions can also have direct impact on the breakdown characteristics. State-of-the-art AlGaN/GaN power devices typically exhibit off-state breakdown voltages in the range of 50-80V or higher. The maximum DC channel current typically exceeds lA/mm in high performance devices reported. However, in many devices, the measured RF output power is significantly lower than predicted from the DC IV characteristics. The channel current is compressed at microwave frequencies. The RF-DC dispersion is commonly observed in GaN HFETs. Elimination or reduction of the dispersion by surface passivation or improving growth quality has been extensively investigated with various degrees of success. The large-signal microwave power performance of MBE grown AlGaN/GaN HFETs has seen very dramatic progress in the past five years or so. High power performance at different frequencies has been reported from various groups working on MBE grown GaN HFETs. The best results of microwave output power from small periphery devices include: 8.2W/mm at 2GHz, 6.1W/mm at 7GHz, and 3.2W/mm at 25GHz for unpassivated devices grown by PAMBE on 6H SiC wafers[45-47]; 7.3W/mm at 10 GHz for SiN passivated devices grown by PAMBE on 4H-SiC wafers;48 8.2W/mm at 10GHz and 6.6W/mm at 20GHz for unpassivated devices grown by PAMBE on 4H-SiC wafers;28,49 6.6W/mm at 2GHz and 1.9W/mm at 10GHz for SiN passivated devices grown by ammonia-MBE on resistive silicon wafers.50'51 Obviously, the effort to grow the devices on SiC substrates by plasma-assisted MBE has produced the most remarkable progress. It
152
Tang, Webb
is also noteworthy that such high RF power was achieved on unpassivated devices. This is in contrast to the MOCVD grown devices for which surface passivation is usually required to obtain high microwave output power. Fig.23 shows the DC characteristics for a 2x0.15|xm x lOO^in Alo.3Gao.7N/GaN device and large-signal RF characteristics for a 2x0.15u,m x 50u.m device grown by PAMBE on semi-insulating 4H-SiC substrate.49 The CW load pull measurement at 20GHz yielded a maximum power density of 6.6W/mm with a power added efficiency (PAE) of 35% and gain compression of 4.4dB. The device exhibited an fT of 85GHz and fMax of 140GHz in small-signal measurements The device was not passivated.
MS
S>|NldBm
^ 3
Fig. 23. (a) Common source I-V characteristics of 0.15 x 200m AlGaN/GaN HEMT, gate voltage swept from-7 to 2V in IV steps, (b) Large signal characteristics of 0.15 x 100m AlGaN/GaN HEMT at 20GHz. Device was biased at VDS=20V and IDS=80mA [ref.49].
Fig.24 (a) and (b) show the power performance of devices grown by ammonia-MBE on resistive silicon substrates for measurement frequencies of 2GHz and 10GHz respectively.50'51 These devices were passivated with SiN on the surface. The 2x0.25xl25|im device exhibited an output power density of 6.6W/rrrrn at 2GHz with a PAE of 49%. Small signal measurements yielded an fT of 27GHz and fMax of 81 GHz.
Molecular Beam Epitaxy for III-N Materials
153
The 0.3x300|J.m device exhibited an output power of 1.9W/mm at 10GHz. Small signal measurements yielded an fT of 30GHz and fMax of 72GHz for this device.
-20 3N
-15
-10
-5 6 Pm.iBm
5
10
15
0 ,,,
5
10 P„(dBm>
15
20
Fig. 24. (a) Class AB power measurement at 2GHz and VDS=30V for a two-finger 2x0.25xl25(im AlGaN/GaN device on Si(lll) substrateRef 50. (b) Power characteristics 10GHz and VDS=30V for a 0.3x300iim device on Si(l 11) substrate51 © 2004 IEEE.
The microwave power performance of AlGaN/GaN HFETs is often hampered by the phenomenon of RF-DC drain current dispersion, also known as RF current collapse or premature gain compression under large signal excitation. The dispersion has been attributed to charge trapping at the AlGaN surface, within the AlGaN barrier or within the GaN buffer , trapping in SI SiC substrates and insufficient confinement of the channel charge. For MOCVD grown AlGaN/GaN HFETs, surface trapping has been found to be the prevalent mechanism causing severe dispersion in most devices. As a result, proper surface passivation to stabilize the surface states is invariably necessary for achieving high microwave output power in the MOCVD grown devices. It is quite remarkable that excellent microwave output power has been demonstrated in the AlGaN/GaN HFETs grown by the plasmaassisted MBE technique without surface passivation. The results indicate that surface effects are not the dominant source of dispersion in these MBE-grown layers. Compared with the remarkable results of large signal power performance for the devices grown on SiC wafers by the PAMBE
154
Tang, Webb
technique, results on the power performance of the AlGaN/GaN HFETs grown on sapphire or SiC by the ammonia-MBE technique has been unsatisfactory so far. Although these ammonia-MBE grown devices exhibited excellent DC and small-signal RF characteristics, large signal measurements only yielded up to 1.5W/mm output power in the 4 to 10GHz frequency range. The devices show quite significant RF current collapse and insufficient breakdown voltages. One probable problem is that these devices employed epilayers with high sheet carrier densities >1.5xl013cm"2, which cause a higher degree of interface roughness scattering as well as a higher electric field under the gate. Work is underway to optimize the growth structure and material quality for high power performance, and to apply surface passivation to the ammoniaMBE grown devices. In general, current dispersion and effects of surface passivation in MBE grown AlGaN/GaN HFETs have been much less investigated than in the MOCVD grown devices. Future studies in this area should prove further the potential of the MBE grown AlGaN/GaN devices for high power microwave applications. 5.3. Device Scalability and Large Periphery Performance For practical microwave power IC applications, the high power densities demonstrated by the small gatewidth devices must be scalable to obtain high power of large periphery devices and circuits. The nominally identical components within microwave integrated circuits must also possess matching electrical characteristics. The MBE grown AlGaN/GaN HFET epitaxial structures demonstrated excellent reproducibility and uniformity that allowed device scaling and successful fabrication of large periphery devices and integrated circuits. Continuous-wave, load-pull power measurement of 0.1mm, 1mm and 2mm wide devices with 0.25|i,m gatelength was performed at 10GHz on an AlGaN/GaN HFET-on-SiC wafer grown by PAMBE. The maximum output power of 0.65W, 6.3W, and 10.5W measured for the devices with 0.1mm, 1mm, and 2mm wide gates, respectively, scales almost linearly with device size.27 A single stage X-band power amplifier
Molecular Beam Epitaxy for HI-N Materials
155
by power combining four 1mm devices was designed and successfully fabricated. The power performance of this amplifier at 9GHz is shown in Fig.25. Continuous wave output power of 22.9W was measured at 9GHz with associated PAE of 37%. The maximum power density at the output of the amplifier was 5.72W/mm. After correcting for output matching network insertion loss, the power density is very close to the maximum density of 6.3W/mm measured for an individual 1mm device. This is clear evidence that uniformity of the wafer is suitable for fabrication of microwave integrated circuits. Using the same AlGaN/GaN HFET wafers grown by PAMBE, GaN digital circuits, 31-stage ring oscillators using 217 transistors, have been constructed. 52 Successful operation was demonstrated at test temperature of 265°C. This again demonstrates the MBE GaN HFET technology meets the uniformity requirement for practical IC applications. I- 4 mm Total Periphery V -32V
22. 9 W
CW Operation
40
S
s 5
30
1
30
16
6
25
as
35
P warn)
Fig. 25. Power performance of a single stage GaN HFET power amplifier. The amplifier was built by power combining of four discrete l mm devices. The peak output power of the amplifier is 22.9W27 © 2001 IEEE.
156
Tang, Webb
6. Conclusion After more than a decade of persevering effort by researchers in government, university and industrial laboratories, the development of MBE technologies for Ill-nitride materials has finally made great strides in achieving a high level of material quality and application potential. It is clear that the MOVPE technique is the dominant commercial tool for nitride-based LEDs and laser diodes. However, MBE as well as MOVPE are currently competing techniques for nitride based electronics, a projected multi-billion dollar market over the next 5 to 10 years. The review in this chapter of the progress in the nitride MBE technologies, though far from exhaustive, clearly finds unique advantages and real potential of the MBE techniques for commercial production of GaN high speed, high power devices. The particular merits can be summarized: (1) high purity and interface quality: the highest low-temperature mobility values exceeding 50000cm2/Vs were all achieved with the MBE techniques; (2) controlled carbon doping to obtain highly resistive GaN layers essential for isolation of AlGaN/GaN HFETs; (3) excellent wafer uniformity and device scalability; (4) Small and large signal highfrequency performance matching or exceeding the MOCVD grown devices; (5) two variations of the MBE technique (PAMBE and Ammonia- MBE) offer a wide range of growth parameters. However, it should be noted that there has been much less work on surface passivation and optimized processing of the MBE GaN HFETs than the enormous effort put into maximizing the performance of the MOCVD or MBE GaN HFETs. Since the elimination and/or reduction of the surface trapping by passivation or field-plate schemes is a threshold condition for the commercial applications of the GaN HFET technology, the MBE researchers will have to dedicate or derive from their industry partners more resources towards the device processing challenges. Current trends predict the MBE technique will become an important player in a future world that will be dominated by the dream-come-true GaN electronics. References 1. C.T. Foxon, "Three decades of molecular beam epitaxy ", J of Crystal Growth 251, 1 (2003).
Molecular Beam Epitaxy for III-N Materials
157
2. M. A. Sanchez-Garcia, J. L. Pau, F. Naranjo, A. Jimenez, S. Fernandez, J. Ristic, F. Calle, E. Calleja and E. Munoz, "Plasma-assisted MBE growth of group-Ill nitrides: from basics to device applications", Mat. Sci. andEng. B93, 189 (2002). 3. B. Heying, R. Averbeck, L.F. Chen, E. Haus, H. Riechiert, and J.S. Speck, "Control of GaN surface morphologies using plasma-assisted molecular beam epitaxy", J. Appl. Phys. 88, 1855 (2000). 4. K. Xu, N. Yano, A.W. Jia, A. Yoshikawa, and K. Takahashi, "Kinetic Process of Polarity Selection in GaN Growth by RF-MBE", phys. stat. sol. (b) 228, 523 (2001). 5. X.-Q. Shen, T. Ide, S.-H. Cho, M. Shimizu, S. Hara, H. Okumura, S. Sonoda, and S. Shimizu, "Essential Change in Crystal Qualities of GaN Films by Controlling Lattice Polarity in Molecular Beam Epitaxy", Jpn. J. Appl. Phys. 39, LI 6 (2000). 6. H. Tang and J.B. Webb, "Growth of High Mobility GaN by Ammonia- Molecular Beam Epitaxy", Appl. Phys. Lett. 74, 2373 (1999). 7. J.B. Webb, H. Tang, J. A. Bardwell, and P. Coleridge, "Growth of High Mobility GaN and AlGaN/GaN HFET Structures on 4H-SIC by Ammonia-Molecular-Beam Epitaxy", Appl. Phys. Lett. 78, 3845 (2001). 8. H. Tang, S. Rolfe, M. Beaulieu, S. Haffouz, and J. Webb, "Plasma-assisted MBE Growth of GaN on GaN/sapphire Templates Grown in situ by Ammonia- MBE", State-of-the-Art Program on Compound Semiconductors XLI and Nitride and Wide Bandgap Semiconductors for Sensors, Photonics, and Electronics V. The Electrochemical Society Proceedings Vol. 2004-06, 215 (2004). 9. K.C.Feng, J.Y.Lip and H.X.Jiang, "Optical properties of a high-quality insulating GaN epilayer", Appl. Phys. Lett. , 74, 3821 (1999). 10. Jae-Hoon Lee, Myoung-Bok Lee, Sung-Ho Hahm, Yong-Hyan Lee, Jung-Hee Lee, Young-Ho Bae and Hyan Kyung Cho, "Growth of Semi-insulating GaN Layer by Controlling Size ofNucleation Sites for SAW Device Applications", MRS Internet J. Nitride Semicond.Res. 8, 5 (2003). 11. D. C. Look, D. C. Reynolds, W. Kim, O. Aktas, A. Botchkarev, A. Salvador, and M. Morkoc, "Deep-center hopping conduction in GaN", J. Appl. Phys. 80, 2960 (1996). 12. D.C.Look, D.C.Reynolds, R.L.Jones, W.Kim, O.Aktas, A. Botchkarev, A.Salvador and H.Morkoc, "Electrical and optical properties of semi-insulating GaN", Mat.Sci. and Eng. B44, 423 (1997). 13. P.Boguslawski, E.L.Briggs and J.Bernholc, "Amphoteric properties of substitutional carbon impurity in GaNandAIN", Appl. Phys. Lett. 69, 233 (1996). 14. J.B.Webb, H.Tang, S.Rolfe and J.A.Bardwell, "Semi-insulating C-doped GaN and High Mobility AlGaN/GaN Heterostructures Grown by Ammonia- Molecular Beam Epitaxy", Appl.Phys.Lett. 75, 953 (1999). 15. R.Armitage, Q.Yang, H.Feick and E.R.Weber, "Evaluation of CCl4 and CS2 as carbon doping sources in MBE growth of GaN", J. Cryst. Growth 263,132 (2004). 16. D.S.Green, U.K.Mishra and J.S.Speck, "Carbon doping of GaN with CBr4 in radiofrequency plasma-assisted molecular beam epitaxy", J.Appl.Phys. 95, 8456 (2004).
158
Tang, Webb
17. H.Tang, J.B.Webb, J.A.Bardwell, S.Raymond, Joseph Salzman and C.Uzan-Saguy, "Properties of carbon-doped GaN", Appl.Phys.Lett. 78, 757 (2001). 18. Z-Q. Fang, D.C. Look, B. Claflin, S. Haffouz, H. Tang, and J. Webb, "Thermally stimulated current spectroscopy and photoluminescence of carbon-doped semiinsulating GaN grown by ammonia-based molecular beam epitaxy", phys. stat. sol. (c) 2, 2757 (2005). 19. C.H.Seager, A.F.Wright, J.Yu and W. Gotz, "Role of carbon in GaN", J.Appl.Phys. 92, 6553 (2002). 20. C.H.Seager, D.R.Tallant, J.Yu and W.Gotz, "Luminescence in GaN co-doped with carbon and silicon", J. Luminescence 106, 115 (2004). 21. Y.K.Su, S.J.Chang, T.M.Kuan, C.H.Ko, J.B.Webb, W.H.Lan, Y.T.Chemg, S.C.Chen, "Nitride-based HFETs with carrier confinement", Mat. Sci. Eng. B 110, 172 (2004). 22. N.I. Kuznetsov, A.E.Nikolaev, A.S. Zubrilov, Yu V. Melnik and V.A. Dimitriev, "Insulating GaN:Zn layers grown by hydride vapor phase epitaxy on SiC substrates", Appl. Phys. Lett, 75,3138 (1999). 23. Sten Heikman, S.Keller, St.DenBaars and U.K.Mishra, "Growth of Fe doped semiinsulating GaN by metalorganic chemical vapor deposition", Appl. Phys. Lett. 81, 439 (2002). 24. R.P.Vaudo, X.Xu, A.Salant, J.Malcarne and G.Brandes, "Characteristics of semiinsulating, Fe-doped GaN substrates", phys. stat. sol. (a) 200, 18 (2003). 25. A.Y.Polyakov, N.B.Smimov, A.V.Govorkov and S.J.Pearton, "Electrical and optical properties of Fe-doped semi-insulating GaN templates", Appl. Phys. Lett. 83,3314(2003). 26. O. Ambacher, J. Smart, J.R. Shealy, N.G. Weimann, K. Chu, M. Murphy, W.J. Schaff, L.F. Eastman, R. Dimitrov, L. Wittmer, M. Stutzmann, W. Rieger, and J. Hilsenbeck, "Two-dimensional electron gases induced by spontaneous and piezoelectric polarization charges in N- and Ga-face AlGaN/GaN heterostructures", J. Appl. Phys. 85, 3222 (1999). 27. M. Micovic, A. Kurdoghlian, P. Janke, P. Hashimoto, D.W.S. Wong, J.S. Moon, L. McCray, C. Nguyen, "AlGaN/GaN Heterojunction Field Effect Transistors Grown by Nitrogen Plasma Assisted Molecular Beam Epitaxy", IEEE Transactions on Electron Devices. 48, 591 (2001). 28. M. Micovic, J.S. Moon, T. Hussain, P. Hashimoto, W.S. Wong, L. McCray, "GaN HFETs on SiC substrates grown by nitrogen plasma MBE", phys.stat. sol. (a) 188, 31 (2001). 29. F. Semond, P. Lorenzini, N. Grandjean, J. Massies, "High-electron-mobility AlGaN/GaN heterostructures grown on Si (111) by molecular-beam epitaxy", Appl. Phys. Lett. 78, 335 (2001). 30. N.X. Nguyen, C. Nguyen, D.E. Grider, "High performance GaN/AlGaN MODFETs grown by RF-assisted MBE", Electron. Lett. 34, 811 (1998).
Molecular Beam Epitaxy for IH-N Materials
159
31. I.P. Smorchkova, C.R. Elsass, J.P. Ibbetson, R. Vetury, B. Heying, P. Fini, E. Haus, S.P. DenBaars, J.S. Speck, U.K. Mishra, "AIN/GaN and (Al,Ga)N/AlN/GaN twodimensional electron gas structures grown by plasma-assisted molecular-beam epitaxy", J. Appl. Phys. 86, 4520 (1999). 32. E. Frayssinet, W. Knap, P. Lorenzini, N. Grandjean, J. Massies, C. Skierbiszewski, T. Suski, I. Grzegory, S. Porowski, G. Simin, X. Hu, M. Asif Khan, M.S. Shur, R. Gaska, D. Maude, "High electron mobility in AlGaN/GaN heterostructures grown on bulk GaNsubstrates", Appl. Phys. Lett. 77, 2551 (2000). 33. D. Jena, Y. Smorchkova, A. C. Gossard, and U. Mishra, "Electron Transport in IIIVNitride Two-Dimensional Electron Gases", phys. stat. sol. (b) 228, 617 (2001). 34. M.J. Manfra, N.G. Weimann, J.W.P. Hsu, L.N. Pfeiffer, K.W. West, S. Syed, H.L. Stormer, W. Pan, D.V. Lang, S.N.G. Chu, G. Kowach, A.M. Sergent, J. Caissie, K.M. Molvar, L.J. Mahoney, R.J. Molnar, "High mobility AlGaN/GaN heterostructures grown by plasma-assisted molecular beam epitaxy on semiinsulating GaN templates prepared by hydride vapor phase epitaxy", J. Appl. Phys. 92, 338 (2002). 35. H. Tang, J.B. Webb, S. Rolfe, J.A. Bardwell, D. Tomka, P. Coleridge, C.H. Ko, Y.K. Su, and S.J. Chang, "GaN/AlGaN two-dimensional electron gas grown by ammonia- MBE on MOCVD GaN template", phys. stat. sol. (b) 234, 822 (2002). 36. P.T. Coleridge, R. Stoner, and R. Fletcher, "Low-field transport coefficients in GaAs/Ga^ljAsheterostructures", Phys. Rev. B 39, 1120 (1989). 37. H. Tang, J.B. Webb, P. Coleridge, J.A. Bardwell, C.H. Ko, Y.K. Su, and S.J. Chang, "Scattering lifetimes due to interface roughness with large lateral correlation length in AlxGaj.xN/GaN two-dimensional electron gas", Phys. Rev. B66, 245305 (2002). 38. T. Ando, A.B. Fowler, and F. Stern, "Electronic properties of two-dimensional systems", Rev. Mod. Phys. 54, 437 (1982). 39. T. W. MacElwee, J. A. Bardwell, H. Tang, and J. B. Webb, "Characterization of AlGaN/GaN HEMT Devices Grown by MBE", Mater. Sci. Forum 338-342, 1647 (2000). 40. J.A. Bardwell, Y. Liu, H. Tang, J.B. Webb, S.J. Rolfe, and J. Lapointe, "AlGaN/GaN HFET devices on SiC grown by ammonia- MBE with high fT and fMAX", Electron. Lett. 39, 564-566 (2003). 41. R. Behtash, H. Tobler, M. Neuburger, A. Schurr, H. Leier, Y. Cordier, F. Semond, F. Natali, J. Massies, "AIGaN/GaN HEMTs on Si(lll) with 6.6 W/mm output power density", Electronics Letters. 39, 626 (2003). 42. V. Kumar, W. Lu, R. Schwindt, J. Van Hove, R. Chow, I. Adesida, "0.25 jjm gatelength, MBE-grown AlGaN/GaN HEMTs with high current and highfT", Electron. Lett. 37, 858 (2001). 43. M. Micovic, N.X. Nguyen, P. Janke, W.S. Wong, P. Hashimoto, L.M. McCray, C. Nguyen, "GaN/AIGaN high electron mobility transistors with fT of 110GHz", Electron. Lett. 36, 358 (2000).
160
Tang, Webb
44. E. Kohn, I. Daumiller, P. Schmid, N.X. Nguyen, C.N. Nguyen, "Large signal dispersion of GaN/AlGaN heterostructure field-effect transistors", Electron. Lett. 35,1022(1999). 45. M. Manfra, N. Weimann, Y. Baeyens, P. Roux, D.M. Tennant, "Unpassivated AlGaN/GaN HEMTs with CW power density of 3.2 W/mm at 25 GHz grown by plasma-assisted MBE", Electron. Lett. 39, 694 (2003). 46. M.J. Manfra, N.G. Weimann, O. Mitrofanov, T. Waechtler, D.M. Tennant, "High power GaN/AlGaN/GaN HEMTs operating at 2 to 25 GHz grown by plasmaassisted MBE", phys. stat. sol. (a) 200, 175 (2003). 47. M. Manfra, N. Weimann, Y. Baeyens, P. Roux, D.M. Tennant, "Unpassivated AlGaN/GaN HEMTs with CW power density of 3.2 W/mm at 25 GHz grown by plasma-assisted MBE", Electron. Lett. 39, 694 (2003). 48. S. Rajan, P. Waltereit, C. Poblenz, S.J. Heikman, D.S. Green, J.S. Speck, U.K. Mishra, "Power Performance of AlGaN-GaN HEMTs Grown on SiC by PlasmaAssisted MBE", IEEE Electron Dev. Lett. 25, 247 (2004). 49. J.S. Moon, M. Micovic, P. Janke, P. Hashimoto, W.S. Wong, R.D. Widman, L. McCray, A. Kurdoghlian, C. Nguyen, "GaN/AlGaN HEMTs operating at 20GHz with continuous-wave power density > 6W/mm", Electron. Lett. 37, 528 (2001). 50. R. Behtash, H. Tobler, M. Neuburger, A. Schurr, H. Leier, Y. Cordier, F. Semond, F. Natali, J. Massies, "AIGaN/GaN HEMTs on Si(lll) with 6.6 W/mm output power density", Electron. Lett. 39, 626 (2003). 51. A. Minko, V. Hoel, E. Morvan, B. Grimbert, A. Soltani, E. Delos, D. Ducatteau, C. Gaquiere, D. Theron, J.C. De Jaeger, H. Lahreche, L. Wedzikowski, R. Langer, P. Bove, "AlGaN-GaN HEMTs on Si With Power Density Performance of 1.9 W/mm at 10 GHz", IEEE Electron Dev. Lett. 25, 453 (2004). 52. Hussain, M. Micovic, T. Tsen, M. Delaney, D. Chow, A. Schmitz, P. Hashimoto, D. Wong, J.S. Moon, Ming Hu, J. Duvall, D. McLaughlin, "GaN HFET digital circuit technology for harsh environments", Electron. Lett. 39, 1708 (2003).
CHAPTER 5 GROWTH AND PROPERTIES OF NONPOLAR GaN FILMS AND HETEROSTRUCTURES
Yue Jun Sun* and Oliver Brandt+ Paul-Drude-Institutfiir Festkorperelektronik, Hausvogteiplatz 5-7, D-10117 Berlin, Germany + Email:
[email protected] We outline the study of the growth of GaN( 1-100) on yLiAlO2(100) by molecular beam epitaxy. Optimum growth conditions are first established empirically. Then a comprehensive investigation on Ga adsorption and desorption kinetics is presented. The optimum growth conditions with regard to surface morphology of GaN( 1-100) epilayers are those giving rise trilayer coverage at low temperature. Furthermore, growth of (In,Ga)N/GaN(l-100) multiple quantum wells is presented and a detailed investigation of their structural and optical properties is described.
1.
Introduction
Although the development of nitride-based light emitting devices has been progressed with considerable success, their luminous efficiencies, especially in the green or ultraviolet wavelength regions, are still quite low, due to the presence of electrostatic fields within the active layers. 1 These fields are generated by the spontaneous and piezoelectric polarization fields, 2 since most structures are deposited along the conventional growth direction, i. e. [0001]. These polarization fields give
Present address: Aixtron AG, Global Service Operations, ShangHai office, Kuen Yang Building Rm.1903, ZhaoJiaBang Rd. No.798, 200030 ShangHai, China; email:
[email protected] 161
162
Sun, Brandt
rise to large internal electrostatic fields. Although this property can be used for certain device application, for example, high electron mobility transistors,3"5 it is not desirable for light emitting devices, as the internal electrostatic field reduces the overlap of the electron-hole wave functions [quantum confined Stark effect(QCSE)].1'6 The poor overlap of electronhole wavefunctions also results in a long radiative life time,7 and consequently low internal quantum efficiency, as there are always competing non-radiative recombination channels at elevated temperatures. One solution to get rid of these notorious internal electric fields is the growth of cubic group Ill-nitride heterostructrures.8'9 However, even after intense efforts,'011 the lack of suitable substrates and the inherent thermodynamic metastability of this phase has detained the achievement of device-quality material. Alternatively, in the thermodynamically stable wurtzite structure of cc-GaN, any direction orthogonal to the [0001] axis, such as [1-100] and [11-20], is free of spontaneous polarization. In addition, these directions also do not carry piezoelectric polarization, if shear stresses in the growth plane are absent. Therefore, due to the resulting absence of electrostatic fields, these directions constitute a distinct advantage for fabricating high-efficiency GaN-based LEDs over corresponding [0001]-oriented structures on conventional substrates such as Al2O3(0001) and SiC(0001). Waltereit et al. first demonstrated an improved internal quantum efficiency of GaN/(Al,Ga)N quantum wells grown along the [1-100] direction on y-LiAlO2(100), as a result of the absence of internal electrostatic fields across the quantum wells.12 This triggered a surge in studying nonpolar group-Ill nitride heterostructures.13-23 Most recently, LEDs based on non-polar A-plane and M-plane nitrides have been realized.24-26 In this chapter, we present an investigation of the synthesis of wurtzite (In,Ga)N(l-100) heterostructures on y-LiAlO2(100) by plasma-assisted molecular beam epitaxy (MBE). First a brief description of y-LiA102, used as substrate in this study, and its preparation prior to growth is given. Next, we examine the optimization of the growth conditions of GaN(l-lOO) epilayers. For this purpose, the adsorption and desorption
Nonpolar GaN Heterostructures
163
kinetics of Ga on GaN(l-lOO) are studied using in situ reflection highenergy electron diffraction (RHEED). Finally, the growth of nonpolar (In,Ga)N/GaN multiple quantum wells (MQWs) and a detailed investigation of their structural and optical properties is described.
2. Substrate: y-LiA102 In this section, a brief description of D-LiA102 the crystal structure and the preparation for growth of y-LiA102 is presented. Selected properties of LiA102 (LAO) related to the epilayers are pointed out. 2.1. Properties of the Substrate Crystal structure y-LiA102 has a tetragonal structure with lattice constants a=b= 0.51687 nm and c= 0.62679 nm and belongs to the space group P4A2. 2 7 The y-LiAlO2(100) plane exhibits a comparatively small lattice mismatch to GaN(l-lOO) (the M-plane), namely, [0001]GaN||[010]LAO ~ 0.3% and [ll-20] GaN ||[001] LAO ~ 1.7%, while conventional substrates, e.g. A1203, have much larger lattice mismatch to GaN. Most interestingly, and as mentioned in the introduction, [1—100]oriented GaN thus grown on y-LiAlO2(100) can prove advantageous for improving the internal quantum efficiency of light-emitting devices, as it is free of electrostatic fields along the growth direction. In addition, yLiA102 can be selectively etched with respect to the GaN epilayers, allowing the fabrication of on-chip LEDs. Figure 1 schematically displays the top view for y-LiAlO2(100), and SiC(0001) as well for comparison. Previous reports of GaN(0001) on yLiAlO2(100)28 might resilt from the near-hexagonal symmetry on the substrate surface, as indicated by the dark shaded areas in Fig. 1 (a), and are probably due to improper nucleation conditions.29 From the energetic point of view,30 GaN(l-lOO) on LAO(100) is more favorable than GaN(0001) on LAO(100).
Sun, Brandt
164
• [oio]
• p'iooj
Fig. 1. Unit cells and ball-and-stick models for the growth of GaN on y-LiAlO2(100) (a) and SiC(OOOl) (b). The light and dark shaded areas represent nucleation sites for GaN(l-lOO) and GaN(OOOl).
Disadvantage Despite the potential merits of y-LiA102 as substrate for GaN as mentioned above, it also possesses a number of drawbacks which one should bear in mind. For instance, y-LiA102 is inhomogeneously etched by a variety of acids,31 making chemical polishing an intricate task. Worse, y-LiA102 is hydrolytic,32 and care must be taken to limit the exposure to H 2 0 to a brief dip. Furthermore, y-LiA102 is thermally much less stable than, for example, A1203 or SiC, despite its high melting point of about 1700°C.33 Lee et al.34 pointed out that the dissociation of yLiA102 at the typical metal organic chemical vapor deposition (MOCVD)4 growth temperature of ~1040°C might restrict its use and indeed the incongruent evaporation of Li 2 0 occurs at high temperature.35 However, we did not observe any dissociation of this material up to 1300°C in vacuum by quadrupole mass spectroscopy.36 The y-LiA102 substrates used in this study were grown by a modified Czochralski method at the Institut fiir Kristallzuchtung and chemomechanically polished by Crystec. The peak-to-valley (P/V) roughness and RMS roughness of the polished substrates have values of 1.6 nm and 0.12 nm, respectively, across a 3x3 u.m2 area. Although these are excellent values, a damage layer still exists in contrast to state-of-the-
Nonpolar GaN Heterostructures
165
art SiC or A1203 substrates. Further improvement of the surface quality of LiA102 substrate would be highly desirable. Substrate preparation Substrate preparation should deserve the most attention in order to obtain reproducible high-quality epilayer. Usually, a chemical preparation before loading into the growth reactor is performed. In the MOCVD technique, sapphire is commonly treated by a flux of H2 prior to deposit of a low-temperature buffer. For SiC substrates, a dramatic improvement of the surface quality is attained after annealing the wafers to about 1600°C in flowing H2.37 Etched SiC wafers exhibit a well ordered stepped surface. Since LAO is attacked by various acids and even water, the well-established cleaning process for other substrates used for GaN growth is obviously not applicable for this case. In fact, we have not yet found any effective method, such as thermal or chemical etching to improve the surface morphology of as-received LAO. The asreceived substrates were thus only degreased sequentially in trichloroethylene (10 min), acetone (5 min) and methanol (5 min) in an ultrasonic bath. Finally, the substrate was dipped briefly (30-60 s) in deionized water. The LAO substrate was then fixed with In onto a Si wafer clipped to a Mo holder. Prior to growth, the substrate was outgassed in the load-lock chamber for 1 hour at 200-300°C. During mounting and loading, the substrate surface is again exposed to air and thus recontaminated. Although the outgassing procedure can remove a part of these contaminants, especially water, it would be desirable to have a method for further cleaning substrate inside the MBE system. For SiC(OOOl), Kaplan proposed Ga adsorption and desorption for removing O related contaminants,38 which was later confirmed by Strite et al..39 The procedure is to deposit Ga on the surface where it reacts with contaminants to form a volatile compound, e. g., Ga 2 0. An annealing step up to 750°C can desorb these products and excess Ga remaining on the surface. Analogously, such a Ga treatment was adopted for LAO substrates. However, neither the in situ RHEED pattern after this polishing procedure nor the ex situ characterization of epifilms shows any improvement.
166
Sun, Brandt
50 urn * •
s»
^
i .,j:\. -**.•.
•
•
*
—
» •*•
•
#
» *•"
-
'
:
•
J» Ja
S&U.-' Fig. 2. Interference contrast micrographs of the surface of side A (a) and side B (b) of LAO(IOO) exposed to HC1(32%) at 60°C for 30 min.
2.2. Polarity of the Substrate Considering the polar nature of LAO(IOO), we first investigate the influence of its polarity on the growth of M-plane GaN. Chemical etching While we cannot yet provide a microscopic identification of the two polarities, it is at this stage perhaps more important to be able to phenomenologically distinguish them by simple chemical etching. Figure 2 shows the surface morphology of either face after a 30 min exposure to HC1(32%) at 60°C, recorded by a Zeiss interference contrast microscope. The most obvious feature in both these micrographs are rhomboidal etch pits which we believe to occur at locations where dislocations in LAO intersect the surface. The elongated side of the etch pits is along [010] direction. Indeed, the distinct linear arrangement of these etch pits was attributed to subgrain boundaries formed by dislocations .40 More important, however, is the fact that in between these etch pits the surface of face A remains relatively smooth, whereas face B becomes clearly corrugated. Note, however, that a longer treatment or higher temperatures tend to obscure this difference due to the resulting excessive roughening of both faces.
167
Nonpolar GaN Heterostructures
E_l
32.0
i
I
32.5
•
I
33.0
,
I
33.5
,
I
34.0
i
I
34.5
29 (cleg) Fig. 3. co-29 triple-crystal scans of two samples grown on two opposite sides but under the same conditions.
Epilayer The influence of polarity of the substrate on the epi-film are checked by growth on two opposite sides of LAO(IOO) substrate. Figure 3 shows triple-crystal co-20 scans for two films grown on each face under the same conditions. In both profiles, the peaks located at 29 = 34.682° and -32.254° are due to the LAO(200) and GaN(l-lOO) reflections, respectively. The film grown on face B exhibits an additional weak peak in the left vicinity of the LAO(200) diffraction peak, namely, at 34.5°. Although this angular position coincides with those of either wurtzite GaN(0002) or cubic GaN(l 11), the RHEED pattern of this sample shows additional reflections stemming from GaN(OOOl). However, no such peak is detected on the film grown on face A, indicating a high phase purity of this film. Note that M-plane GaN has indeed the same crystal structure as C-plane GaN, while in this chapter, we call them different phase. In fact, pure M-plane GaN epilayers can be reproducibly synthesized on face A if the nucleation conditions are appropriately chosen (see section III). Because of this finding, we will concentrate in the following on layers grown on face A.
168
Sun, Brandt
Fig. 4. SAD pattern along [0001]GaN or [010]LAO (a) and [ll-20] G a N or [001]LAO(b). a and y denote the wurtzite GaN film and the y-LiA102 substrate, respectively. The unit meshes of the epilayer and the substrate are indicated by the solid and dash lines, respectively.
2.3. In-plane Orientation Relationship As shown earlier, the high-resolution co-20 scan can indicate the outof-plane orientation relationship between the LAO substrate and GaN epilayer. To confirm the expected in-plane azimuthal correlativity as mentioned at beginning of this chapter, selected area electron diffraction (SAD) technique, for example, is presented here. Figure 4 (a) and (b) show SAD patterns along GaN [0001] and [11-20] direction, respectively. Obviously, the GaN film grows epitaxially on the substrate with the expected orientation relationship, i.e., [0001]GaN||[010]LAo and [ll-20]GaN||[001]LAo- The coincidence of the diffraction spots from the epilayer and substrate in both figures evidences the small lattice mismatch along these two azimuths. In addition, the elongated GaN spots in Fig. 4 (b) along the [0001] direction reveal that there are high-density planar defects lying in the basal plane of GaN, which are indeed demonstrated to be stacking faults.41
3. Optimization of Heteroepitaxy of GaN Films on y-LiAIO2(100) Although there are a few reports on nonpolar group-Ill nitride heterostructures,13-23 a systematic knowledge of optimizing the growth of them is still lacking. This section shows a study of the impact of
Nonpolar GaN Heterostructures
169
nucleation conditions on the growth of M-plane GaN( 1-100) films with high phase purity. The optimum growth condition is then empirically established, and high quality M-plane GaN can be reproducibly obtained. 3.1. Impact of Nucleation Conditions on Phase Purity Nucleation is generally believed to be the most critical step for obtaining high-quality C-plane GaN layers.42"44 This is thought to be also applicable for the growth of M-plane films. Growth Growth was carried out in a custom-designed, solid-source three-chamber MBE system equipped with an if plasma source. The N source is operating at a plasma power of 250-500 W with a N2-flow of 0.3-0.4 seem, yielding a GaN growth rate of 0.25-0.4 um/h. The base pressure in the growth chamber is held below 10" 10 Torr by an ion-getter pump and a Ti-sublimation pump. During growth, the chamber is cryopumped and has a pressure around 1.5 xlO 5 Torr for a typical N2 flow of 0.3 seem. The growth front is monitored in situ by RHEED using a 20 kV electron gun. The diffraction pattern is recorded using a CCD camera. RHEED First of all, it should be stressed that MBE offers an excellent tool for determining the phase purity of the layers in real time, namely, by RHEED. During nucleation, a spotty pattern appears which already allows a judgment of the success of the nucleation procedure, since the symmetries of M- and C-plane GaN are distinctly different. Further growth at Ga-stable conditions yields a streaky RHEED pattern, reflecting the progressive smoothening of the surface. Phase mixture manifests itself in the occurrence of additional reflections (typically spots), which are readily distinguishable from the "clean" M-plane pattern. In addition, RHEED patterns also reveal the in-plane orientation relationship between epilayer and substrate. XRD Triple-crystal high-resolution x-ray diffraction (HRXRD) provides an ex situ nondestructive tool to check the relationship of the
170
Sun, Brandt
corresponding out-off-plane orientation between the epilayer and the substrate, and simultaneously the phase purity, at least at the angular resolution and detection limit of the diffractometer. Hereafter, the samples' phase purity and their orientation are checked by XRD. Impact of nucleation conditions on phase purity We have demonstrated that specific nucleation conditions are required for the growth of M-plane GaN.29'36 The results of our investigations are summarized in Tab. I, including the respective nucleation condition and phase purity obtained. TABLE I. Nucleation conditions for the samples investigated. The table provides information whether nitridation was employed (yes V/no —), as well as about the stoichiometry (N-rich/Al- or Ga-rich) and the nucleation temperature. We furthermore indicate the phase purity of the layers (M: M-plane, C: C-plane) as well as the rms roughness obtained by AFM over 5x5 (im2. All films listed have a thickness of 500-600 nm.
Sample A1N buffer Nitridation Stoichiometry TN (°C) Phases rms (nm) N Al N Ga N Ga Ga Ga Ga
580 580 660 660 660 740 660 580 510
C
c M/C M/C C/M M M M M
80 15 38 23 7.5 0.8 3.3
The nucleation regime can be divided into three groups, namely, insertion of an A1N buffer, nitridation prior to growth, and direct deposition. The growth of the A1N buffer was initiated at 580°C under different stoichiometry. Then, the growth of GaN was performed under Ga-stable conditions at high temperature. Nitridation was carried out by exposing the substrate to the N plasma at 350 W and 660°C for 40 min. The subsequent nucleation was executed under either N-rich or Ga-rich conditions. The initial stage of direct deposition of GaN (nucleation) was performed at various temperatures and under different stoichiometry. In all cases, the nucleation temperature TN can be divided into four regimes:
Nonpolar GaN Heterostructures
171
high (740°C, actually the growth temperature TG), intermediate (660°C), low (580°C) and very low (510°C). The thickness of the A1N buffer or the GaN nucleation layers at very low, low and intermediate 77V was about 100 nm. For all samples, the growth temperature TG of 740°C was kept constant during the subsequent growth and the stoichiometry was set to Ga-stable. During the initial deposition regardless of nucleation conditions, a spotty RHEED pattern first appears, while further growth at Ga-stable conditions yields a streaky RHEED pattern29 reflecting the progressive smoothening of the surface. RHEED allows to judge the orientation of the growth front, since the symmetries of M- and C-plane GaN are distinctly different. Several conclusions can be drawn from this table. (i) It is occasionally reported that the use of an A1N nucleation layer has a positive impact on the properties of C-plane GaN grown on it.45,46 We thus investigated if an A1N nuclation layer would also benefit the growth of M-plane films. As seen from Tab. I, growth under either Alrich or N-rich conditions however leads to a predominant C-plane structure. To investigate the origin of this effect, 200 nm thick A1N layers deposited at the same temperature as the buffer used in sample A and B were grown and measured by XRD. It is found that the A1N layers are [0001]-oriented, while no peak pertinent to the M-plane orientation is detected.36 A1N nucleation layers (at least under our nucleation conditions) are thus clearly detrimental for the growth of M-plane GaN layers. (ii) Considering that nitridation of A1203 substrates prior to nucleation is well established to improve the crystal quality of the subsequent GaN film by the formation of an A1N template,47-50 we have studied the nitridation of LAO as a potential means to improve epitaxial growth also in this case. Apparently, nitridation of LAO prior to growth invariably induces phase mixture of C- and M-plane in our case, as seen from Tab. I. Interestingly, it was reported that nitridation of LAO is necessary for achieving the M-plane GaN by MOCVD.25 (iii) Though N-rich growth has been established to be disadvantageous for the PAMBE growth of C-plane GaN epilayers on
172
Sun, Brandt
either A1203,51 SiC,52 or GaN,53 particularly concerning the surface morphology, it is not clear whether N-rich nucleation is unfavorable for M-plane films. As demonstrated, the immediate N-rich nucleation condition indeed leads to predominant C-plane GaN.36 (iv) Clearly, the nucleation conditions are crucial to the orientation relationship of GaN on LAO(IOO). Our study shows that only immediate Ga-rich nucleation results in the growth of pure A/-plane GaN.29'36 3.2. Influence of Nucleation Temperature on Surface Quality With the knowledge of how to obtain pure M-plane GaN epilayers, the surface morphologies of M-plane GaN films with different nucleation temperatures are studied by AFM (cf. Ref. [36]) and TEM.41
IU
E c
C/3 C/3
:
'
•
•
^
LU „ „ i
^
Z 10'
• ': ,*
'"N^
IE
^' , -"
• .
-
-
•
*
"
•
_,-*
(3
=> O
.
o
0-
0 •
500
550
600
650
I CD
Z)
DC
5:10°
co
o -. 10 HI -z. •
o
10'
1
1
700
o ' .• 10" tr w : 750
or
TN(°C)
Fig. 5. P/V (solid symbols) and rms (open symbols) roughness over an area of 5x5 (am2 as a function of the nucleation temperature. The thicknesses of all samples are 500-600
Roughness vs. TN The P/V and RMS roughness of the pure M-plane GaN are plotted vs. the nucleation temperature in Fig. 5. Obviously, the impact of TN on the surface roughness is dramatic. Films grown at low nucleation temperature (580°C) are significantly smoother than those grown at higher nucleation temperature. The nucleation temperature at 580°C is apparently the optimum one. In addition, a further reduction of the nucleation temperature to 510°C does not result in a further reduction
Nonpolar GaN Heterostructures
173
of the surface roughness, on the contrary, the films are significantly rougher than those nucleated at 580°C. Chen et al.11 reported an rms roughness of 0.7 nm over 2x2 urn2 for a 4-p.m-thick homoepitaxial Mplane GaN layer grown by MOCVD. This compares to 0.6 nm for the best samples in Fig. 5 over the same area, demonstrating a virtually identical degree of roughness. This degree of roughness is close to that obtained on C-plane films and is well suited for the growth of heterostructures, such as quantum wells. ' TEM To examine the microstructure of the layers, we employ cross sectional TEM. TEM studies are performed in a JEOL3010 microscope operating at 300 kV. Figure 6 shows a two-beam bright field cross sectional micrograph of a typical M-plane GaN epilayer with g=l-100 near the [11-20] zone axis. The microstructure of the M-plane GaN is quite different from that of C-plane GaN. The density of perfect threading dislocations is found to be below lxlO 6 cm" 2. The dominant defects are basal plane stacking faults (SFs), most of which intersect the
Fig. 6. Cross-sectional g=l-100 two-beam bright-field TEM micrograph near the [11-20] zone axis of a GaN film grown on a LAO substrate.
entire thickness of the layer. Their density amounts to lxlO 5 cm -1 . The partial dislocations terminating these basal plane SFs have been determined to be of Shockley type with Burgers vectors b=l/3[10-10] or 1/3[01—10].56 A recent work suggested that coalescence of two nucleation regions with different stacking sequences is the reason for the
174
Sun, Brandt
formation of stacking faults, as three faces have ABAB stacking and the other three have ACAC stacking. Nevertheless, it is clear that a low nucleation temperature results in a significantly improved microstructure and surface morphology.41 4. Ga Adsorption and Desorption Kinetics In the case of GaN(OOOl), several studies have established the optimum growth conditions in MBE and related them to the existence of a Ga bilayer on the growth front.58-62 The lateral mobility of the Ga atoms within the bilayer is predicted to be high, consistent with the high morphological quality of GaN(OOOl) grown under conditions giving rise to bilayer coverage. Empirically, we have found that Ga-rich conditions results in GaN( 1-100) layers with comparatively smooth surface morphology, whereas N-rich conditions lead to a roughening of the growth front. Lee et a/.18 observed a reconstruction of approximate symmetry "(4x5)" on Ga-rich grown GaN(l-lOO) on ZnO by scanning tunneling microscopy. The surface was found to be metallic in nature, and the authors proposed that it consists of >2 monolayer (ML) of Ga based on the fact that Ga-adlayer structures with coverages between 2 and 3 ML on GaN( 1-100) are energetically favorable at Ga-rich conditions. In this section, we present a study on the adsorption and desorption kinetics of Ga on GaN( 1-100) using RHEED.
4.1. Surface Reconstruction In the course of our previous work,29 we noticed that all smooth layers exhibited a complex RHEED pattern when the surface was quenched to about 150°C with a cooling rate of 40°C/min and the N flux shut off immediately at the termination of growth. The RHEED pattern observed exhibits a fourfold periodicity along all major azimuths, consistent with a (4x4) reconstruction with respect to the unit mesh of the GaN( 1-100) surface. Note that this reconstruction is not identical to the pseudo-(4x5) reported by Lee et al.,ls which gave rise to a fourfold
Nonpolar GaN Heterostructures
175
pattern along the [0001] azimuth only. In the following, we establish a relation between the adsorbed Ga coverage and reconstructions of the surface.
Fig. 7. RHEED patterns of the GaN(l-lOO) film, recorded at 100°C after a 2-step deposition of 2 ML Ga at 580°C. Note the intense half-order streaks along all azimuths.
Relation between Ga coverage and surface reconstructions The growth front was monitored in situ by RHEED at 20 kV energy and an incidence angle of 1.5°. The diffraction patterns along all major azimuths of GaN(l-lOO), i. e., [11-20] (0°), [22-43] (~39°), [11-23] (-58°), and [0001] (90°), were recorded using a CCD camera. The intensity of the specular spot and other regions of interest are recorded using a digital RHEED analysis system,63 which was set to sample data at a rate of 25 Hz. Growth was initiated by nucleation at 580°C under Ga-rich conditions. The growth temperature was then raised to 740°C and kept constant during the subsequent adsorption/desorption studies and growth. All of these studies have been done using a 500 nm thick GaN( 1-100) layer grown under standard conditions, i. e., Ga stable growth ((j)Ga= 0.475 ML/s, §N = 0.275 ML/s) and a substrate temperature of 740°C. During growth of M-plane GaN under standard conditions, RHEED shows the characteristic ( l x l ) pattern.29 The pattern is entirely streaky, but dim, very similar to the RHEED pattern observed during growth of C-plane GaN. Upon interrupting the growth for about 30 s at constant
176
Sun, Brandt
temperature and the N flux on, the intensity of the pattern increases greatly, while the ( l x l ) symmetry does not change. The RHEED pattern of the recovered surface does not exhibit any appreciable change when switching the N flux off. It does not change either when subsequently cooling the sample down to 100°C. Upon deposition of up to 4 ML of Ga on this surface at 100°C, the only noticeable change is that the RHEED pattern becomes dim similar to that observed during growth. This finding is in marked contrast to the observation of a (4x4) reconstruction for the surface quenched to low temperature immediately after growth. Apparently, the thermal energy at 100°C is insufficient for the Ga adatoms to condense into this reconstruction. To study the formation of the (4x4) reconstruction further with thissample, the excess Ga deposited at 100°C is first flashed off by heating the sample to 740°C. The sample is next cooled down to 580°C, at which the desorption of Ga is negligible. Next, we deposit 1 ML of Ga onto this surface. Upon cooling to 100°C, clear half-order streaks are observed along all azimuths except for [11-20], consistent with a (1x2) reconstruction of GaN( 1-100). Upon heating, the half-order streaks abruptly disappear at a temperature of 250°C, but the pattern stays dim in comparison to that of the recovered surface. An additional ML of Ga is then deposited at 580°C, which gives rise to a more intense (1x2) reconstruction after cooling to 100°C as shown in Fig. 7. However, we often observe the formation of 1/4-order streaks [i. e., next to the (00) streak] along the [11-20] azimuth at this coverage, which would indicate a (4x2) reconstruction. This observation might indicate a chainlike ordering of (lx2)-reconstructed domains. The sample is then heated to 580°C again. Finally, the procedure is repeated for the deposition of an additional ML of Ga, resulting in a total of 3 ML of Ga on the surface. Figure 8 shows the resulting RHEED pattern at 100°C, which exhibits an intense (4x4) reconstruction identical to that observed when quenching the sample after growth at standard growth conditions. We have checked that the deposition of 2 and 3 ML of Ga in one step at 580°C results in RHEED patterns which are indistinguishable from those displayed in Figs. 7 and 8, respectively. Deposition of intermediate amounts, such as
Nonpolar GaN Heterostructures
111
2.5 ML, often results in a superposition of both reconstructions, in that a four-fold periodicity is observed along [11-20], but a two-fold along all other azimuths. It is presumed due to the form of domains. Deposition of more than 3 ML reduce the overall intensity of the pattern, but does not change its symmetry. In all cases, the quarter-order streaks get diffuse and eventually disappear at temperatures above 250°C.
Fig. 8. RHEED patterns of the GaN(l-lOO) film, recorded at 100°C after a 3-step deposition of 3 ML Ga at 580°C. Note the intense quarter-order streaks along all azimuths.
It is clear from these experiments that two stable Ga coverages exist, which condense at low temperatures into a (Ix2)/(4x2) reconstruction at bilayer coverage and a (4x4) reconstruction at trilayer coverage. On surfaces with intermediate amounts of adsorbed Ga, both reconstructions may coexist (presumably in the form of domains), although we have no experimental evidence for such as coexistence. Furthermore, the recovery behavior of the surface at elevated temperatures provides the opportunity to study the adsorption/desorption kinetics of Ga in real time. 4.2. Ga Adsorption/Desorption Kinetics First, Ga adsorption and desorption kinetics in vacuum is studied. The sample was held at a constant temperature of 740°C. Ga
178
Sun, Brandt
adsorption/desorption isotherms were recorded by monitoring the intensity of the specularly reflected beam along the [22-43] azimuth, starting with 60 s of adsorption and allowing the surface to recover completely prior to the next experiment. • + • 0.056 ML/s
I
T s = 740 °C
- 0.073 ML/s
N == 0 M L / s
• 0.093 MUs
0.12 ML/s 0.15 ML/S
>H
is.
0.19 ML/S 0.24 MUs 0.30 ML/S
LU I-
0.38 ML/S
Q LU N
0.475 ML/S 0.59 ML/S 0.73 ML/S
rr O
0.90 ML/S 11 ML/S
100
200 300 400 T I M E (S)
500
Fig. 9. Variation of the specular RHEED intensity upon adsorption of Ga for 60 s and subsequent desorption for Ga fluxes as indicated. The arrow at t = 10 s indicates the opening, the dashed line t = 70 s the closing of the Ga shutter.
Figure 9 shows the variation of the specular RHEED intensity Is for Ga fluxes between 0.056 and 1.11 ML/s. For the two lowest fluxes, no appreciable change in the RHEED intensity is observed, reflecting that Ga desorption at this temperature inhibits the adsorption of significant amounts of Ga on the surface. At higher fluxes (0.12 ML/s), the RHEED intensity exhibits a clear drop once Ga deposition is initiated, and an immediate recovery once the Ga supply is stopped. For 1.6 O
/ - " " " 0 . 5 9 ML/S
>
O,o°
CC 1 4 LU
v
____^ 600K 4
6
10
Wavelength (nm)
Fig. 7. Intensities and spectral distribution of a black body emitter such as a hot substrate. The inset depicted on a logarithmic scale the onset the radiation from 1200K down to 600K. 4.1. Optical Characterization of Trimethylindium,
TMI,
[In(CH$)3]
A nitrogen carrier gas flow through the TMI bubbler is used to transport the TMI vapor from the bubbler to the gas control system. The molar flow of TMI directed from the bubbler in the reactor is expressed by n m = 8.3216 • 10'9 • x
[molV]
(3)
where x = (0... 100% full scale [%FS]) denotes the nitrogen flow through the bubbler with 100% = 0.5 slm maximum. The molar TMI flow ratio % through the reactor is given by n
x= — n
n „ +n
+n
2.237 • 10"5 • x z + 10"2 • x +2.237 • lfr;
(4)
InN Growth by HPCVD: Real-time and Ex-situ Characterization
213
where z = (0...100%FS) is the main nitrogen flow with 100% = 50 slm maximum flow, which dilutes the TMI stream through the reactor. 6.526
190
Energy (eV) 5.905 5.39
210 230 Wavelength (nm)
4.96
250
Fig. 8. Spectral resolved absorption on TMI diluted in N2-carrier gas as function of N2flow through TMI bubbler in %FS. The total flow through the reactor is maintained at 5 slm at 1630 mbar.
Utilizing UVAS, the TMI induced absorption was characterized through the broad absorption band observed in the wavelength range of 190nm 250nm with the absorption maximum centered at 210.7nm. Figure 8 shows the spectral resolved absorption structure as function of N2-carrier flow through the TMI bubbler. For higher TMI concentrations, two absorption centers, around 210.7nm and 221nm, can be distinguished. For higher TMI concentrations, two absorption centers, around 210.7nm and 221nm, can be distinguished. The strongest absorption maximum remains for all TMI concentrations investigated at 210.7nm, and the peak-maximum position does not change significantly with TMI concentration. The analysis of the absorption maximum at 210.7nm as a function of the molar TMI flow ratio % shows an exponential correlation in the form of
N. Dietz
214
a(x) = - 0.37367 + 0.37282 • epx
X 5.44 10
(5)
cm
which allows for the calculation of the number of TMI molecules per time unit as function of the observed absorption magnitude. Absorption maxima vs. TMI flow ratio % 100
x E c o
"-E o
1.0 200
i
a
>
,=210.8nm, monitoring the un-decomposed ammonia and TMI species above the growth surface. The PAR trace in the upper half of the figure is recorded for the wavelength X=632.8nm, monitoring highly sensitive changes in the dielectric function at the substrate-ambient interface. Also indicated in the figure are the positions of the precursor pulse injections with a total cycle sequence repetition time of 6 sec. First, note that the precursor injection time and the response seen in UVAS and PAR are temporally shifted, which is due to the average travel time of the precursors between valve and substrate center line (see section V). Secondly note, it takes about two cycle sequences before the UV absorption feature for TMI clearly develop (see arrows). Looking at the PAR response, a large increase is observed after the first TMI ammonia combination is introduced, indicating the start of InN nucleation and the presence of TMI fragments in the vicinity of the growth surface. A steady state surface chemistry is typically reached after 5 to 20 cyclic precursor exposures, depending on substrate temperature, precursor flow ratio, gas phase velocity and reactor pressure. Figure 18b shows the PAR and UVAS responses during steady-state growth conditions. The periodic modulation of the PAR response can be directly correlated to the presence of ammonia and TMI fragments in a surface reaction layer and at the growth surface. The overall decrease in the PAR signal is correlated to the InN growth per cycle sequence as discussed in detail for p-polarized reflectance.22'33
226
N. Dietz
a) , 6.0
' '
—
'
* -
600
500 550 Wavenumber (cm1)
InN on Sapphire (0001)
b) ''
S
I
Sample #E 0.8
•
' ' ,
1
^
l/S&'l' ' «"
f
S &
X^ =532 nm @ RT f :
-,
f<W$iA -M'
'•• '' 0.4,87'78 indicating a large increase in the carrier localization energy.88 Beside, oxygen has been known to pose a threat to doping in high mole fraction AlGaN due to its large affinity to Al during growth. For low mole fraction AlGaN, oxygen acts as a shallow donor, while for high mole fraction AlGaN, has been predicted to be a deep level by a DX transition for x>0.4.86 Such studies provide the physical basis for understanding the dopant and deep level electronic properties especially for high Al-content AlGaN. Along this vein, Bradley et al.&9 explored the nature of deep level defects and their effects on Si-doped AlGaN with high Al content (25%100%), using the depth-dependent CL spectroscopy and SIMS profiling. SIMS results provide correlations between AlGaN deep level emissions from CL and elemental impurities distributed throughout the epitaxial bulk films. The highest Al mole fraction samples exhibit deep level optical emissions that correlate with O and C impurities measured by SMS. The O impurities contribute as donors at low and intermediate Al content, while form deep levels in high Al-content AlGaN. Interestingly, bowing parameter for AlGaN is determined from the CL energy onset of near-band-edge peak emissions, and b=l is obtained for 0<x0.8) AlGaN (-36 meV) than the lower Al samples (-54 meV). Correspondingly, SIMS measurements demonstrate enhanced O concentrations in the high Al-content AlGaN films than lower Al mole AlGaN. This absence of free carriers for x>0.80 is consistent with Si donor compensation due to deep levels associated with oxygen.
Growth and Electrical/Optical Properties ofAlxGa/.xN
287
E
5 keV excitation 0.2
03
04
OS
0.6
07
08
0-9
1.0
At mote fraction
Fig. 22. Activation energy of the total integrated near band edge emission with 5 keV excitation for AlGaN samples. [After ref. 89]
High Al mole fraction intrinsic AlGaN has been of interest since it can reduce the dark current in solar blind photodetectors. For such application, both the electrical and optical properties under radiation are very important. Polyakov et al.90 studied the proton implantation effects on the electrical and optical properties of undoped n-type Al0.4oGao.6oN films. The samples were studied before and after implantation of various doses of 100 keV protons. In the virgin samples, the electrical properties were determined by deep donor defects with an energy level near 0.25 eV from the conduction band edge and a concentration of ~1018 cm"3. Other deep centers present had energy levels of 0.12, 0.3, and 0.45 eV. The luminescence spectra were dominated by two defect bands near 2.3 and 3.6 eV. Figure 23 shows how the measured sheet resistivities changed with the proton dose. It clearly shows that proton implantation significantly decreased the concentration of major donors even at the lowest doses of 1012 cm"2. For higher doses the Fermi level became progressively deeper and the data indicated complexion of defects present in the sample with either primary radiation defects or hydrogen introduced by implantation. The overall effect of the proton implantation on the intensity of luminescence bands was the increase of intensity of defect bands with implantation. The threshold dose at which detectable changes in the carrier concentration and luminescence efficiency are observed is, for undoped «-AlGaN with x=0.4, quite low, about 1012 cm"2
288
F. Yun
(for comparison, in n-GaN with electron concentration of some 1016 cm"3 comparable changes start at doses of about 1014 cm"2)91 which may have implications for radiation hardness of piezoelectrically doped HEMTs and solar-blind photodetectors. Proton implantation is deemed capable of making AlGaN films with very high sheet resistivity which could be of use in device isolation.
1 a
hee res tivity
JS
10121011^ 1010.
109!
;
108!
w 107i
'
10s 0.0
5.0x10 1 3
1.0x1014 2
Protons d o s e (cm" )
Fig. 23. Dependence of the room temperature sheet resistivity on the 100 keV proton does for studied undoped n-AlGaN samples. [After ref. 90]
la
s a>
QPH
4.25 4 .24 4.23 4.22 4.2-1 4 .20 3.7 6 75 74
A l„ , . G a „ , , N
.73 .7 2 .7 1 .7 0 .83 62 .6 1 00 3.59
~~v 0
100
200
300
Temperature (K)
Fig. 24. T-dependent PL spectra of AlxGa!_xN epilayers with various Al compositions. [After ref. 97]
Growth and Electrical/Optical Properties ofAlxGaj.xN
289
4. Optical Properties Potential fluctuation plays an important role in determining the optical properties of alloy semiconductors. Although theoretical calculations do not show an unstable phase segregation in Al^Gay.^N alloys,92 optical studies of AlxGa/.xN alloys with high Al contents have observed the Sshaped PL shift and Stokes shift,93'94 which can be readily explained by alloy potential fluctuation in a fashion similar to InGaN/GaN MQWs.95,96 Chung et al.97 presented an optical study of alloy potential fluctuation in Al/ja^N using a combination of optical characterization tools en-compassing PL, optical absorption (OA), photo-current )PC), and persistent photoconductivity (PCC). The band edge peak positions of the AljGa/.jN epilayers measured by PL for x=0.08, 0.15, and 0.33 are plotted in Figure 24 as a function of temperature. Alo.0sGao.92N follows the typical temperature behavior of the energy band-gap shrinkage described by Varshni's equation:
E(T)=E(0)+aT2/(T-j3) However, Alo.33Gao.67N shows the "5-shaped" emission peak shift, i.e., decrease-increase-decrease, behavior with increasing temperature. It clearly shows that the increase of Al content is accompanied by the aggravation of the 5-shaped behavior of PL peak energy. The depth of localized states obtained from PPC decay kinetics for Alo.33Gao.67N is 152 meV that is somehow related to the value of 121 meV determined from PL, OA, and PC spectra. This increase in the degree of localized states with large Al compositions, together with the 5-shaped behavior and Stokes shift can be described in terms of localized states formed by alloy potential fluctuations in AlxGa;.xN epilayers. Collins et a/.98 reported enhanced room-temperature luminescence efficiency through carrier localization in Al^Ga^^N alloys. The AlGaN samples were grown by PAMBE on sapphire (0001) substrates, with Al content of 20%-50%. All samples show intense room-temperature PL that is significantly redshifted by 200^400 meV from band edge, as seen in Figure 25.
290
F. Yun
red-shiltud -10K -26K 43 K -73K 103 \ 143 i 193 I»JK K £i ?4?K -•V~X2K 1'
. *
K f\\; i"
'#
\ \
\\ |\\ I \\ band edge
3.8
3.9 4.0 4.1 Photon Energy (eV)
4.2
4,3
Fig. 25. T-dependent PL spectra of the Alo.33Gao.67N film comparing redshifted, 3.82 eV, and band edge, 4.11 eV, emissions. Inset shows a room-temperature monochromatic CL image, 2.56 x 2.56 |im, for the redshifted emission. [After ref. 98] 6.5
—1
¥
i
A
A l , , 2.85 >.
^••->^P=50mW • •
•
s
•
c 2.80
T
0) c 0
P=0.5mW
**
c 2.75
2 •
2.70
2
4 6 8 Quantum well number
10
Fig. 3. Emission energy of InGaN/GaN MQW as a function of quantum-well number under a lower excitation power (0.5mW) and a high excitation power (50mW), measured at 10K. (From Ref.8 with permission of reprinting from American Institute of Physics).
InGaN/GaN MQW MOCVD-Optical Investigation
311
In the next step, we would like to estimate the strength of the straininduced piezoelectric field Epz in 3QW, 5QW and 10QW InGaN/GaN in terms of percentage, compared with 2QW sample which is assumed as fully strained case discussed above. The estimation is also based on the piezoelectric field induced QCSE. Under the first order approximation, the emission energy shift is proportional to the piezoelectric field strength. If 2QW is assumed fully strained, the percentage of the residual piezoelectric field strength in 3QW and 5QW as well as 10QW can be estimated, which is based on the shift of emission energy shown Fig.2. Consequently, the piezoelectric field strength of 3QW, 5QW and 10 QW is calculated to reduce to about 51%, 46% and 40%, respectively. Therefore, the residual strain occurring in 3QW, 5QW and 10QW can be estimated, based on the relationship between strain-induced piezoelectric field Epz and in-plane strain exx given by 15 *,Z=-^-[—*33-*3l]-*„ £r • £0
CD
C 33
where £r, £0 and ey are the dielectric constant of the material, the permittivity of free space and the piezoelectric constant. Based on the equation (1), the residual strain £xx is calculated to be 51%, 46%, 40% in 3QW, 5QW and 10QW, respectively compared with 2QW that is assumed fully strained. These data will be used for the X-ray kinetic simulation to compare with our measured XRD data. Figure 4 shows the measured XRD spectra of all the samples in (0006) 20-co mode, and also gives the simulated XRD patterns (dashed lines) based on X-ray kinetic theory, which will be explained in the following. In all cases, there appear clear satellite peaks of InGaN/GaN MQWs in addition to an identical GaN diffraction peak. Even in the case of 2QW, the satellite peaks can be clearly observed, indicating high quality of these InGaN/GaN MQWs. Comparing the positions of satellite peaks of 2QW and other samples, a clear shift can be found. However, the shifts in 3QW, 5QW and 10QW are very small, while in contrast, the shift shown in their PL spectra in Figure 2 can be observed clearly. Therefore, it indicates that PL measurement is more sensitive method to examine the strain-relaxation than XRD.
312
T. Wang
I
-i^--^
|
""I—T
I
—I""1"™"
I
•
I
•
Fig. 4. Measured and simulated XRD patterns of InGaN/GaN MQW structures based on (0006) 26-co mode. The quantum-well number of InGaN/GaN MQW is 2, 3, 5 and 10, respectively. The dash lines correspond to the simulated data. (From Ref.8 with permission of reprinting from American Institute of Physics).
The XRD simulation method is based on the XRD kinetic theory,40 which has been well used in semiconductor superlattices and quantum well structures. The scattered amplitude at angle 0 can be written as 43 M
F(0) = XfjXeiQd'
(2)
and
fj=flJ+f2jeiQdi where Q-^E^^1,
f •, flj,
fl.
(3) and dj are the complex scattering
A
factor of the jth monolayer, the scattering factor of N atom, the scattering factor of Ga (or In) atom and the spacing between N atomic layer and Ga (or In) atomic layer. The thickness of well and barrier is 4 nm and 9 nm from TEM measurement. The indium concentration is measured to be 13% based on the bowing factor of 3.2 eV mentioned as above. In our simulation, a full strain for 2QW, and 51%, 46%, 40% residual strain for 3QW, 5QW and
InGaN/GaN MQW MOCVD-Optical Investigation
313
10QW are used, which corresponds to in-plane strain £** as discussed above. Consequently, the strain element ^ along z-direction (0001) can be obtained thorough following equation: 19 2cn £
=
ZZ
—• £
C
XX
(4)
V
/
33
where exx is the in-plane strain, ezz is the perpendicular strain which is directly related to our XRD data. C33 and C i3 are elastic constants.15 Furthermore, based on the following equation, the lattice-constant along z-direction can be obtained:
where C and co are the z-direction lattice constant of the epitaxial layer and free-standing layer, respectively. The simulated XRD curves plotted in Figure 4, denoted as dashed lines, are in good agreement with the experimental data, which in turn supports the discussion on the PL results. 3. Quantum-confined Stark Effect and Exciton-localization Effect The pioneering work of QCSE started from AlGaAs/GaAs quantum well structures by Miller et al, 41'42 who found this distinct physical effect when the electric field was exerted across the AlGaAs/GaAs quantum well structure. One of important consequences of QCSE is peak linewidth broadening. Generally, the linewidth of PL emission from InGaN/GaN quantum well structure is quite broad with a full width at half maximum (FWHM), typically in the range of 50-100 meV due to poor crystal quality resulting from the currently limited technology and indium fluctuation. Therefore, the peak linewidth broadening due to QCSE is often ignored. Secondly, it is also difficult to distinguish the different origins for peak linewidth broadening since high quality InGaN/GaN samples are required to minimize the possibilities for this broadening. With high quality InGaN/GaN single quantum well structure, the peak linewidth broadening can be observed. Figure 5(a) show the PL spectra of InGaN/GaN single quantum well (SQW) structure under different excitation powers, measured at 2IK.
T. Wang
314
Figure 5(b) shows the excitation power dependence of FWHM of emission peak, in which the FWHM decreases from 39 meV to about 33.5 meV when the excitation power is raised to 37 mW from 1.6 mW. This is the narrowest report until now in the InGaN/GaN material system. This sample was grown by an atmospheric horizontal MOCVD, and the well thickness is 2.5 nm, typical value for InGaN-based optical devices. In terms of FWHM, the crystal quality of SQW should be higher than that of MQW, which can be well understood from the view of growth. Generally, the growth temperature of InGaN is much lower than that of GaN. The first InGaN well is deposited on the surface of high quality GaN layer, which is grown at high temperature over than 1000°C. But the subsequent InGaN wells are deposited on the GaN layer grown at the low temperature, which is the same as or little higher than the growth temperature of InGaN (i.e. 700-800°C). In addition, during MQW growth, N2 as a carrier gas was used instead of H2 in order to enhance indium incorporation. All of these unavoidably decrease the quality of GaN on which subsequent InGaN wells were deposited. All these result in the degradation of the quality of subsequent InGaN wells.
Energy (eV)
Exciation Power (mW)
Fig. 5. (a) PL spectra of InGaN/GaN single quantum well structure under different excitation powers, measured at 21K (b) FWHM of the SQW as a function of excitation power, indicating FWHM decreases with increasing excitation power. (From Ref.2 with permission of reprinting from American Institute of Physics).
InGaN/GaN MQW MOCVD-Optical Investigation
315
Since the crystal quality of SQW is higher than that of MQW, SQW as an active region was used for LEDs. In this section, the influence of QCSE and exciton-localization effect on the performance of LEDs is investigated, which is based on the study of the LEDs using SQW with different well thickness as an active region. Two series of InGaN/GaN-based LED structures are used, one consisting of four samples, in which the indium-mole-fraction of InGaN well layer is around 23% (based on 1 eV of bowing parameter, 11.5% if a bowing parameter of 3.2 eV is used) and the well thickness is 1.5 nm, 2.5 nm, 4 nm and 5 nm, respectively, (denoted by LED A, LED B, LED C and LED D), and another LED structure (denoted by LED E), which contains about 10% indium (based on 1 eV of bowing parameter, 5% if a bowing parameter of 3.2 eV is used) in the InGaN well layer with 2.5nm. Except InGaN/GaN SQW, a 3 |J.m thick layer of heavily doped n-GaN were grown before InGaN/GaN SQW and a 0.3 |J,m Mg doped GaN is finally grown. In order to avoid the interference effect of top p-type GaN layer on the PL measurement, the other 5 samples are also grown, in which a 100 nm undoped GaN layer is used instead of 0.3 urn Mg dopedGaN. For simplicity, these 5 samples are labeled A, B, C, D, E, corresponding to LED A, LED B, LED C, LED D and LED E, respectively. All the investigated samples in this section were grown by an atmospheric horizontal MOCVD. Firstly, the temperature-dependent PL measurements are carried out on the sample A, B, C, D, E to investigate so-called exiton localization effect as a function of the indium concentration or well-thickness. Based on the band-tail model, the temperature-dependent emission energy could be described by the following expression.29
E(T) = E(0)—^~—^— T + fi KBT
(6)
The first term describes the energy gap at zero temperature; a and J3 are known as Varshini's fitting parameters. The third term comes from the localization effect, in which c indicates the degree of localization effect, i.e., the large value of a means a strong localization effect. KB is Bolzmann's constant. In addition, this model is based on the assumption of non-degenerate occupation.
316
T. Wang
2.9
3.0
Energy (eV)
3.1
3.2
2.8
3.0
3.1
3.2
Energy (eV)
Fig. 6. Temperature-dependent of PL spectra of Ino.23Gao.77N/GaN SQW structure (sample A) with 1.5nm well and the In0.i0Gao.9oN/GaN SQW with 2.5nm well (sample E). The emission energy of sample A firstly decreases with increasing temperature up to about 50K, and then increases with further increasing temperatures up to around 130K. After that, it decreases monotonically with increasing temperature. The emission energy of sample E monotonically decreases from 10k to RT. The solid circles are guide to eyes. (From Ref.7 with permission of reprinting from American Institute of Physics).
As represented cases, Figure 6 shows the temperature-dependent PL spectra of Ino.23Gao.77N/GaN SQW with 1.5 nm well (i.e., Sample A) and Ino.1Gao.90N/GaN with 2.5 nm well (i.e., Sample E). The emission energy of sample A decreases with increasing temperature up to 50 K, and then increases with further increasing temperature up to 130 k. After that, the emission energy decreases with increasing temperature. In contrast with it, the emission energy of sample E decreases monotonically with increasing temperature. Figure 7 shows the emission energy as a function of temperature for samples A, B, C, D, and E, respectively. Except for samples D and E, there appears a temperature-induced blueshift of emission energy for all
317
InGaN/GaN MQW MOCVD-Optkal Investigation
other samples at temperatures higher than 50 K, which is a fingerprint of the exciton localization effect. 2.6 ln
o.23Gao.77N/GaN
lno.23Gao.77N/GaN
d=5 nm
d=1.5 nm ;3.oo • E W2.99
E(0)=3.035eVa=15.4meV a=0.445meV/kp=830K 100 200 Temperature (K)
Ino^Gao^N/GaN d=2.5 nm.
E(0)-2.85eV 0=19.5 meV o=1.23meV/1
—
i
Normalized DT
bulk
•
i
-,....
|
,
4
. InGaNvf InGaN/GaN MQW Pump: 260 nm Probe: 390 nm 1
0
1 2 Time delay (ps)
3
Fig. 19. Nodegenerate pump-probe measurements on bulk InGaN and InGaN/GaN NQWs with 260 nm pumping and 390 nm probing at 300K for 140 uJ/cm2 pump fluence. The solid curves are fit assuming rise times of 160 fs for the InGaN epilayer and 470 fs for MQWs (From Ref.12 with permission of reprinting from American Institute of Physics).
InGaN/GaN MQWMOCVD-Optical Investigation
335
Carrier Density (x 1019 cm'3) Normal zed DT
700 600 CD
500
E
300 K \ K 140(iJ/cm2 1 0
400
1 2
3
4
Time delay (ps)
+-»
Q. (0
o
300 200 I
100 200 300 400 500 Excitation Energy Density (jiJ/cm2)
Fig. 20. Capture time for sample 1 at 300 K with 260 nm pumping versus excitation energy density. The top axis gives the initial density in the barriers averaged over the MQW region. The inset compares the DT signals at 5 K and 300 K for an excitation energy density of 140 |xl/cm2. (From Ref.12 with permission of reprinting from American Institute of Physics).
The DT rise time is therefore expected to be determined primarily by the electron dynamics. The measured rise time is a convolution of the laser pulse width, the electron relaxation time to the GaN band edge, and the electron capture time Tenure. We were able to determine the convolution of the first two parameters separately by performing a pumpprobe experiment on an Ino.11Gao.g9N epilayer using pump pulses at 260 nm and probe pulses at 390 nm. Figure 19 compares the DT signal for the bulk epilayer with that obtained for the MQW under identical conditions. The DT rise time for the epilayer was 160 fs, which has to be compared to the value of 470 fs obtained for the MQW. On the assumption that the electron cooling times of the InGaN epilayer and bulk GaN are similar, we were then able to determine ^capture
by deconvolving the pulse width/carrier cooling time through a rate equation analysis of the DT rise time for the MQW samples. Figure 20 shows the excitation energy dependence of the electron capture time for sample 1 at 300 K. The corresponding carrier density
336
T. Wang
^barrier is plotted on the top axis. The carrier densities represent the initial density in the GaN barriers averaged across the MQW region and were calculated by assuming an absorption coefficient of 1.8 x 105 cm-1 at the pump wavelength.58 Carrier densities ~1019 cm"3 occur frequently in laser diodes, and hence the present results are directly relevant to the carrier dynamics in the stimulated emission regime. The results in Fig. 19 indicate that the capture time decreases with increasing carrier density, in agreement with theoretical calculations for GaN/AlGaN quantum wells.53 In the model of ref 53, the decrease in ^capture occurs through the increased overlap between the confined and continuum states caused by band bending in the barrier. This band bending arises from the variation of the local charge density caused by the different electron and hole capture times. Another factor that may also be significant, and has yet to be considered theoretically, is increased carrier-carrier scattering at higher densities. The inset of Fig. 19 compares the results obtained at 140 uJ/cm2 (Awarder ~ 1 .OxlO19 cm"3) for temperatures of 5 K and 300 K. The capture time at 5 K is 780 ± 40 fs, significantly slower than that the room temperature value of 470 ± 40 fs. This behaviour agrees with calculations performed for GaN/AIN quantum wells which predict that the capture velocity (and hence the capture rate) generally increases with temperature due to the thermal broadening of the electron distribution.54 An alternative explanation is that the electron relaxation is slower at 5 K. However, we consider this second explanation to be unlikely because the electron-phonon scattering rate in GaN has been determined to be 4xl0 13 s' at 25 K,59 which implies that the carrier relaxation time is much faster than the time resolution of our experiment. Our results concur with CW electroluminescence measurements which show that carrier capture is less efficient at low temperatures in blue nitride QW LEDs,61'62 although it is important to realize that the CW studies are also affected by the temperature dependence of carrier transport processes. The results obtained for sample 2 were broadly similar to those for sample 1, but with some important differences. The peak emission wavelength at 5 K was 418 nm as opposed to 413 nm for sample 1, which confirmed the slightly larger indium mole fraction determined by the XRD and TEM measurements. The capture times were somewhat
InGaN/GaN MQW MOCVD-Optical Investigation
337
faster than those for sample 1. For example, capture times of 680+30 fs and 440+30 fs are determined at 140 mJ/cm2 for temperatures of 5 and 300 K, respectively. The faster capture times are consistent with cw-PL measurements, which indicate that the capture is more efficient in deeper wells.63 Moreover, theoretical work predicts that the capture time should be an oscillatory function of the QW width, with resonances whenever a new bound state is formed at the top of the QW.52"54 The same argument would imply a similarly strong dependence on the indium mole fraction at constant well width. The faster capture times and weaker temperature dependence measured for sample 2 could then be explained by assuming that sample 2 is closer to one of the electron resonances than is sample 1. The capture times measured in both samples are comparable to, but slightly longer than, the capture times reported for Si-doped Ino.05Gao.95N/Ino.15Gao.85N and Ino.07Gao.93 N/In0.i2Gao.8gN MQWs at RT. 5556 One possible reason for this slight discrepancy is the band bending caused by the Si doping. Alternatively, the difference may simply be related to the strong sensitivity of the capture time to the precise QW parameters that we have noted earlier.
7. Summary The quantum-well number dependence of strain-relaxation in InGaN/GaN MQW has been investigated by XRD and photoluminescence measurements. With increasing quantum-well number, the emission energy shows a clear shift, which is attributed to the strain-relaxation. Based on the QCSE model, the residual strain is estimated for our X-ray kinetic simulation, agreeing well with the measured XRD patterns. The quantum-confined-Stark effect and the exciton localization effect in PnGaN/GaN-based LEDs were systematically studied. Based on the measurement of LED output power, the exciton localization effect is confirmed to be helpful for improving the output power of LED. However, QCSE shows much stronger influence on the output power of LEDs than the exciton localization effect, which should be taken into account in designing blue/green LEDs. The emission mechanism of InGaN/GaN MQWs with different well thickness including the internal quantum efficiency has been investigated
338
T. Wang
under high excitation. For the MQWs with wide-well, strong emission from quantum-dot-like states has been observed, confirmed by the temperature- and power- dependent PL measurements. The studies indicates that the emission mechanism under high excitation is dominated by quantum-dot-like states from room temperature to low temperature, which can partly explain the enhanced internal quantum efficiency under high excitation compared to low excitation. This result is of critical importance for understanding the emission mechanism during lasing process for InGaN/GaN MQWs based laser diodes. The investigation of the stimulated emission under optical pumping has been carried out on InGaN/GaN MQW structures at room temperature. The threshold of optical pumping decreases monotonically as a result of increasing well thickness. The stimulated emission is generated under high optical pumping that results in the completely screening of the piezoelectric field. In this case, the stimulated emission mechanism is not dominated by the QCSE, while the QCSE is generally accepted to play an important role in the process of spontaneous emission in InGaN/GaN-based LEDs. Consequently, InGaN/GaN MQW behaves in the same manner as the classical AlGaAs/GaAs system, and the threshold decreases with increasing well thickness. This different mechanism between spontaneous and stimulated emissions must be highly taken into account in designing InGaN/GaN LD and LED. The carrier capture times for InGaN/GaN quantum wells were by non-degenerate femtosecond pump-probe measurements. The capture times were deduced from the rise time of the QW differential transmission signal following photoexcitation of carriers in the barriers by femtosecond UV pulses. It is found that the capture time varies significantly with carrier density, temperature and indium mole fraction. These results suggest that the capture time is a complicated function of the excitation conditions and sample design, which clearly has implications for the design of LEDs and low threshold, high efficiency lasers.
InGaN/GaN MQW MOCVD-Optical Investigation
339
Acknowledgments Experimental results reported here are parts of work in Satellite Venture Business Laboratory, the University of Tokushima in Japan, and in Department of Electronic and Electrical Engineering and Department of Physics, the University of Sheffield in the UK. The author is grateful to Prof. S Sakai, Drs J Bai, P J Parbook, M Fox and W H Fan for their contributions to this work. References 1. S Nakamura, M Senoh, S Nagahama, N Iwasa, T Yamada, T Matsushita, H Kiyoku, Y Sugimoto, T Kozaki, H Umemoto, M Sano and K Chocho, "InGaN/GaN/AlGaNbased laser diodes with modulation-doped strained-layer superlattices", Jpn. J. Appl. Phys. 36, LI 568 (1997). 2. T Wang, D Nakagawa, J Wang, T Sugahara and S Sakai, "Photoluminescence investigation of InGaN/GaN single quantum well and multiple quantum wells", Appl.Phys.Lett. 73,3571 (1998). 3. T Wang, D Nakagawa, M Lachab, T Sugahara and S Sakai, "Optical investigation of InGaN/GaN multiple quantum wells", Appl.Phys.Lett. 74, 3128 (1999). 4. T Wang, H Saeki, J Bai, M Lachab, T Shirahama and S Sakai, "Effect of silicondoping on the optical and transport properties of InGaN/GaN multiple quantum well structures", Appl.Phys.Lett 76, 1737 (2000). 5. T Wang, J Bai and S Sakai, "Influence of InGaN/GaN quantum-well structure on the performance of light-emitting diodes and laser diodes grown on sapphire substrate", J. Cryst. Growth 224, 5 (2001). 6. J Bai, T Wang and S Sakai, "The influence of well-thickness on the mechanism of radiative recombination in InGaN/GaN quantum well structure", J. Appl. Phys. 88, 4729 (2000). 7. T Wang, J Bai, S Sakai and J K Ho, "Investigation of the emission mechanism in InGaN/GaN-based light-emitting diodes", Appl.Phys.Lett. 78, 2671 (2001). 8. J Bai, T Wang and S Sakai, "Investigation of the strain-relaxation in InGaN/GaN multiple-quantum-well structures", J.Appl.Phys. 90, 1740(2001). 9. T Wang, P J Parbrook, W H Fan and A M Fox "Optical Investigation of InGaN/GaN multiple-quantum wells under high excitation", Appl.Phys.Lett. 84, 5159(2004). 10. T Wang, P J Parbrook, M A Whitehead, W H Fan, S M Olaizola and A M Fox, "Study of stimulated emission from InGaN/GaN quantum well structure", J. Cryst. Growth 273/1-2, 48-53 (2004).
340
T. Wang
11. W H Fan, S M Olaizola, T Wang, P J Parbrook, M A Whitehead and A M Fox, "Temperature dependence of carrier capture time in InGaN/GaN multiple quantum wells", Phys. Stat. Sol. (b), 240, 364 (2003). 12. W H Fan, S M Olaizola, J P R. Wells, A M Fox, T Wang, P J Parbrook, D J Mowbray, and M S Skolnick, "Femtosecond studies of electron capture times in InGaN/GaN multiple quantum wells", Appl.Phys.Lett. 84, 3052(2004). 13. T Wang, J Bai and S Sakai, International Workshop on Nitride semiconductors (IWN2000) 09/24-27/2000, Nagoya, Japan. 14. See website: http://www.uknc.org. 15. T Takeuchi, S Sota, M Katsuragawa, M Komori, H Takeuchi, H Amano and I Akasaki, "Quantum-confined stark effect due to piezoelectric fields in GalnN strained quantum wells", Jpn. J. Appl. Phys. 36, L382 (1997). 16. M Koike, S Yamasaki, S Nagai, N Koide, S Asami, H Amano and I Akasaki, "Highquality GalnN/GaN multiple quantum wells ", Appl.Phys.Lett. 68, 1403 (1996). 17. T Takeuchi, S Sota, M Katsuragawa, M Komori, H Takeuchi, H Amano and I Akasaki, "Optical properties of strained AlGaN and GalnN on GaN", Jpn. J. Appl. Phys. 36, L177 (1997). 18. N A Shapiro, P Perlin, C Kisielowski, L S Mattos, J W Yang and E R Weber, "The effects of indium concentration and well-thickness on the mechanisms of radiative recombination in InxGa].xN quantum wells", MRS Internet J. Nitride Semicond. Res. 5 1 (2000) 19. P Perlin, C Kisielowski, V Iota, B A Weinstein, L Mattos, N A Shapiro, J Kruger, E R Weber, Jinwei Yang, "InGaN/GaN quantum wells studied by high pressure, variable temperature, and excitation power spectroscopy", Appl.Phys.Lett. 73, 2778 (1998). 20. T Takeuchi, C Wetzel, SYamaguchi, H Sakai, H Amano and I Akasaki, "Determination of piezoelectric fields in strained GalnN quantum wells using the quantum-confined Stark effect", Appl. Phys. Lett. 73, 1691 (1998). 21. M D Nardelli, K Rapcewicz and J Bernholc, "Polarization field effects on the electron-hole recombination dynamics in In0.2Ga0.8N/lni_xGaxN multiple quantum wells", Appl.Phys.Lett. 71, 3135 (1997). 22. A Hangleiter, "Optical properties and polarization fields in the nitrides" J.of Lumin. 87-89, 130 (2000). 23. J K Sheu, G C Chi, Y K Su, C C Liu, C M Chang, W C Hung and M J Jou, "Luminescence of an InGaN/GaN multiple quantum well light-emitting diode", Solid-State Electronics, 44,1055 (2000). 24. O Mayrock, H J Wiinsche, and F Henneberger, "Polarization charge screening and indium surface segregation in (In,Ga)N/GaN single and multiple quantum wells", Phys.Rev. B 62, 16870 (1990). 25. S F Chichibu, A C Abare, M S Minsky, S Keller, S B Fleischer, J E Bowers, E Hu, U K Mishra, L A Coldren, S P DenBaars and T Sota, " Effective band gap
InGaN/GaN MQW MOCVD-Optical Investigation
26.
27. 28.
29. 30.
31. 32.
33.
34.
35.
36.
37.
38.
39.
341
inhomogeneity and piezoelectric field in InGaN/GaN multiquantum well structures", Appl. Phys. Lett. 73, 2006 (1998). R Singh, D Doppalapudi and T D Moustakas, "Phase separation in InGaN thick films and formation of InGaN/GaN double heterostructures in the entire alloy composition", Appl. Phys. Lett. 70,1089 (1997). S Chichibu, K Wada and S Nakamura, "Spatially resolved cathodoluminescence spectra of InGaN quantum wells", Appl. Phys. Lett. 71, 2346 (1997). Y Narukawa, Y Kawakami, M Funato, S Fujita and S Nakamura, "Recombination dynamics of localized excitons in In02oGag,soN-In0osGa09s multiple quantum wells", Phys. Rev. B 55, R1938 (1997). P G Eliseev, P Perlin, J Lee and M Osinski, "Blue temperature-induced shift and band-tail emission in InGaN-based light sources", Appl. Phys. Lett. 71, 569 (1997). L Nistor, H.Bender, A Vantomme, M F Wu, J Landuyt, K P O'Donnell, RW Martin, K Jacons and I Moerman, "Direct evidence of spontaneous quantum dot formation in a thick InGaN epilayer", Appl.Phys.Lett. 77, 507 (2000). K P O'Donnell, R W Martin and P G Middleton, "Origin of luminescence from InGaN diodes", Phys.Rev.Lett. 82, 237 (1999). Y Narukawa, K Sawada, Y Kawakami, Shizuo Fujita, Shigeo Fujita, and S Nakamura, "Emission mechanism of localized excitons in InxGaj.xN single quantum weir, J. Cryst. Growth 189-190, 606 (1998). Y Kawakami, Y Narukawa, K Sawada, S Saijyo, Shizuo Fujita, Shigeo Fujita and S Nakamura, "Recombination dynamics of localized excitons in self-formed InGaN quantum dots", Materials Science and Engineering B 50, 256 (1997). E L Piner, M K Behbehani, S X Liu, N A El-Masr and S M Bedair, "Phase separation and ordering coexisting in Infiaj^N grown by metal organic chemical vapor deposition", Appl.Phys.Lett. 75, 2202 (1999). A Satake, Y Masumoto, T Miyajima, T Asatsuma, F Nakamura and M Ikeda, "Localized exciton and its stimulated emission in InGaN multiple quantum wells", J. Cryst. Growth 190, 601 (1998). P A Crowell, D K Young, S Keller, E L Hu and D D Awschalom, "Near-field scanning optical spectroscopy of an InGaN quantum well", Appl.Phys.Lett. 72, 927 (1998). K L Teo, J S Colton, P Y Yu, E R Weber, M F Li, W Liu, K Uchida, H Tokunaga, N Akutsu and K Matsumoto, "An analysis of temperature dependent photoluminescence line shapes in InGaN\ Appl.Phys.Lett. 73, 1697 (1998). E S Jeon, V Kozlov, Y K Song, A Vertikov, M Kuball, A V Nurmikko, H Liu, C Chen, R S Kern, C P Kuo, and M G Craford, "Recombination dynamics in InGaN quantum wells", Appl.Phys.Lett. 69,4194 (1996). S Nakamura, in Introduction to Nitride Semiconductor Blue Lasers and Light Emitting Diodes, edited by S Nakamura and S G Chichibu (Taylor and Francis, London, 2000), pp. 325.
342
T. Wang
40. J Bai, W H Liu, Z Q Wu, Y T Wang, L Xiu, and X M Jiang, "X-ray diffraction study of GaAs/InAs/GaAs ultrathin single quantum well", J. Appl. Phys. 79, 7627 (1996). 41. D A B Miller, D S Chemla, T C Damen, A C Gossard, W Wiegmann, T H Wood and C A Burrus, "Electric-field depedence of optical absorption near the band-gap of quantum-well structures", Phys.Rev. B32, 1043 (1985). 42. D A B Miller, D S Chemla, T C Damen, A C Gossard, W Wiegmann, T H Wood and C A Burrus, "Band-edge electroabsorption in quantum welll structures-the quantum confined Stark-effect', Phys. Rev. Lett. 26, 2173 (1984). 43. B E Warren, X-ray diffraction (Adison-Wesley, MA, 1969), P.28. 44. B K Ridley, "Kinetics of radiative recombination in quantum-wells", Phys. Rev. B 41,12190(1990). 45. I Vurgaftman and J R Meyer, "Band parameters for nitrogen-containing semiconductors", J. Appl. Phys. 94, 3675 (2003). 46. J Ristic, E Calleja, M A Sanchez-Garcia, J M Ulloa, J Sanchez-Paramo, J M Calleja, U Jahn, A Trampert and K H Ploog, "Characterization of GaN quantum discs embedded in AlxGal-xN nanocolumns grown by molecular beam epitaxy", Phys. Rev. B 68,125305 (2003). 47. Y Arakawa, "Progress and prospect of quantum dot lasers", Proceeding of SPIE Vol.4580, 179(2001). 48. J Rice, Private communication. 49. H Schomig, S Halm, A Forchel, G Bacher, J Off, and F Scholz, "Probing Individual Localization Centers in an InGaN/GaN Quantum Well", Phy. Rev. Lett. 92,106802 (2004). 50. O Ambacher, "Growth and applications of Group III nitrides", J.Phys.D: Appl.Phys. 31, 2653 (1998). 51. In fact, the PL measurements of some samples with 1 nm well thickness also indicated that their PL intensities are lower than that of sample A, the sample with 1.4 nm well. Therefore, we can say the PL intensity increases with increasing well thickness up to 2 nm. 52. N S Mansour, K W Kim and M A Littlejohn, " Theoretical study of electrontransport in Gallium Nitride", J. Appl. Phys. 77, 2834 (1995). 53. J Wang, K W Kim and M A Littlejohn, "Carrier capture in pseudomorphically strained wurtzite GaN quantum-well lasers", Appl. Phys. Lett. 71, 820 (1997). 54. N A Zakhleniuk, C R Bennett, V N Stavrou, M Babiker and B K Ridley, "Quantum capture of injected electrons in GaN-based laser heterostructures", Phys. Stat. Sol. A 176, 79 (1999). 55. U Ozgur, M J Bergmann, H C Casey Jr., H O Everitt, A C Abare, S Keller and S P DenBaars, "Ultrafast optical characterization of carrier capture times in InxGaj_xN multiple quantum wells", Appl. Phys. Lett. 77, 109 (2000). 56. U Ozgiir, H O Everitt, S Keller and S P DenBaars, "Stimulated emission and ultrafast carrier relaxation in InGaN multiple quantum wells", Appl. Phys. Lett. 82, 1416 (2003).
InGaN/GaN MQW MOCVD-Optical Investigation
343
57. For example, S F Chichibu, Y Kawakami, and T Sota in Introduction to Nitride Semiconductor Blue Lasers and Light Emitting Diodes, edited by S Nakamura and S G Chichibu (Taylor and Francis, London, 2000), pp. 153-270. 58. J F Muth, J H Lee, I K Shmagin, R M Kolbas, H C Casey Jr., B P Keller, U K Mishra, and S P DenBaars, "Absorption coefficient, energy gap, exciton binding energy, and recombination lifetime of GaN obtained from transmission measurements", Appl. Phys. Lett. 71, 2572 (1997). 59. KT Tsen, D K Ferry, A Botchkarev, B Sverdlov, A Salvador and H Morkoc, "Direct measurements of electron-longitudinal optical phonon scattering rates in wurtzite GaN", Appl. Phys. Lett. 71, 1852 (1997). 60. A Hori, D Yasunaga, A Satake and K Fujiwara, "Temperature dependence of electroluminescence intensity of green and blue InGaN single-quantum-well lightemitting diodes", Appl. Phys. Lett. 79, 3723 (2001). 61. A Hori, D Yasunaga, A Satake and K Fujiwara, "Temperature and injection current dependence of electroluminescence intensity in green and blue InGaN singlequantum-well light-emitting diodes", J. Appl. Phys. 93, 3152 (2003). 62. X A Cao, S F Leboeuf, L B Rowland, C H Yan and H Liu, "Temperature-dependent emission intensity and energy shift in InGaN/GaN multiple-quantum-well lightemitting diodes", Appl. Phys. Lett. 82, 3614 (2003). 63. F Binet, J Y Duboz, C Grattepain, F Scholz, and J Off, "Carrier capture in InGaN quantum wells and hot carrier effects in GaN", Mater. Sci. Eng. B 59, 323 (1999).
This page is intentionally left blank
CHAPTER 10 CLUSTERING NANOSTRUCTURES AND OPTICAL CHARACTERISTICS IN INGAN/GAN QUANTUM-WELL STRUCTURES WITH SILICON DOPING
Yung-Chen Cheng, Cheng-Yen Chen, and C. C. Yang Graduate Institute of Electro-Optical Engineering and Department of Electrical Engineering, National Taiwan University, 1, Roosevelt Road, Sec. 4, Taipei, Taiwan, R.O.C. E-mail:
[email protected] The results of photoluminescence (PL), detection-energy-dependent photoluminescence excitation, excitation-energy-dependent photoluminescence, amplified spontaneous emission (ASE), cathodoluminescence (CL) and strain state analysis (SSA) of three InGaN/GaN quantum-well (QW) samples with un-doped, well-doped, and barrier-doped conditions are compared for understanding the silicon doping effects on nanostructure and photon emission mechanism. Based on the SSA and CL images, a nanostructure model is built for describing the potential fluctuation differences between the three samples. In the barrier-doped sample, strongly clustering nanostructures with individual steep potential minima, which generate significant quantum confinement effects, are assumed. In the undoped and well-doped samples, relatively weaker composition fluctuations, in which carriers relax through a cascading process, are proposed. Between the undoped and well-doped samples, the potential fluctuation in the well-doped sample is relatively steeper such that a certain extent of quantum confinement existed. Such variations in nanostructure result in different carrier transport processes between the coexistent quantum dot and quantum well states, which well explain the PL, DEDPLE, EEDPL, ASE, and CL observations. In particular, the PL results provide us clues for speculating that the S-shape behavior of PL peak position is dominated by the quantum-confined Stark effect (QCSE) in an undoped InGaN/GaN QW structure. However, carrier
345
Cheng, Chen, Yang
346
localization is more effective in blue-shifting luminescence and improving radiative efficiency of a sample, when compared with the relaxation of QCSE. Also, the ASE results show the temperaturedependent evolution of gain spectrum due to the liquidation of thermalized carriers. Different nanostructures result in different spectral variation trends. 1. Introduction Silicon doping in InGaN/GaN quantum well (QW) structures has been widely used in fabricating high-performance light-emitting devices. By appropriately doping silicon in certain layers, photon emission efficiency can be significantly enhanced. The effects of silicon doping have been widely studied. Several models were proposed for interpreting the optical and material characteristics of InGaN/GaN QWs with silicon doping.1"10 The most commonly used model is the carrier screening effect of the strain-induced piezoelectric field and hence the reduction of quantumconfined Stark effect (QCSE). This effect leads to the reduced Stokes shift,1,2'5 the decrease of radiative recombination lifetime,2'3'510 the blue shift of PL spectrum,4'5 and the enhancement of photon emission efficiency.1'5'6 Also, material analyses have led to the conclusions of growth mode change,6 nanostructure alternation,7 formation of abrupt QW interfaces,2'8 strain relaxation,7'9 and higher potential uniformity in QWs.5 These conclusions are not necessarily mutually consistent, particularly in the material structures of such silicon-doped QW samples. Although these models can interpret certain observed phenomena in a silicon-doped sample, they are essentially based on phenomenological viewpoints. The fundamental issues, such as the variations of nanostructure upon silicon doping of different conditions and their implications in radiative mechanisms of such samples have not been well studied yet. The study on their nanostructures is important because it is usually difficult to grow uniform InGaN alloy, particularly with high indium contents, due to the solid phase immiscibility and phase separation between GaN and InN,11"13 which originate from their large lattice constant mismatch (-11 %). In such a compound, the clustering nanostructures and their derivative effects dominate the photon emission
InGaN/GaN Quantum Wells - Clustering Nanostructures, Silicon Doping
347
process.14"16 Understanding the nanostructures can help us in designing the optimum growth and process conditions for high-efficiency lightemitting devices. In this chapter, we demonstrate the comparisons of nanostructure and associated optical characteristics between three green-emitting InGaN/GaN QW samples of the same geometry and composition, but different silicon doping conditions. The three samples of no doping, well doping, and barrier doping were prepared for comparison. Several optical characterization methods, including temperature-dependent photoluminescence (PL), excitation-intensity-dependent photoluminescence, excitation-energy-dependent photoluminescence (EEDPL), detection-energy-dependent photoluminescence excitation (DEDPLE), and amplified spontaneous emission (ASE), were utilized to study the differences of photon emission mechanism between the three samples. To understand their nanostructures more directly, the method of strain state analysis (SSA), based on a high-resolution transmission electron microscopy (HRTEM) technique17, and cathodoluminescence (CL) measurement were performed. The results of SSA and CL show the strongest indium clustering structure, which leads to significant quantum confinement effects, in the barrier-doped sample. On the other hand, relatively milder potential fluctuations exist in the undoped sample, in which shallow indium-rich clusters contribute to photon emission when the sample is weakly excited. In the well-doped sample, the degree of potential fluctuation is essentially between the other two samples. Other optical characterization results, including the blue-shifted trend of PL spectral peak and the improvement of radiative efficiency in the barrierdoped sample, can be well interpreted with the nanostructure model based on the SSA and CL observations. Meanwhile, the ASE spectra show a distinct temperature dependence of optical gain characteristics in the barrier-doped sample. The liquidation of thermalized carriers homogenizes the gain spectrum as temperature increases, leading to the evolution of ASE spectrum from a multiple-peak feature into a single major peak distribution. This chapter is organized as follows: In section II, the sample structures and experimental conditions are described. In section III, the measurement results of PL, EEDPL, and DEDPLE are reported. Then, in
348
Cheng, Chen, Yang
sections IV-VI, the observations of ASE, CL, and SSA, respectively, are discussed. A nanostructure model and the interpretations for the optical characteristics are proposed in section VII. Finally, conclusions are drawn in section VIII. 2. Sample Preparation and Measurement Conditions The three InGaN/GaN QW samples of different doping conditions were prepared with MOCVD growth on sapphire (0001) substrate. They all consisted of five QW periods, with 2.5 nm in well width and 7.5 nm in barrier width, on top of an un-doped GaN buffer layer of 1.52 yuan, in thickness. The growth temperatures were 1100 and 800 °C for the GaN barriers and InGaN wells, respectively. The doping concentration of silicon was 5 x 1018 cm" , either in barriers or wells. The samples of undoped, well-doped and barrier-doped conditions were denoted with HU, HW, and HB, respectively. The nominal indium contents of the three samples are the same at 20 %. Continuous-wave (CW) PL measurements were carried out with the 325 nm line of a 35 mW He-Cd laser. The samples were placed in a cryostat for temperature-dependent measurements ranging from 10 to 300 K. PLE experiments were conducted using a quasi-monochromatic excitation light source from a xenon lamp dispersed by a 0.15-m monochromator. A 0.5-m monochromator was also used for detecting the luminescence intensity at selected wavelengths. In the measurements of EEDPL, a xenon lamp, dispersed by a 0.15-m monochromator, was used for varying the excitation wavelength. Regarding the ASE measurements, the fourth-harmonic (266 nm) of a Q-switched Nd:YAG laser at 100 Hz was focused with a cylindrical lens to form a line-shaped excitation beam of 2.5 mm in length. The excitation pulse width was 3 ns and its peak intensity was 10 MW/cm2. The HRTEM investigations (for SSA) were conducted with a 300 keV JEM 3010 microscope. All the high-resolution micrographs were taken with two-electron-beam interference. The CL images and spectra were acquired using a Gatan monoCL3 spectrometer in a JEOL JSM 6700F SEM system. The acceleration voltage of electron beam was 2 kV
InGaN/GaN Quantum Wells - Clustering Nanostructures, Silicon Doping
349
3. Photoluminescence and Photoluminescence Excitation In Figure 1, we show the temperature-dependent variations of PL spectral peak energy of the three samples. Here, a significant blue shift of spectral peak energy in sample HB, when compared with the other two samples, can be observed. A clear S-shape variation can be seen only in sample HU. The PL spectral peak energies of samples HU and HW are quite close below 150 K. They split at higher temperatures because of the significant blue-shift trend in sample HU. It is interesting to note that the curves of samples HW and HB are almost parallel in Figure 1. In Figure 2, we show the temperature-dependent variations of normalized integrated PL intensity of the three samples.
*
2.45
I 2.30
50
100
150
200
250
300
Tem p e r a t u r e (K)
Fig. 1. Temperature-dependent PL spectral peak energies of the three samples.
50
100
150
200
250
300
T e m p e r a t u r e (K)
Fig. 2. Temperature-dependent integrated PL intensities of the three samples.
Cheng, Chen, Yang
350
Here, one can see that the radiative efficiency of sample HB is higher than those of the other two samples. Such results are quite similar to what we have reported previously with similar samples emitting violet photons.7 Barrier-doped samples always result in higher photon emission efficiencies. In the inset of Figure 2, we show the curve fitting of the Arrhenius plots. Here, the continuous curves represent the best fitting results with the equation18 I(T)
= a l + yffexp
E AX
-{/iT) T
e xPp{ l ++ rrcx
E A2 " /kT
(1)
Here, I(T) is the temperature-dependent normalized integrated PL intensity and the two activation energies EA1 and EA2 correspond to two different thermal quenching processes. The notations /? and /represent the probability ratios of non-radiative over radiative transitions in the two processes, respectively. The constant or is a fitting parameter. In the low temperature range, the shallower decay trend of the integrated PL intensity is dominated by the first exponential term with a smaller activation energy EA1, ranging from 4.2 to 9.1 meV, and f5 value around unity for all the three samples, as shown in Table 1. This portion of decay is supposed to originate from carrier consumption by the defects during carrier transport within a cluster or between coupled clusters.1 The smallest /? and the largest EA1 in sample HB imply the strongest carrier confinement in this sample. The second exponential term in (1) describes mainly the intensity decay in the higher-temperature range. The values of y (the corresponding activation energies, EA2) are 130.2 (44.6 meV), 250.8 (56 meV), and 66.6 (63.7 meV) for samples HU, HW, and HB, respectively. The results show again the strongest carrier localization effect in sample HB. Table 1. Results of the best curve fitting for the parameters in (1) of the three samples. sample
HU
HW
HB
a
0.989
0.994
0.989
P
1.25
1.08
0.78
7 (meV)
130.2
250.8
66.6
5.1
4.2
9.1
EA2 (meV)
44.6
56
63.7
EM
InGaN/GaN Quantum Wells - Clustering Nanostructures, Silicon Doping
351
2.415
Pump Intensity (W/cm )
Fig. 3. Excitation-intensity-dependent PL spectral peak energies of the three samples at 10 K.
Figure 3 shows the excitation-intensity dependent PL peak energies of the three samples at 10 K. Here, one can observe the increasing trends with excitation intensity in samples HU and HW, although their variation ranges are quite different. It is interesting to see the decreasing trend in sample HB. The different trends can be attributed to the different nanostructures, piezoelectric fields, and carrier densities in these three samples. In Figure 4, we show the EEDPL spectral peak positions as functions of excitation photon energy for the three samples at 10 K. In sample HU, the spectral peak energy of EEDPL is almost unchanged when carriers are excited in the quantum well layers. However, the peak energy blue shifts by around 10 meV if the carriers are excited in the barrier level. Such results imply that potential fluctuation exists in this sample and the carrier transport path determines the final states for carrier recombination. In sample HW, EEDPL peak energy decreases with increasing excitation energy. However, the opposite trend is observed in sample HB. Note that the EEDPL peak energies in samples HW and HB with carriers excited in the barrier levels are almost unchanged when the excitation energy is
352
Cheng, Chen, Yang
varied. These observations suggest that the structures of potential fluctuation among the three samples are quite different. W avelength 500
450
2.5
(nm )
400
3.0
Excitation
350
3.5
4.0
Energy
(eV)
Fig. 4. EEDPL spectral peak energies as functions of excitation photon energy of the three samples at 10 K. Wavelength 660 550
440
(nm) 330 --2.380 eV 2 .465 e V PLE
P LE 2.0
2.5
3.0
Excitation
3.5
4.0
Energy
4.5
(eV)
Fig. 5. PL and DEDPLE spectra of the three samples at 10 K. The energy values in eV shown in the legends represent the detection photon energies.
InGaN/GaN Quantum Wells - Clustering Nanostructures, Silicon Doping
353
In Figure 5, we show the PL and DEDPLE spectra of the three samples at 10 K. the DEDPLE spectra were normalized to the level of the GaN absorption peak at 3.5 eV. The energy values in eV shown in the legends represent the detection photon energies. Here, one can see that in sample HU, the luminescence intensity level with the InGaN absorption band decreases with increasing detection photon energy. However, the opposite variation trend is observed in sample HW. Meanwhile, almost the same absorption spectra, when the detection photon energy is varied, were measured in sample HB. Such major differences imply again the significant variations in sample nanostructure upon silicon doping of different conditions. The other important observation in Figure 5(c) is the maximum InGaN absorption in all PLE measurements of sample HB. The stronger InGaN absorption than the GaN barrier implies that no clear interfaces exist between wells and barriers in this sample. Note that the oscillating features in Figures 5(a)-(c) originate from the Fabry-Perot resonance in a cavity consisting of the multiple quantum well layers and the GaN buffer layer. 3.1. Amplified Spontaneous Emission In Figures 6(a)-(c), we show the temperature-dependent ASE spectra of the three samples. In these figures, the thick solid curves at the lower-left and upper-left corners represent the PL spectra at room temperature and 10 K, respectively. For temperatures above 220 K, the ASE spectra show clear Fabry-Perot resonance modes in samples HU and HW with the period corresponding to the thickness of the epi-layers of the samples. The sharp peaks at 2.33 eV in the ASE spectra correspond to the 532 nm line or the second-order diffraction of the 266 nm line from the pumping laser. Below 220 K, the two emission peaks in samples HU and HW can be identified as the PL peak (the lower-energy one, which corresponds to the localized or quantum dot - QD states) and the stimulated emission peak (the higher-energy one, which corresponds to the "free-carrier" or QW states). The PL peaks at 10 K and room temperature in the ASE spectra of samples HU and HW are quite consistent with those in Figure 1, as indicated with the thick curves in Figures 6(a) and (b).
Cheng, Chen, Yang
354
Wavelength (nm) 650600 550 500
450
400
350
10K 20K
(A C
a> •o
a> N
75 E >_ o z
Photon Energy (eV) Fig. 6. (a) Temperature-dependent ASE spectra of samples HU.
Wavelength (nm) 600
540
480
420
360
(0
c
I i
2.0 2.2 2.4 2.6 2.8 3.0 3.2 3.4 3.6 3.8
Photon Energy (eV) Fig. 6. (b) Temperature-dependent ASE spectra of samples HW .
4.0
InGaN/GaN Quantum Wells - Clustering Nanostructures, Silicon Doping
355
Wavelength (nm) 550
500
450
400
350
Photon Energy (eV) Fig. 6. (c) Temperature-dependent ASE spectra of samples HB.
It is noted that the PL peak position of either sample HU or HW in the ASE measurement is almost independent of temperature; however, the stimulated emission peak shows the similar variation with temperature to the result of the CW PL measurement.20 In other words, the stimulated emission peaks of samples HU and HW show the S-shape temperature variation and the red-shift trend, respectively. Such an Sshape behavior in sample HU has been attributed to either the carrier localization effect due to the cluster structures21 or the quantum-confined Stark effect due to the strain-induced piezoelectric field22. Although the ASE phenomena in samples HU and HW are similar, quite different behaviors of temperature-dependent ASE spectrum in sample HB can be observed in Figure 6(c). At low temperatures, four clear peaks with the spacing corresponding to the free spectral range of Fabry-Perot resonance can be observed. As shown in the thick curves, at 10 K the CW PL spectrum is essentially located between the two peaks on the lower energy side with its side-lobe coinciding with the lowest-energy peak in the ASE measurement. As temperature increases, the separations
356
Cheng, Chen, Yang
among the four peaks become smaller. Also, the two peaks at the two spectral ends diminish with increasing temperature. The two peaks in the middle evolve into a major peak around 2.7 eV at room temperature. The CW PL spectrum at room temperature coincides essentially well with the diminishing lowest-energy peak in the ASE spectrum. 3.2. Cathodoluminescence In Figure 7(a)-(c), we show the CL images of the three samples. In samples HU and HW, the sharp sub-micron bright light spots correspond to the indium-rich clusters. The background distributions of contrast correspond to slowly varying potential fluctuations in the two samples. One can clearly see that slightly more indium-rich clusters and significantly stronger potential fluctuation exist in sample HW. Compared with samples HU and HW, the CL image of sample HB shows even more sharp bright spots and an even stronger potential fluctuation. In particular, a more discrete-like potential distribution exists in sample HB. In other words, distributions of island-like structures exist around the QW layers. It should be noted that the acceleration voltage of the used electron beam is 2 kV, which corresponds to the kinetic energy that can just excite the quantum wells, not into the GaN buffer layer. In Figures 8(a)-(c), we show the CL spectra from selected areas of the sample surfaces. The smooth, thin, continuous curves (labeled "large area" in the figures) were obtained from photon emission regions of 1 jj.m . Noisy continuous curves, dashed, and dash-dotted curves (labeled as "small spots") were obtained from sub-micron bright spots, as shown in Figure 7(a)-(c). Those bright spots are supposed to correspond to the indium-rich clusters, as to be further demonstrated by the red spots in Figures 9(a)-(c). For comparison, the CW PL spectra at room temperature were also plotted as thick continuous curves in Figures 8(a)(c). They are always located on the low-energy side of the CL spectra. In sample HU, shown in Figure 8(a), the spectra from the sub-micron bright spots contribute to the lower energy side of the overall spectrum (the small kink on the lower-energy side). These contributions are consistent with the CW PL spectrum.
InGaN/GaN Quantum Wells - Clustering Nanostructures, Silicon Doping
Fig. 7. (a) Typical CL image of sample HU at room temperature.
Fig. 7. (b) Typical CL image of sample HW at room temperature.
357
358
Cheng, Chen, Yang
Fig. 7. (c) Typical CL image of sample HB at room temperature.
This result implies that the indium clusters in sample HU, which contribute to PL, are not sharp enough to generate significant quantum effects. They simply have higher indium compositions such that the emitted spectra are red shifted. In this sample, the major light emission originates from the background area, instead of the sub-micron bright spots. On the other hand, in sample HW, the small bright spots contribute more significantly in the overall photon emission intensity. Also, they contribute to the high-energy side of the overall spectrum (see Figure 8(b)). This result implies that the clusters in sample HW are sharp enough for generating a certain quantum effect. Then, in sample HB, the small bright spots contribute to both sides of the overall spectrum, implying that the clusters in this sample have various sizes, shapes, and compositions (see Figure 8(c)). The overall spectrum is basically composed of the contributions from those clusters. Because the photon emission from sample HB is significantly blue-shifted, we speculate that the quantum effect plays an important role in the clusters of this sample.
InGaN/GaN Quantum Wells - Clustering Nanostructures, Silicon Doping
359
Wavelength (nm) 600 575 550 525 500
475 450
. Room Temp.
C
I I 0 20 21 22 23 24 25 26 27 28
Photon Energy (eV)
Fig. 8. (a) Normalized CL spectra of sample HU (a) detected under different conditions.
Wavelength (nm) 600 575
550
525
500
475 i
Room Temp.
.»
*
i
HW
i [i
Norma lized Int ens
450 •
IV X20.5
CWPL^jpjf 1m
I1)
\\ X7.4 1\ \ CL (small spots, l\V .r large area)
\ v> \ — •
2.0
^ i
2.1
• — i — • — i — • — i —
2.2
2.3
2.4
i—r-
2.5
V T—
2.6
2.7
2.8
Photon Energy (eV) Fig. 8. (b) Normalized CL spectra of sample HW (b) detected under different conditions.
360
Cheng, Chen, Yang
W a v e l e n g t h (n m ) 575
550
525
500
475
450
HB c o
CW
X10.1 X8.3
PL
CL (sm all spots, large area)
0 N
H E
2.1
2.2
2.3
2.4
2.5
2.6
2.7
2.8
2.9
Photon Energy (eV) Fig. 8. (c) Normalized CL spectra of sample HB (c) detected under different conditions.
3.3. Strain State Analysis In Figures 9(a)-(c), we show the typical SSA images of samples HU, HW, and HB, respectively. In these SSA images, line scans were performed along the shown white lines. Here, the line scan values 1 and 1.1, respectively, represent indium compositions of 0 and 60 % (estimated based on the assumption of a specimen thickness larger than 30 nm). Different colors stand for various ranges of indium composition, as shown in the legends. As shown in Figure 9(a) for sample HU, although the QW interface is blurred, indium is basically confined within the well. Here, within the well a couple red spots of indium aggregation can be observed. From the line-scan results, one can observe quite a weak fluctuation in indium composition either along the well layer or in the growth direction. The fluctuation contrast (the difference between the maximum and minimum in the scan range) is about 0.03 along the QW layer. Then, in Figure 9(b) for sample HW, the QW is not as well shaped as sample HU. The indium composition fluctuations in both directions are relatively stronger in comparing with sample HU. In particular, more
InGaN/GaN Quantum Wells - Clustering Nanostructures, Silicon Doping
361
indium-aggregated clusters can be observed within the well layer. The fluctuation contrast is now around 0.04 along the QW layer. As shown in Figure 9(c), the SSA image of sample HB shows quite a different nanostructure from the other two samples. Here, the QW layer becomes unclear. Instead, a distribution of clusters of different sizes and shapes exists. The indium composition fluctuation (the contrast is now around 0.08 along the QW layer) is much stronger than those of the other two samples, implying stronger carrier localization for effective recombination. 4. Discussions From the strain-state analysis results in Figures 9(a)-(c), we can build a model, as schematically shown in Figure 10, for potential variation along a quantum well layer. Here, in part (a), which is drawn for describing sample HU, potential fluctuations are weak. A potential minimum, which stands for a cluster, is surrounded by secondary minima of shallow barriers in between. In part (b), which is drawn for sample HW, potential variations are relatively stronger. In this situation, a potential minimum is also surrounded by secondary minima. However, the barriers between the minima are now quite steep such that certain energy is required for carrier transport between the minima. Then, in Figure 10(c) for sample HB, sharp minima with few secondary minima are drawn. Therefore, in the cases of Figures 10 (a) and (b), carriers can relax down to the absolute potential minima with a cascading process through the secondary minima. The difference between samples HU and HW is that more energy is required for overcoming the barriers in sample HW. However, such a cascading process is less significant in the case of Figure 10(c). In other words, carriers in sample HB can relax down to the individual steep potential minima directly. Because of the relatively shallow potential minima in sample HU, the quantum effect is insignificant in this sample that is consistent with the observation in Figure 9(a). Also, because of the relatively sharper secondary minima or local absolute minima in samples HW and HB, more bright light spots are observed in Figures 8(b) and (c). The model shown in Figure 10 will be used for interpreting the measurement results in Figures 1-7.
362
Cheng, Chen, Yang
lam
11)15
1031)
l.(M5
1J0S0
1.1QQ
Fig. 9. (a) Typical SSA image of sample HU (a). The color legend indicates the estimated indium mole fractions (1.0 = 0 %, 1.1 = 60 %).
1.000 1.015
1.030
1.045
1.060
1.100
Fig. 9. (b) Typical SSA image of sample HW (b). The color legend indicates the estimated indium mole fractions (1.0 = 0 %, 1.1 = 60 %).
1.0011 1.1115 1.1)30
1.045
I.Odd
1.100
Fig. 9. (c) Typical SSA image of sample HB (c). The color legend indicates the estimated indium mole fractions (1.0 = 0 %, 1.1 = 60 %).
InGaN/GaN Quantum Wells - Clustering Nanostructures, Silicon Doping
363
Fig. 10. Schematic drawings for the potential fluctuations in samples HU (a), HW (b), and HB (c).
Based on the model in Figure 10, potential fluctuates mildly in sample HU. As shown in Figure 1, the temperature-dependent PL spectral peak energy reveals a typical S-shape behavior, which has been widely reported. In sample HW, a stronger potential fluctuation is observed. The slight blue shit of PL peak below 125 K and the small blue shifts of the CL spectra from the bright spots, as shown in Figure 8(b), imply that a certain degree of quantum confinement effect may exist in sample HW. However, the most significant feature of this sample in Figure 1 is the absence of the S-shape behavior. The S-shape has been attributed to the carrier-localization effect, QCSE or both. With silicon doping in either wells or barriers (carriers in barriers tend to transport to wells), carrier screening and possibly strain energy relaxation can reduce the QCSE, leading to a less prominent S-shape behavior. Therefore, the S-shape behaviors in samples HU and HW essentially disappear. With this observation, one may speculate that in the widely reported studies on undoped InGaN/GaN QW samples, the S-shape behavior is mainly caused by the QCSE. On the other hand, the strong carrier localization effect in a strongly clustering sample, such as sample HB, is mainly responsible for the significant blue shift of PL peak and the enhancement of radiative efficiency. Such results are clearly shown in Figures 1 and 2. In this sample, strong carrier localization with a significant quantum confinement effect is expected.
364
Cheng, Chen, Yang
The analysis results of the Arrhenius plots, as shown in Table 1, can also be used for the similar interpretations. The values of EA2 represent the degree of carrier localization. The strongest localization effect in sample HB, followed by sample HW, can be clearly seen. From the values of y one can see that the density of non-radiative recombination center in sample HW is the highest among the three samples. This result can be attributed to the silicon doping in the wells, which are the light emission regions. Silicon doping in barriers does not seem to increase the density of non-radiative recombination center. The increase of this density due to silicon doping in sample HW may cancel the positive effect of carrier localization such that the radiative efficiency is not significantly improved in this sample, as shown in Figure 2. In Figure 3, the excitation intensity dependent PL peak energies are shown. Here, the increasing trend (only about 2.5 meV in the measurement range) of sample HU is simply due to the carrier screening effect for reducing the QCSE. In sample HW, because a plenty of carriers due to doping exist in the wells already and the QCSE has been essentially relaxed, further increase of carrier density results in the band filling effect and the significant blue shift of PL peak (about 20 meV shift in the measurement range). However, in sample HB, photo-generated carriers added to the even higher carrier density already existent (due to a larger volume of barrier doping), which are strongly localized in clusters, lead to a certain degree of local renormalization effect. Therefore, a red shift (about 7 meV) can be observed, as shown in Figure 3. As shown in Figure 5, the DEDPLE signal intensity decreases with increasing detection photon energy in sample HU. This trend can be attributed to the relatively weaker potential fluctuation in this sample. With such an energy level distribution, photo-generated carriers in higher energy levels can easily transport to the absolute potential minimum for recombination within a certain region. Hence, when the detection photon energy is low, most carriers can contribute to photon emission. When the detection photon energy becomes higher, fewer carriers can actually recombine at this relatively higher energy level and hence the DEDPLE signal becomes weaker. In this situation, PL spectral peak is always located at the absolute potential minimum and is independent of the excitation level, as shown in Figure 4.
InGaN/GaN Quantum Wells - Clustering Nanostructures, Silicon Doping
365
Then, as mentioned earlier for sample HW, it requires a certain amount of energy and hence is more difficult for carriers to transport from a secondary minimum to another of a deeper level. Therefore, the majority of photo-generated carriers can be trapped by secondary minima of relatively higher energy. When the detection photon energy is high, stronger DEDPLE signals are recorded, as shown in Figure 5. In this case, as the excitation energy becomes lower in the EEDPL measurement, more carriers can actually be trapped in secondary potential minima of relatively higher levels such that the PL spectral peak energy increases with decreasing excitation energy, as shown in Figure 4. In sample HB, because of the strongly clustering structure with an island-by-island configuration, when carriers are generated at high InGaN energy levels, they can transport directly into individual potential minima without a cascading relaxation process. In this situation, carrier distributions after relaxation among the shallow and deep potential minima can be quite even such that the DEDPLE signal intensity is almost independent of the detection photon energy (see Figure 5(a)). Also, as the excitation photon energy decreases, the local potential minima of relatively lower levels can collect more carriers and hence the EEDPL peak position decreases, as shown in Figure 4. The multiple-peak feature of ASE spectra in high-indium-content InGaN/GaN QWs has been observed and attributed to various inter-band transitions between different quantized levels in the QWs23. However, in our results only sample HB shows the multi-peak feature. The ASE spectra of samples HU and HW at low temperatures show only two peaks. These two peaks are identified as the contributions of QD (cluster) and QW states, respectively. Because of the high photo-generated carrier density in the sample in the ASE measurement, the QD states are completely filled in samples HU and HW. In this situation, the QCSE in sample HU is also relaxed. Therefore, either effect of carrier localization or QCSE is ineffective in generating the S-shape behavior for the lowerenergy peak at low temperatures in Figure 6(a). However, because the QW states are not completely filled, the carrier localization effect can still produce the S-shape behavior for the higher-energy peak in the low temperature range. The similar arguments can be applied to sample HW. In sample HW, more carrier supply, from both doping and photo-
366
Cheng, Chen, Yang
generation, leads to more complete filling of QW states such that the Sshape behavior of the higher-energy peak in Figure 6(b) becomes less significant. In both Figures 6(a) and (b), as temperature increases, the higher-energy peak becomes relatively stronger due to the flow of thermalized carriers from the QD states into the QW states. When temperature approaches 200 K, the liquidation of carriers among the QD and QW states leads to the formation of a gain spectrum. In this situation, the peak positions are determined by the Fabry-Perot resonance in the crystal growth direction. The multiple-peak feature in Figure 6(c) is quite unique among the three samples. The multiple-peak ASE feature of sample HB is attributed to the formation of indium-rich clusters of quantum nature with broad distributions in size, shape, indium composition, and hence a broad distribution of photon emission spectrum. This interpretation is confirmed by the spectral distribution shown in Figure 8(c). Therefore, when a plenty of carriers are generated, a broad gain spectrum is produced. In the low temperature range, the peak positions are still dominated by the Fabry-Perot resonance wavelengths within the gain spectrum. As temperature increases, the liquidation of thermalized carriers tends to homogenize the gain spectrum. In this situation, the gain spectrum tends to be centralized with increasing temperature. Eventually, a major peak appears in the ASE process, as shown at the 300 K curve of Figure 6(c). Based on this observation, it is speculated that carrier thermalization may lead to a certain advantage for a laser system in such a material structure to reach its threshold condition, if the effect of carrier localization is strong enough to overcome the luminescence decay with temperature and/or the defect density is low. 5. Conclusions In summary, we have compared the results of PL, DEDPLE, EEDPL, ASE, CL and SSA of three InGaN/GaN QW samples with un-doped, well-doped, and barrier-doped structures. Based on the SSA and CL images, a nanostructure model was built for describing the potential fluctuation differences between the three samples. In the barrier-doped sample, strongly clustering nanostructures with individual steep potential
InGaN/GaN Quantum Wells - Clustering Nanostructures, Silicon Doping
367
minima, which generated significant quantum confinement effects, were assumed. In the undoped and well-doped samples, relatively weaker composition fluctuations, in which carriers relaxed through a cascading process, were proposed. Between the undoped and well-doped samples, the potential fluctuation in the well-doped sample is relatively steeper such that a certain extent of quantum confinement existed. Such variations in nanostructure resulted in different carrier transport processes between the coexistent quantum dot and quantum well states. The proposed model well explained the PL, DEDPLE, EEDPL, ASE, and CL observations. In particular, the PL results provided us clues for speculating that the S-shape behavior of PL peak position is dominated by the QCSE in an undoped InGaN/GaN QW structure. However, carrier localization is more effective in blue-shifting luminescence and improving radiative efficiency of a sample, when compared with the relaxation of QCSE. Also, the ASE results showed the temperaturedependent evolution of gain spectrum due to the liquidation of thermalized carriers. Different nanostructures resulted in different spectral variation trends. Acknowledgments This research was supported by National Science Council, The Republic of China, under the grant of NSC 93-2210-M-002-006 and NSC 942215-E-002-015, and by US Air Force under the contracts AOARD-044026 and AOARD-05-4085. References 1. S. Chichibu, D. A. Cohen, M. P. Mack, A. C. Abare, P. Kozodoy, M. Minsky, S. Fleischer, S. Keller, J. E. Bowers, U. K. Mishra, L. A. Coldren, D. R. Clarke, and S. P. DenBaars, Appl. Phys. Lett. 73,496 (1998). 2. Y. H. Cho, J. J. Song, S. Keller, M. S. Minsky, E. Hu, U. K. Mishra, and S. P. DenBaars, Appl. Phys. Lett. 73, 1128 (1998). 3. M. Y. Ryu, Y. J. Yu, E. J. Shin, P. W. Yu, J. I. Lee, S. K. Yu, E. S. Oh, O. H. Nam, C. S. Sone, Y. J. Park, and T. I. Kim, Solid State Commun. 116, 675 (2000). 4. J. Dalfors, J. P. Bergman, P. O. Holtz, B. E. Sernelius, B. Monemar, H. Amano and I. Akasaki, Appl. Phys. Lett. 74, 3299 (1999).
368
Cheng, Chen, Yang
5. M. S. Minsky, S. Chichibu, S. B. Fleischer, A. C. Abare, J. E. Bowers, E. L. Hu, S. Keller, U. K. Mishra and S. P. DenBaar, Jpn. J. Appl. Phys. 37, L1362 (1998). 6. K. Uchida, T. Tang, S. Goto, T. Mishima, A. Niwa, J. Gotoh, Appl. Phys. Lett. 74, 1153(1999). 7. Y. C. Cheng, Cheng-Hua Tseng, Chen Hsu, Kung-Jen Ma, Shih-Wei Feng, EnChiang Lin, C. C. Yang, and Jen-Inn Chyi, J. Electron. Mater. 32, 375 (2003). 8. Y. H. Cho, F. Fedler, R. J. Hauenstein, G. H. Park, J. J. Song, S. Keller, U. K. Mishra and S. P. DenBaars, J. Appl. Phys. 85, 3006 (1999). 9. S. Ruvimov, Z. Liliental-Weber, T. Suski, J. W. Ager III, J. Washburn, J. Krueger, C. Kisielowski, E. R. Weber, H. Amano and I. Akasaki, Appl. Phys. Lett. 69, 990 (1996). 10. C. K. Choi, Y. H. Kwon, B. D. Little, G. H. Gainer, J. J. Song, Y. C. Chang, S. Keller, U. K. Mishra and S. P. DenBaars, Phys. Rev. B, 64, 245339-1 (2001). 11. I. Ho, G. B. Stringfellow, Appl. Phys. Lett. 69, 2701 (1996). 12. Y. S. Lin, K. J. Ma, C. Hsu, S. W. Feng, Y. C. Cheng, C. C. Liao, C. C. Yang, C. C. Chuo, C. M. Lee, and J. I. Chyi, Appl. Phys. Lett. 77, 2988 (2000). 13. Y. S. Lin, K. J. Ma, C. Hsu, Y. Y. Chung, C. W. Liu, S. W. Feng, Y. C. Cheng, M. H. Mao, C. C. Yang, H. W. Chuang, C. T. Kuo, J. S. Tsang, and T. E. Weirich, Appl. Phys. Lett. 80, 2571 (2002). 14. S. W. Feng, Y. C. Cheng, Y. Y. Chung, E. C. Lin, C. C. Yang, C. C. Yan, Y. S. Lin, C. Hsu, K. J. Ma and H. X. Jiang, Appl. Phys. Lett. 82, 1377 (2003). 15. Y. Y. Chung, Y. S. Lin, S. W. Feng, Y. C. Cheng, E. C. Lin, C. C. Yang, K. J. Ma, H. W. Chuang, C. T. Kuo, and J. S. Tsang, J. Appl. Phys. 93, 9693 (2003). 16. S. W. Feng, E. C. Lin, T. Y. Tang, Y. C. Cheng, H. C. Wang, C. C. Yang, K. J. Ma, C. H. Shen, L. C. Chen, K. H. Kim, J. Y. Lin, and H. X. Jiang, Appl. Phys. Lett. 83, 3906 (2003). 17. D. Gerthsen, B. Neubauer, A. Rosenauer, T. Stephan, H. Kalt, O. Schon and M. Heuken, Appl. Phys. Lett. 69, 2701 (1996). 18. R. Seitz, C. Gaspar, T. Monteiro, E. Pereira, M. Leroux, B. Beaumont, and P. Gibart, J. Crystal Growth 189/190, 546 (1998). 19. S. W. Feng, Y. C. Cheng, Y. Y. Chung, C. C. Yang, Y. S. Lin, C. Hsu, K. J. Ma, and J. I. Chyi, J. Appl. Phys. 92,4441, (2002). 20. C. C. Liao, S. W. Feng, C. C. Yang, Y. S. Lin, K. J. Ma, C. C. Chuo, C. M. Lee, and J. I. Chyi, Appl. Phys. Lett. 76, 318, (2000). 21. Y. H. Cho, G. H. Gainer, A. J. Fischer, J. J. Song, S. Keller, U. K. Mishra and S. P. DenBarrs, Appl. Phys. Lett. 73, 1370 (1998). 22. S. F. Chichibu, A. C. Abare, M. S. Minsky, S. Keller, S. B. Fleischer, J. E. Bowers, E. Hu, U. K. Mishra, L. A. Coldren, and S. P. DenBaars, T. Sota, Appl. Phys. Lett. 73, 2006 (1998). 23. C. C. Chen, H. W. Chuang, G. C. Chi, C. C. Chuo and J. I. Chyi, Appl. Phys. Lett. 11, 3758 (2000).
CHAPTER 11 III-NITRIDES MICRO- AND NANOSTRUCTURES
Hock M. Ng and Aref Chowdhury Bell Laboratories, Lucent Technologies 600 Mountain Avenue, Murray Hill, NJ 07974, U.S.A. E-mail:
[email protected] Nanotechnology applied to the realm of compound semiconductors can result in novel physics as well as interesting applications, particularly in the field of optoelectronics. In this chapter, we will review the current status of Ill-nitrides (GaN, InN, A1N, and related alloys) micro- and nanostructures. These structures can be formed either during the growth process or post-growth stage using dry or wet etching techniques. The polarity of GaN, whether Ga- or N-polar, plays a major role in the behavior of the material when subjected to a chemical etchant. Certain chemical etchants have been shown to be selective towards one polarity of GaN but not the other. This selectivity can be exploited as a means to form interesting microstructures. Examples of applications for IIInitride micro- and nanostructures will also be discussed. 1.
Introduction and Overview
Nanotechnology applied to semiconductors can be divided into nanomaterials - the synthesis of structures possessing nanoscale dimensions and nanophotonics - the interaction of light with nanostructures having dimensions comparable to or smaller than the wavelength of light in the medium. The ability to control the dimensions of semiconductor materials at the nanoscale allows one to essentially tailor the macroscopic properties of the material by manipulating its physical dimensions.
369
370
Ng, Chowdhury
With the advent of the information age, the demand for information storage and displays has been the driving force for much of compound semiconductor development. For GaN and InGaN, the commercial applications of visible light-emitting diodes (LEDs) and blue lasers for information storage and retrieval have driven the pace of research in both industry and academia. AlGaN alloys with larger bandgap energies are being developed for emitters and detectors in the ultraviolet spectral region for a wide variety of applications including biosensing and solidstate lighting. However, the development of nanotechnology for IIInitrides is still in its infancy. The objective of this chapter is to provide a broad overview of the development of Ill-nitrides nanotechnology. Different approaches to forming micro- and nanostructures will be reviewed. The study of microstructures is the intermediate step towards scaling down the dimensions to approach the nanoscale. In general, there are two ways of forming semiconductor nanostructures - the bottom-up and top-down approaches. In section 2, nanostructures formed by epitaxial growth will be discussed. This will be followed by section 3 that discusses another route to forming nanostructures by etching or regrowth, or a combination of the two. Examples of selective chemical etching based on the polarity of GaN will be discussed. Finally, a few different examples of the applications of these structures will be exemplified in section 4 and the chapter is concluded with an outlook for the future. 2. Nanostructures by Epitaxy Epitaxial growth of nanostructures provides a way to create structures where electrons or photons can be confined in one, two or three dimensions corresponding to quantum wells, quantum wires and quantum dots. Nanostructures formed by epitaxial growth are assembled from the bottom-up. This is in contrast with the top-down approach where a thin film is grown first and then processed into nanostructures. With the bottom-up process, unique conditions during epitaxy are exploited to induce three-dimensional growth. For example, GaN quantum dots can be formed during molecular beam epitaxy (MBE) by the strain driven Stranski-Krastanov growth mode.1 The compressive
Ill-Nitrides Micro- and Nanostructures
371
stress generated when a material with a larger in-plane lattice constant is grown on top of a layer with a smaller in-plane lattice constant drives the formation of the quantum dots. Quantum dots have also been studied in other semiconductor systems such as InAs/GaAs,2 InGaAs/GaAs,3 InP/InGaP,4 and Ge/Si.5 Recently, semiconductor nanowires have become an area of growing interest. For instance, GaN nanowires have been formed with the direct reaction of metallic gallium and ammonia gas at a temperature of 900 °C using nickel as a catalyst.6 This growth mechanism was given the name Vapor-Liquid-Solid (VLS) by Wagner and Ellis at Bell Laboratories.7 In some instances when forming compound semiconductor nanowires, one of the constituents of the compound can be used as a self-catalyst thus alleviating the need for a separate metal catalyst. For example, in growing GaN nanowires, Ga droplets have been used for self-catalytic VLS growth.8'9 GaN nanowires have even been observed to lase under optical pumping.6 However, one major challenge that remains is finding a reliable technique of processing these nanowires into useful electrically driven devices. In this chapter, we will not go into further details about nanowires formed by chemical synthesis. For a recent review of semiconductor nanowires and nanotubes, readers are referred to Reference 10. Another example of fabricating nanostructures by epitaxy is selective area growth (SAG). Using metalorganic chemical vapor deposition (MOCVD), SAG is commonly combined with epitaxial lateral overgrowth (ELO) as a means to achieving a reduction in threading dislocation density for GaN layers.11 However, SAG can also form interesting structures such as GaN hexagonal pillars and pyramids.1213 Typically, a thin film of GaN is grown on a substrate such as (0001) sapphire or (111) silicon. The sample is then removed from the growth reactor and a Si0 2 layer is deposited. This is followed by photolithography and etching of the Si0 2 to create an array of openings in the shape of holes or stripes. The sample is then reinserted into the growth chamber for a second growth of GaN. During the high temperature growth process, epitaxy of GaN occurs within the openings in the Si0 2 layer but not on top of the Si0 2 mask. The resulting structures can be controlled by adjusting growth parameters such as the
372
Ng, Chowdhury
temperature, reactor pressure and IE/V ratio. Lasing by optical pumping has been observed in GaN pyramids as a testament to their crystal quality.14 Another example of the bottom-up approach is the self-assembled nanocolumnar growth occurring under certain growth conditions during MBE. In particular, the formation of nanocolumns is favored when the V/III ratio is high corresponding to growth in the nitrogen-rich regime. Under these conditions, columnar growth is preferred to two-dimensional film growth. The group-III adatom mobility is greatly reduced under high N flux. The proposed mechanism of formation is the nucleation induced group III metallic droplets similar to the self-catalytic VLS mechanism. Nanocolumns of GaN,15 AlGaN,16 and InN17 have been demonstrated. Some examples of InN nanocolumnar growth are highlighted here using results from the authors' laboratory. For InN, there are only a few studies of nanowires grown primarily by the VLS mechanism where a catalyst metal is first deposited on the substrate.18"20 Compared to VLS, epitaxial growth techniques offer an alternative to obtain better control over nanowire alignment and formation of heterostructures. For instance, AlGaN/GaN heterostructure nanocolumns were reported by Yoshizawa et al. by MBE growth.21 Figure 1 shows an example of a dense aggregate of InN nanocolumns grown on (0001) sapphire by plasma-assisted MBE with growth temperatures in the range of 380 to 430 °C. The cross-sectional scanning electron microscope (SEM) image shows that the InN nanocolumns are aligned along the growth axis which is the [0001] direction. The polarity of the InN cannot be ascertained at this time. The average diameter of the nanocolumns was about 75 nm. X-ray diffraction measurements showed that the nanocolumns possess the wurtzite crystal structure and are oriented with the c-axis perpendicular to the substrate surface. The wurtzite crystal structure was also confirmed by selected area electron diffraction measurements. The measured c-lattice constant of 5.70 A is in good agreement with the value reported by Yamaguchi et al.21 The InN nanocolumns do not have very good adhesion to the sapphire substrate. They can be easily delaminated as seen in Fig. 1(b) where part of the underlying sapphire substrate is exposed. This may be due to the
Ill-Nitrides Micro- and Nanostructures
373
large in-plane lattice mismatch between InN and sapphire (-25%) resulting in a high built-in stress at the interface between the nanocolumns and the substrate. The effect of the In/N ratio plays a major role in maintaining the growth of the nanocolumns. Figure 2 shows the progressive changes in the surface morphology of four different InN samples (N668, N671, N672, N581) as the In/N flux ratio was increased (decreasing the V/III ratio). The average diameter of the InN nanocolumns becomes larger and eventually the neighboring columns start to coalesce and form a twodimensional (2D) film.
Fig. 1. (a) A cross-sectional scanning electron microscope image of InN nanocolumns grown on (0001) sapphire, (b) A lower magnification top view of the InN nanocolumns.
Going from sample N668 to N671, the In temperature was increased by 10 °C corresponding to an increase in the In flux by about 60 %. The average diameter of the nanocolumas increased from 75 to 200 nm. For sample N672, the In flux was increased along with a slight decrease in the growth temperature (from 422 to 382 °C). As seen in Fig. 2(c), the diameters of the nanocolumns became less uniform with the onset of the early stages of coalescence. The growth mode went through a transition from 3D to 2D growth as the In flux was further increased while keeping the N flux constant shown in Fig. 2(d). When the In flux was increased further, In droplets
374
Ng, Chowdhury
were formed on the sample surface similar to the case of Ga droplets forming under extremely Ga-rich growth conditions.23 In general, the growth temperature was found to be a less influencing factor for the formation of nanocolumns than the In/N ratio. (a)
(b)
Fig. 2. A series of SEM images showing the changes in the surface morphology of the InN samples as the In cell temperature (and the corresponding In flux) was increased, (a) N668: TIn = 740 °C, (b) N671: TIn = 750 °C, (c) N672: TIn = 770 °C, (d) N581: Tln = 790 °C. [from Ref. 17] Reproduced by permission of The Electrochemical Society, Inc.
When the growth temperature increases, competition between growth and decomposition of InN comes into play. One InN sample that was left in vacuum at 406 °C for several hours without striking the nitrogen plasma was completely decomposed, leaving only metallic In droplets on the surface of the sapphire substrate. The fact that there were In droplets
Ill-Nitrides Micro- and Nanostructures
375
left on the surface was an indication that the decomposition rate of InN is higher than the desorption of In from the sample surface at that temperature. This observation is consistent with early work by MacChesney et al. showing that the decomposition of InN in a nitrogen ambient at atmospheric pressure occurs in the vicinity of 500 °C.24 3. Nanostructures by Selective Etching and Regrowth We now turn our attention to the issue of forming micro- and nanostructures by means of etching. Due to the large bond strengths of the Ill-nitrides, it is difficult to etch the material using chemical solutions. To give an idea of the relative magnitude of the bond strengths, we can compare the atomic bond strengths of GaN, A1N and InN with several other compound semiconductors as shown in Table I. To break the strong bonds between the group III and nitrogen atoms, a highly energetic process is required. Dry etching techniques involving a chlorine-based plasma are most commonly employed for etching IIInitrides. The energetic ions in the plasma assist in the etching process by means of sputtering. Among the different variants of dry etching include reactive ion etching (RIE), inductively-coupled plasma (ICP) etching or a combination of the two. A review comparing different dry etching techniques for Hi-nitrides can be found in References 26 and 27. Table I: Bond strengths of various compound semiconductors. [From Ref. 25]
Semiconductor
Bond Strength (eV)
GaN
8.96
A1N
11.52
InN
7.72
GaAs
6.52
InP
6.96
376
Ng, Chowdhury
3.1. Photoelectrochemical Etching We now turn our attention to chemical etching of GaN. The use of chemical etchants has been primarily limited to bases such as KOH28 and acids such as H 3 P0 4 . 29 In photoelectrochemical (PEC) etching, a light source with photons having energies exceeding the bandgap energy of the material is required. For GaN, this requires an ultraviolet (UV) light source with wavelengths shorter than 365 nm. A mercury lamp is commonly used as the light source. A platinum wire or grid serves as the counter electrode with the GaN sample as the working electrode.30 The reaction that occurs for etching in KOH is as follows: GaN + 60H " + 3h+ - » GaO\~ + 0.5N2 + 3H20 For etching in phosphoric acid, the reaction is: GaN + 3h+ ->Ga 3 + + 0.5 N2
Fig. 3. A scanning electron micrograph of an etched GaN film after PEC etching in KOH. The whiskers have diameters between 10 and 50 nm and lengths of 1 \im or more. Reprinted with permission from C. Youtsey, L. T. Romano, and I. Adesida Applied Physics Utters, 73, 797 (1998). Copyright 1998, American Institute of Physics.
Ill-Nitrides Micro- and Nanostructures
311
The above-bandgap light generates electron-hole pairs in GaN. The holes participate in surface oxidation of GaN which is then dissolved in the KOH. This process works only for n-type GaN. Under certain conditions, nanoscale whisker-like structures were obtained after PEC etching.31 An example of this is shown in Fig. 3. In cross-sectional transmission electron microscopy (TEM) studies, individual whiskers were found to have a threading dislocation in the center.31 The explanation is given that the dislocation acts as a recombination center for the photo-generated electrons and holes thus reducing the availability of free holes for the oxidation process. As a result the material around the dislocation was not etched. For p-type GaN, the minority carriers are electrons which cannot participate in the oxidation process. In order for PEC to work for p-type GaN, a positive bias has to be applied to the sample to reduce the surface band bending and draw holes to the surface.32 3.2. Polarity Selective Chemical Etching (PSCE) In the case of chemical etching without illumination of above-bandgap light, the situation is quite different. There is a strong dependence on the polarity of the GaN sample. Being a non-centrosymmetric crystal, GaN has two different polarities, namely Ga-polar (0001) and N-polar (000 1). When exposed to a KOH solution, only N-polar GaN is etched whereas Ga-polar GaN remains intact.33 Because of the selectivity of the etchant towards the polarity of the material, we term this as polarity selective chemical etching (PSCE).34 In order to better illustrate the effects of PSCE, a "polarity-patterned" GaN sample with adjacent Ga- and N-polar regions on a single substrate was studied. Figure 4 shows the flow chart of the process for fabricating a polarity-patterned GaN sample. GaN grown on top of an AIN buffer layer has Ga-polarity whereas N-polarity material results from growth directly on the sapphire substrate. An SEM image depicting two adjacent regions of the Ga- and Npolarity GaN before etching in KOH is shown in Fig. 5(a). It is seen that
378
Ng, Chowdhury
the as-grown surface morphology of the Ga-polar GaN is smooth while the N-polar surface displays roughness at the submicron scale. The difference in the surface morphology can be attributed to the fact that the Ga-polar material grows on top of an A1N buffer layer (lattice mismatch ~ 2.5%) whereas the N-polar material is nucleated directly on sapphire (lattice mismatch ~ 14%) at the high growth temperature. It is noted also that the interface between the Ga- and N-polar GaN is sharply defined. There are some small columnar growths in both the Ga- and N-polar regions that are believed to be inversion domains. (d)
(a)
(b) (e) (c)
•
A1N
| Ga-polar GaN
Photoresist
I | Sapphire ^ | N-polar GaN Fig. 4. Process flow for fabricating GaN microstructures by PSCE: (a) GaN/AIN growth on sapphire by MBE, (b) formation of stripe/hole pattern by optical lithography, (c) plasma etch to expose the sapphire substrate, (d) second growth of GaN by MBE, and (e) PSCE step to remove N-polar GaN. [From Ref. 34]
III-Nitrides Micro- and Nanostructures
379
Fig. 5(b) displays an SEM image after the sample was etched for 45 minutes at 90°C in 2M KOH. As seen in the figure, the KOH solution selectively etched the N-polar GaN but not the Ga-polar GaN. Comparing Figs. 5(a) and (b), it can be seen that the surface morphology of the Ga-polar regions remains smooth while nanotip pyramids are formed in the N-polar regions.35
Fig. 5. (a) SEM image taken at a 45° angle of the sample before PSCE showing the smooth and rough surfaces of Ga- and N-polar GaN, respectively, (b) After PSCE, the Ga-polar regions remain smooth while hexagonal pyramids were formed in the N-polar regions. Reprinted with permission from Hock M. Ng, Nils G. Weimann, and Aref Chowdhury, Journal of Applied Physics, 94, 650 (2003). Copyright 2003, American Institute of Physics.
380
Ng, Chowdhury
The PSCE process occurs through the interaction of the OH" ions with the Ga atoms to form Ga 2 0 3 , which then dissolves in KOH [36]. The process can be described as: KOH 2GaN + 3 H 2 0
•
Ga 2 0 3 + 2NH 3
The reason the Ga-polar GaN was not etched by the KOH solution is because the OH' ions are repelled by the negatively-charged triple dangling bonds of N at the surface, which was substantiated by x-ray photoelectron spectroscopy studies.36 Therefore, if the Ga-polar GaN is Ga-terminated, the etch stops after the initial Ga layer is removed. However, for N-polar GaN, each N atom at the termination layer only has a single dangling bond. Therefore, the probability of an OH" ion getting in to attack the Ga-N bond below is higher. This probability is increased at higher solution temperatures where the OH" ions have higher kinetic energy resulting in a higher etch rate. Increasing the KOH molarity also increases the etch rate as a consequence of the increase in the concentration of OH" ions in the solution. Individual pyramids can be isolated by etching the sample with a higher KOH concentration. In Figures 6(a) and (b), a high magnification SEM image of a single hexagonal pyramid is shown along with a schematic drawing. The faces of the pyramid are seen to be extremely smooth. Viewed from the top, each pyramid clearly shows a six-fold symmetry. The faces of the pyramids are the {10 1 1} planes as evidenced by the angle between the inclined edge and the base of the pyramid. The measured angle of around 58-60° is in good agreement with the calculated angle of 58.4° using the GaN lattice parameters of c = 5.185 A and a = 3.189 A. The formation of the pyramids indicates that the {10 1 1} surfaces are etched preferentially compared to the (000 1) surface. It is also noted that the tip of the pyramid is very sharp with a diameter measured to be less than 20 nm. The sharpness of the pyramid tips is maintained with prolonged etching without revealing the (000 1)
Ill-Nitrides Micro- and Nanostructures
381
planar surface. Thus, it is postulated that the {10 I T } surfaces have the lowest surface energy with respect to this etching process. The density of the pyramids decreases as a function of time and KOH concentration. For a fixed solution temperature and etch duration, increasing the KOH concentration from 1 to 8 M shows a saturation of the pyramid density (counting the number of pyramid tips) at around 3 x 10 cm"2. In addition, the pyramid size gets smaller with prolonged etching as well as with increasing the KOH concentration and solution temperature.
Fig. 6. (a) An SEM image showing an isolated pyramid on sapphire, (b) Schematic drawing of the hexagonal pyramid with six (10 1 1} sides. The shaded region denotes a cross-section through the apex of the pyramid. Reprinted with permission from Hock M. Ng, Nils G. Weimann, and Aref Chowdhury, Journal of Applied Physics 94, 650 (2003). Copyright 2003, American Institute of Physics.
382
Ng, Chowdhury
A parameter of interest for these etching experiments is the activation energy Ea. This is generally obtained by fitting an Arrhenius plot to R = R0 exp(- Ea lkBT), where R is the etch rate, R0 is a constant, kB is the Boltzmann's constant, and T is the temperature in Kelvin. In the case of the GaN etching, we believe that the etching rate is to first-order inversely proportional to the resultant pyramid density for a given etchant concentration and time. The physical explanation is that at the initial stage of the etching, the tips of the pyramids form throughout the surface. As the etching continues, many of the miniature pyramids form a cluster from where only a single pyramid emerges. This consolidation process of pyramids repeats itself until isolated pyramids are formed as shown in Fig. 6(a). Further etching will eventually etch away all the isolated pyramids. i—i—i—|—i—i—i—i—i—i—i—i—i—i—i—i—i—i—i—
E a =0.587 eV
10 7 E o
c
,
N
IO
8 X
-
Slope = 1.6 V/nm
& 800 o > 1
700
CO
CD
£
600 500 - • _i
50
Ji
100
•i
i_
150
200
250
300
350
Relative anode-sample spacing (urn) Fig. 14. The threshold voltage as a function of the anode-sample spacing. The turn-on field is obtained from the slope. [From Ref. 54]
The threshold voltages plotted as a function of the relative anodesample spacing is shown in Fig. 14. From the slope of this curve, the
392
Ng, Chowdhury
average turn-on field was found to be 1.6 V/u.m. This value is comparable to those reported for carbon nanotube field emitters.56 Table II summarizes a number of reports found in the literature for field emission from GaN formed by various techniques. In order to make a relevant comparison, the turn-on fields are quoted for the same condition as described above, i.e. I = 10 nA. The range of turn-on field previously reported falls between 7 to 25 V/u,m. Therefore, these nanotip pyramids have the lowest value of turn-on field and highest normalized field enhancement factor for ungated GaN structures. Preliminary lifetime testing showed a 50% reduction of the emission current over a period of 3 hours. Further testing will be required to identify the degradation mechanism. Table II. Comparison of various ungated GaN field emitters reported in the literature. [From Ref. 54]
Sample preparation technique
Turn-on field @ 10 nA (V/(xm)
Normalized field enhancement factor
Selective area growth pyramids58
25
Selective area growth pyramids59
7
GaN surface roughened by hydrogen plasma treatment61
12.4
150
Polycrystalline GaN grown on Mo substrate61
12
200-610
GaN nanotips formed by reactive ion etching62
12
300
This work
1.6
1500
Given the promising results, we now discuss strategies by which the emission current can be further increased. These GaN pyramids were
Ill-Nitrides Micro- and Nanostructures
393
nominally undoped and therefore have a low background electron concentration. In order to increase the emission current, we can introduce Si donors to obtain a higher electron concentration. Furthermore, according to equation (2), an improvement in the emission current can be accomplished by a combination of increasing the emitting surface area, increasing the field enhancement factor or choosing a material with lower electron affinity. Assuming that most of the emission occurs at the tip of the pyramids, the surface area can be increased by having a higher density of tips. The field enhancement factor is related to geometrical factors and in this case, we have already obtained very sharp tips. Finally, AlxGai_xN can be used to replace GaN as the pyramid material since the electron affinity is smaller for larger values of x. It should also be pointed out that the use of selectively etched nanotip pyramids placed between regions of Ga-polar GaN with flat surfaces will simplify the fabrication of gated field emitters.57 Gate electrodes can be directly deposited on the flat GaN regions without the need for planarization.
5. Summary and Future Outlook In this chapter, an overview of the recent developments in the area of micro- and nanostructures of Ill-nitrides semiconductors was presented. The different methods of fabricating these structures were discussed. The ultimate choice whether to use the bottom-up or top-down approach will depend on the degree of control required for precise placement of these structures. A combination of the two methods may be the best way forward. There are still many challenges ahead for nanomaterials and nanophotonics. However, the addition of Ill-nitrides with their unique material properties to the scientist's/engineer's toolbox will definitely promise a future with devices exhibiting new functionality.
394
Ng, Chowdhury
Acknowledgments We would like to recognize valuable discussions and contributions of our current and former collaborators including W. Parz, N.G. Weimann, M. Bhardwaj (Bell Laboratories), J. Shaw (Naval Research Laboratory), R. Liu, A. Bell, and F.A. Ponce (Arizona State University). References 1. B. Daudin, F. Widmann, G. Feuillet, Y. Samson, M. Arlery, and J.L. Rouviere, "Stranski-Krastanov growth mode during the molecular beam epitaxy of highly strained GaN," Phys. Rev. B 56, R7069 (1997). 2. L. Goldstein, F. Glas, J.Y. Marzin, M.N. Charasse, and G. Le Roux, "Growth by molecular beam epitaxy and characterization of InAs/GaAs strained layer superlattices," Appl. Phys. Lett. 47, 1099 (1985). 3. D. Leonard, M. Krishnamurthy, CM. Reaves, S.P. Denbaars, and P.M. Petroff, "Direct formation of quantum-sized dots from uniform coherent islands of InGaAs on GaAs surfaces," Appl. Phys. Lett. 63, 3203 (1993). 4. M.K. Zundel, P Specht, K. Eberl, N.Y. Jin-Phillipp, and F. Phillipp, "Structural and optical properties of vertically aligned InP quantum dots," Appl. Phys. Lett. 71, 2972 (1997). 5. D.J. Eaglesham and M. Cerullo, "Dislocation-free Stranski-Krastanow growth of Ge on Si(100)," Phys. Rev. Lett. 64, 1943 (1990). 6. J.C. Johnson, H. Choi, K.P. Knutsen, R.D. Schaller, P. Yang, and R.J. Saykally, "Single gallium nitride nanowire lasers," Nature Materials, 1, 106 (2002). 7. R.S. Wagner, and W.C. Ellis, "Vapor-Liquid-Solid mechanism of single crystal growth," Appl. Phys. Lett. 4, 89 (1964). 8. M. He, P. Zhou, S.N. Mohammad, G.L. Harris, J.B. Halpern, et al. "Growth of GaN nanowires by direct reaction of Ga with NH3," J. Cryst. Growth 231, 357 (2001). 9. S.M. Zhou, Y.S. Feng, and L.D. Zhang, "A physical evaporation synthetic route to large-scale GaN nanowires and their dielectric properties," Chem. Phys. Lett. 369, 610 (2003). 10. M. Law, J. Goldberger, and P. Yang, "Semiconductor nanowires and nanotubes," Annu. Rev. Mater. Res. 34, 83 (2004). 11. A. Usui, H. Sunakawa, A. Sakai, and A.A. Yamaguchi, "Thick GaN epitaxial growth with low dislocation density by hydride vapor phase epitaxy," Jpn. J. Appl. Phys. 36, L899 (1997). 12. Y. Kato, S. Kitamura, K. Hiramatsu, and N. Sawaki, "Selective growth of GaN and AlxGa!.xN on GaN/sapphire substrates by metalorganic vapor phase epitaxy," J. Cryst. Growth 144, 133 (1994).
Ill-Nitrides Micro- and Nanostructures
395
13. K. Tachibana, T. Someya, S. Ishida, and Y. Arakawa, "Selective growth of InGaN quantum dot structures and their microphotoluminescence at room temperature," Appl. Phys. Lett. 76, 3212 (2000). 14. S. Bydnik, B.D. Little, Y.H. Cho, J. Krasinski, J.J. Song, W. Yang, and S.A. McPherson, "Room temperature laser action in laterally overgrown GaN pyramids on (111) silicon," MRS Internet J. Nitride Semicond. Res. 4S1, G6.48 (1999). 15. E. Calleja, M. A. Sanchez-Garcia, F. J. Sanchez, F. Calle, F. B. Naranjo, E. Munoz, U. Jahn, and K. Ploog, "Luminescence properties and defects in GaN nanocolumns grown by molecular beam epitaxy" Phys. Rev. B 62, 16826 (2000). 16. J. Ristic, E. Calleja, M.A. Sanchez-Garcia, J.M. Ulloa, J. Sanchez-Paramo, J.M. Calleja, U. Jahn, A. Trampert, and K.H. Ploog, "Characterization of GaN quantum discs embedded in AL,Gai_xN nanocolumns grown by molecular beam epitaxy," Phys. Rev. B 68, 125305 (2003). 17. H.M. Ng, R. Liu, and F.A. Ponce, "Self-assembled indium nitride nanocolumns grown by molecular beam epitaxy," Electrochem. Society Proceedings, 2004-06, 372 (2004). 18. C.H. Liang, L.C. Chen, J.S. Hwang, K.H. Chen, Y.T. Hung, and Y.F. Chen, "Selective-area growth of indium nitride nanowires on gold-patterned Si(100) substrates," Appl. Phys. Lett. 81, 22 (2002). 19. H. Parala, A. Devi, F. Hipler, E. Maile, A. Birkner, H.W. Becker, and R.A. Fischer, "Investigations on InN whiskers grown by chemical vapour deposition," J. Cryst. Growth 231, 68(2001). 20. B. Schwenzer, L. Loeffler, R. Seshadri, S. Keller, F.F. Lange, S.P. DenBaars, and U.K. Mishra, "Preparation of indium nitride micro- and nanostructures by ammonolysis of indium oxide," J. Mater. Chem. 14, 637 (2004). 21. M. Yoshizawa, A. Kikuchi, N. Fujita, K. Kushi, H. Sasamoto, and K. Kishino, "Self-organization of GaN/Al018Gao.82N multi-layer nano-columns on (0001) A1203 by RF molecular beam epitaxy for fabricating GaN quantum disks," J. Cryst. Growth. 189/190, 138(1998). 22. S. Yamaguchi, M. Kariya, S. Nitta, T. Takeuchi, C. Wetzel, H. Amano, and I. Akasaki, "Structural properties of InN on GaN grown by metalorganic vapor-phase epitaxy," J. Appl. Phys. 85, 7682 (1999). 23. B. Heying, R. Averbeck, L.F. Chen, E. Haus, H. Riechert, and J.S. Speck, "Control of GaN surface morphologies using plasma-assisted molecular beam epitaxy," J. Appl. Phys. 88,1855(2000). 24. J.B. MacChesney, P.M. Bridenbaugh, and P.B. O'Connor, "Thermal stability of indium nitride at elevated temperatures and nitrogen pressures," Mater. Res. Bull. 5, 783 (1970). 25. W.A. Harrison, Electronic Structure and Properties of Solids (Freeman, San Francisco, CA, 1980).
396
Ng, Chowdhury
26. J. Lee, H. Cho, D.C. Hays, C.R. Abernathy, S.J. Pearton, R.J. Shul, G.A. Vawter, and J. Han, "Dry etching of GaN and related materials: comparison of techniques," IEEE J. Selected Topics in Quantum Electronics 4, 557 (1998). 27. C.R. Eddy, Jr. "Etch processing of III-V nitrides," MRS Internet J. Nitride Semicond. Res. 4S1, G10.5 (1999). 28. T. Kozawa, T. Kachi, T. Ohwaki, Y. Taga, N. Koide, and M. Koike, "Dislocation etch pits in GaN epitaxial layers grown on sapphire substrate," J. Electrochem. Soc. 143, LI 7 (1996). 29. A. Shintani and S. Minagawa, "Optical properties of GaN light-emitting diodes," J. Electrochem. Soc. 123,1725 (1976). 30. C. Youtsey, I. Adesida, and G. Bulman, "Highly anisotropic photoenhanced wet etching of n-type GaN," Appl. Phys. Lett. 71, 2151 (1997). 31. C. Youtsey, L.T. Romano, and I. Adesida, "Gallium nitride whiskers formed by selective photoenhanced wet etching of dislocations," Appl. Phys. Lett. 73, 797 (1998). 32. J. Borton, C. Cai, M. Nathan, P. Chow, J. Van Hove, A. Wowchak, and H. Morkoc, "Bias-assisted photoelectrochemical etching of p-GaN at 300 K," Appl. Phys. Lett. 77, 1227 (2000). 33. J.L. Weyher, S. Muller, I. Grzegory, and S. Porowski, "Chemical polishing of bulk and epitaxial GaN," J. Cryst. Growth 182, 17 (1997). 34. H.M. Ng, W. Parz, N.G. Weimann, and A. Chowdhury, "Patterning GaN microstructures by polarity-selective chemical etching," Jpn. J. Appl. Phys. part 2 42, L1405 (2003). 35. H.M. Ng, N.G. Weimann, and A. Chowdhury, "GaN nanotip pyramids formed by anisotropic etching," J. Appl. Phys. 94, 650 (2003). 36. D. Li, M. Sumiya, S. Fuke, D. Yang, D. Que, Y. Suzuki, and Y. Fukuda, "Selective etching of GaN polar surface in potassium hydroxide solution studied by x-ray photoelectron spectroscopy," "J. Appl. Phys. 90,4219 (2001). 37. J.R. Mileham, S.J. Pearton, C.R. Abernathy, J.D. MacKenzie, R.J. Shul, and S.P. Kilcoyne, "Wet chemical etching of A1N," Appl. Phys. Lett. 67, 1119 (1995). 38. Y. Gao, M.D. Craven, J.S. Speck, S.P. DenBaars, and E.L. Hu, "Dislocation- and crystallographic-dependent photoelectrochemical wet etching of gallium nitride," Appl. Phys. Lett. 84, 3322 (2004). 39. T. Fujii, Y. Gao, R. Sharma, E.L. Hu, S.P. DenBaars, and S. Nakamura, "Increase in the extraction efficiency of GaN-based light-emitting diodes via surface roughening," Appl. Phys. Lett. 84, 855 (2004). 40. H.M. Ng, T.D. Moustakas, and S.N.G. Chu, "High reflectivity and broad bandwidth AIN/GaN distributed Bragg reflectors grown by molecular-beam epitaxy," Appl. Phys. Lett. 76, 2818 (2000). 41. E. Yablonovitch, "Inhibited spontaneous emission in solid-state physics and electronics," Phys. Rev. Lett. 58, 2059 (1987).
Ill-Nitrides Micro- and Nanostructures
397
42. S. John, "Strong localization of photons in certain disordered dielectric superlattices," Phys. Rev. Lett. 58, 2486 (1987). 43. T.N. Oder, J. Shakya, J.Y. Lin, and H.X. Jiang, "Ill-nitride photonic crystals," Appl. Phys. Lett. 83, 1231 (2003). 44. J.J. Wierer, M.R. Krames, J.E. Epler, N.F. Gardner, M.G. Craford, J.R. Wendt, J.A. Simmons, and M.M. Sigalas, "InGaN/GaN quantum-well heterostructure lightemitting diodes employing photonic crystal structures," Appl. Phys. Lett. 84, 3885 (2004). 45. T.N. Oder, K.H. Kim, J.Y. Lin, and H.X. Jiang, "Ill-nitride blue and ultraviolet photonic crystal light emitting diodes," Appl. Phys. Lett. 84, 466 (2004). 46. J.A. Armstrong, N. Bloembergen, J. Ducuing, and P.S. Pershan, "Interactions between light waves in a nonlinear dielectric," Phys. Rev. 127, 1918 (1962). 47. J. Miragliotta, D.K. Wickenden, T.J. Kistenmacher, and W.A. Bryden, "Linear- and nonlinear-optical properties of GaN thin films," J. Opt. Soc. Am. B 10, 1447 (1993). 48. N.A. Sanford, A.V. Davydov, D.V. Tsvetkov, A.V. Dmitriev, S. Keller, U.K. Mishra, S.P. DenBaars, S.S. Park, J.Y. Han, and R.J. Molnar, "Measurement of second order susceptibilities of GaN and AlGaN," J. Appl. Phys. 97, 053512 (2005). 49. H.Y. Zhang, X.H. He, Y.H. Shih, M. Schumann, Z.C. Feng, and R.A. Stall, "Study of nonlinear optical effects in GaN:Mg epitaxial film," Appl. Phys. Lett. 69, 2953 (1996). 50. J. Chen, Z.H. Levine, and J.W. Wilkins, "Calculated second-harmonic susceptibilities of BN, A1N, and GaN," Appl. Phys. Lett. 66, 1129 (1995). 51. A. Chowdhury, H.M. Ng, M. Bhardwaj, and N.G. Weimann, "Second-harmonic generation in periodically poled GaN," Appl. Phys. Lett. 83, 1077 (2003). 52. V.V. Zhirnov, G.J.Wojak, W.B. Choi, J.J. Cuomo, and J.J. Hren, "Wide band gap materials for field emission devices," J. Vac. Sci. Technol. A 15, 1733 (1997). 53. R. Gomer, "Field emission and field ionization," American Institute of Physics, New York, 1993. 54. H.M. Ng, J. Shaw, A. Chowdhury, and N.G. Weimann, "Electron Field Emission From GaN Nanotip Pyramids," Proceedings of the MRS 2003 Fall Meeting, vol. 798 (2004). 55. I. Wu and A. Kahn, "Investigation of the chemistry and electronic properties of metal/gallium nitride surfaces," J. Vac. Sci. Technol. B 16, 2218 (1998). 56. K. Matsumoto, S. Kinosita, Y. Gotoh, T. Uchiyama, S. Manalis, and C. Quate, "Ultralow biased field emitter using single-walled carbon nanotube directly grown onto silicon tip by thermal chemical vapor deposition," Appl. Phys. Lett. 78, 539 (2001). 57. T. Kozawa, T. Ohwaki, Y. Taga, and N. Sawaki, "Field emission study of gated GaN and Alo.1Gao.9N pyramidal field emitter arrays," Appl. Phys. Lett. 75, 3330 (1999).
398
Ng, Chowdhury
58. O. Nam, M.D. Bremser, B.L. Ward, R.J. Nemanich, and R.F. Davis, "Growth of GaN and Al02Gao.8N on patterned substrates via organometallic vapor phase epitaxy," Jpn. J. Appl. Phys. Part 2, 36, L532 (1997). 59. B.L. Ward, O.-H. Nam, J.D. Hartman, S.L. English, B.L. McCarson, R. Schlesser, Z. Sitar, R.F. Davis, and R.J. Nemanich, "Electron emission characteristics of GaN pyramid arrays grown via organometallic vapor phase epitaxy," J. Appl. Phys. 84, 5238 (1998). 60. T. Sugino, T. Hori, C. Kimura, and T. Yamamoto, "Field emission from GaN surfaces roughened by hydrogen plasma treatment," Appl. Phys. Lett. 78, 3229 (2001). 61. H. Tampo, T. Yamanaka, K. Yamada, K. Ohnishi, M. Hashimoto, and H. Asahi, "Field emission from polycrystalline GaN grown on Mo substrate," Jpn. J. Appl. Phys. Part 2, 41, L907 (2002). 62. Y. Terada, H. Yoshida, T. Urushido, H. Miyake, and K. Hiramatsu, "Field emission from GaN self-organized nanotips," Jpn. J. Appl. Phys. Part 2, 41, LI 194 (2002).
CHAPTER 12 NEW DEVELOPMENTS IN DILUTE NITRIDE SEMICONDUCTOR RESEARCH
W. Shan1, W. Walukiewicz1, K.M. Yu1, J. Wu2, J.W. Ager III1, and E.E. Haller1'3 1. Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 2. Department of Chemistry and Chemical Biology, Harvard University, Cambridge, MA 02138 3. Department of Materials Science and Engineering, University of California, Berkeley, CA 94720
Abstract Dilute nitrides are considered as highly mismatched semiconductor alloys of great technological importance for their applications in telecommunication devices and photovoltaic solar cells. This chapter reviews recent developments in the dilute nitride semiconductors research with the emphasis on the understanding of N-induced change in the electronic structure of the materials. The unusual physical properties associated with the dilute nitrides can be readily explained by the change in the conduction-band structure originating from a band anticrossing interaction between the extended conduction-band states and the localized states of nitrogen. 1. Introduction Dilute nitrides, especially GaAsj^N^ and Gai_>,InyAsi_jtN.c, have recently attracted considerable attention from both scientific and technological perspectives. The advances in thin-film deposition
399
400
Shan, Walukiewicz, Yu, Wu, Ager, Haller
technology have allowed these materials to be grown with everimproving crystalline quality, which in turn improves their optical properties and electronic performance. This enables the fundamental study of the unusual properties of these materials. These include a reduction of the fundamental band-gap energy,1,2 a significant increase in electron effective mass and a decrease in electron mobility.3"5 Furthermore, a new optical transition (E+) above the fundamental band gap energy has been observed.6'7 Most notable is the large observed band-gap bowing. Incorporation of only one percent nitrogen into GaAs induces a strikingly large reduction of 0.18 eV in the fundamental bandgap energy.8 The mechanism by which the addition of nitrogen changes the properties of these III-N-V materials appears to be fundamentally different from that in other III-V alloy systems such as A^Ga^As. This creates new opportunities for band-gap engineering and optoelectronic device-structure designs with the direct band gaps of these dilute-nitride alloys accessible to the near-IR, which is of great importance for telecommunications and solar power conversion applications. 2. Material Properties The novel material properties of dilute nitrides were first discovered in the early 1990's. In the quest to close the gap between the nitrides and arsenides thus to achieve the goal of fabricating light emitting devices covering the entire visible spectral region, Weyers and coworkers succeeded in growing GaNxAsi_x alloys using plasma assisted metalorganic chemical vapor deposition (MOCVD).1 To their surprise, they found that these alloys exhibit a considerable red shift in photoluminescence and absorption edge rather than the anticipated blue shift. Furthermore, application of simple interpolation between the properties of the end point materials using first or second order polynomials within the virtual crystal approximation (VCA), in which the random alloy potential is approximated by a periodic lattice of average atomic potential9"11 and has the trend of increasing band-gap energy with decreasing lattice constant, led to large and composition dependent bowing parameters12"15 beyond common experience.
New Developments in Dilute Nitride Semiconductor Research
401
The uncommon physical properties are the consequence of the extraordinary chemical characteristics of nitrogen compared to other group-V elements. These chemical characteristics, in turn, cause difficulties in incorporating nitrogen in III-V semiconductor crystals to form randomly mixed III-N-V nitride alloys. The conventional nonnitride III-V compound semiconductors do not easily crystallize in the wurtzite form, the crystal structure of GaN. It is therefore expected that GaNAs and the other analogous alloys will crystallize in the zinc-blende structure. There is a large miscibility gap that makes it difficult to prepare the alloys with large N fractions. At present, growth of III-N-V alloys is still considered challenging and bulk crystals have not been grown. To date most reports on III-N-V alloys involve thin films grown by molecular beam epitaxy (MBE) using RF plasma nitrogen radical beam source or metalorganic chemical vapor deposition (MOCVD) with dimethylhydrazine as nitrogen source.16 The nitrogen contents in such samples were usually determined using secondary ion mass spectrometry (SIMS) and indirectly from the change of the lattice constant measured with the (004) reflection in double-crystal x-ray diffraction. One of the major challenges for the growth of dilute nitrides is the completely different set of boundary conditions that affect the choice of the epitaxial growth technique. Both MBE and MOCVD have been used to grow dilute nitride materials. However, the issues governing choice are appreciably more complex and challenging than for GaAs-based and InP-based alloy systems that are extensively used in optoelectronic applications. The situation for growth of GaNAs and GalnNAs is entirely different compared to the InGaAsP materials system. First of all, in order to incorporate sufficient N, the growth has to occur at much lower growth temperatures and under metastable growth conditions within the miscibility gap region of the GalnNAs alloy. This is due to the different basic crystal structures of the constituent alloys and their regions of growth compatibility: GaN is a hexagonal (wurtzite) crystal grown at relatively high temperatures while GaAs is cubic (zinc-blende) grown at significantly lower temperatures, creating a miscibility gap in the
402
Shan, Walukiewicz, Yu, Wu, Ager, Haller
alloys. Hence, as either or both N and growth temperature increase, phase segregation occurs. Kondow and coworkers used an N plasma source added to a gas source MBE system.18 This growth technique provided material which showed the potential for GalnNAs. However, issues related to N incorporation and strong growth temperature composition sensitivity due to the low arsine cracking efficiency set limitations, particularly for large scale deposition using this approach. Solid-source MBE with an atomic N plasma source has proven to be the most versatile system to allow growth at the lowest temperatures and over the largest range of N and In compositions.17 The single most critical parameter controlling growth is the growth temperature. When the growth temperature exceeds a critical value, MBE growth begins to change from 2D, layer-by-layer growth to 3D island growth with microphase segregation.17 There is a N composition dependence on suitable growth temperature, however, 420°C < T < 450°C maintains 2D epitaxial growth over the greatest range of N compositions. The V/III supply ratio also has an impact on growth, but much less so than temperature. Because growth must occur at much lower temperatures, MOCVD growth is far more challenging than MBE growth. Compared to MOCVD growth of N-based wide-band-gap systems, which use ammonia as the N source, the growth temperature for GalnNAs is too low to achieve reasonable cracking of either ammonia or arsine. Need to use new sources with complex precursor reactions and highly nonlinear incorporation ratios greatly complicate the growth compared to conventional III-V materials systems. The higher growth temperatures limit the N incorporation where micro-phase segregation begins and makes it extremely challenging to reach the N compositions needed to achieve 0.8-1.0 eV band gap.19 A new method for synthesizing dilute nitrides was developed during recent years. Nitrogen implantation followed by rapid thermal annealing (RTA) was found to be a practical and convenient method for the formation of diluted III-N-V alloys.20'21 The fundamental band-gap energies for the ion beam synthesized thin films of GaNxAsi_x, InNxPi-x
New Developments in Dilute Nitride Semiconductor Research
403
and AlyGai_yNxAsi_x obtained by N+ implantation into GaAs, InP and AlyGai.yAs were found to decrease with increasing N implantation dose in a manner similar to that observed in epitaxially grown thin films. In GaNxAsi_x the highest value of x achieved using N+-implantation and conventional RTA technique was 0.006 corresponding to an N activation efficiency of -15%. In the course of optimizing the annealing conditions in these studies, it was found that, in GaNAs formed in this way, the substitutional NAs is thermally unstable at temperatures higher than 850°C and will precipitate to form N2 filled voids.22 N(4%) impl. GaAs; PLM+950°C 10s AE=240meV 4% N
a:
1.2
1.3
1.4
energy (eV) Fig. 1. PR spectra measured from a series of samples implanted with increasing amounts of N (ximp) and processed by PLM at an energy fluence of 0.34J/cm2 and subsequent RTA at950°Cforl0sec.
More recently, it has been shown that pulsed laser melting (PLM) of N-implanted III-Vs dramatically improves the incorporation of N on the
404
Shan, Walukiewicz, Yu, Wu, Ager, Haller
group-V element site. ' In PLM, the near surface absorption of a single intense laser pulse instantaneously melts the implant-damaged or amorphized layer. This is followed immediately by rapid epitaxial regrowth from the liquid. Epitaxy is seeded at the solid-liquid interface by the crystalline bulk in a manner very similar to liquid phase epitaxy (LPE) but with the whole process occurring on a much shorter time scale, typically between 10"8-10"6 second.25'26 Figure 1 shows a series of photoreflectance (PR) spectra from GaAs implanted with increasing amounts of N processed by PLM with an energy fluence of 0.34 J/cm2 and subsequently by RTA at 950°C for ten seconds. Such PLM-RTA post-implantation treatments appear to represent the "optimum" process conditions found to date and the samples so formed have clear, sharp optical transitions. The amount of N incorporated in the As sublattice ("active" N) for the GaNxAsi_x layers formed by this method can be estimated using the BAC model and is -40-60% of the implanted value. This is over five times higher than the activation efficiency observed in samples processed by RTA only.21 Such a drastic improvement can be attributed to the extremely short melt duration (~2xl 0"7 s) and re-growth process that promotes N substitution in the As site and inhibits the formation of nitrogen voids.24 In addition to the enhanced N incorporation, the dilute nitride layers synthesized by N+-implantation followed by PLM-RTA were also found to be thermally stable up to annealing temperature > 950°C. This improved sample synthesis technique provides a convenient and reliable method, in addition to conventional epitaxial growth techniques,2'4'12 for preparing large variety of dilute nitride samples.
3. Device Applications
3.1. Long-wavelength Laser Diodes for Telecommunications The rapid growth of the internet and data transmission in recent years has driven the bandwidth of optical fiber networks, particularly in the areas
New Developments in Dilute Nitride Semiconductor Research
405
of metro area networks (MAN) and local area networks (LAN), to be continuously expanded to meet the ever increased demand. Low cost, single mode vertical cavity surface-emitting lasers (VCSELs) operating in longer wavelengths and at room temperature are an essential element for data communications in a gigabit MAN or LAN architecture because the most used GaAs-based 850-nm VCSELs for data communications suffers a severe drop in transmission distance as the bit-rate increases. Although InGaAsP/InP has long been the materials system for distributed feedback (DFB) edge-emitting lasers that have been the sources for long-haul, 1.55 jum optical-fiber backbone networks over the years, the inherent material problem of insufficient refractive index contrast, makes it impossible to meet the requirements for distributed Bragg reflector quarter-wave VCSEL mirrors, particularly in 1.3 /urn. It is also not feasible to use such expensive DFB lasers for data communications in MAN and LAN that would require millions of them. In addition, use of Raman amplifiers in the dense-wavelength division-multiplexing architectures within the S- and L-bands require high-power pump lasers similar to 0.98 jum strained InGaAs/GaAs QWs lasers, but at longer wavelengths (1.2 - 1.5 //m). The well-known materials limitations of the InGaAsP system make it nearly impossible for applications in long wavelength high-power pumping lasers. The small heterojunction conduction-band offset between InP and InGaAsP (-40% AEg) limits electron confinement in the QWs, resulting in a much lower characteristic temperature T0 compared to the InGaAs/GaAs materials used for EDFA pumps. Since the bandgap energy decreases for decreasing lattice constant in mixed group-V dilute nitrides, they can dramatically expand the range of applications of III-V alloy semiconductors and significantly increase freedom in designing semiconductor devices. Therefore, there are possibilities in which novel devices can be created or the performance of current devices can be drastically improved. It is Kondow and his coworkers who first proposed GalnNAs as an alternative active-region material for semiconductor laser diodes operating in the 1.3 //m and 1.55
406
Shan, Walukiewicz, Yu, Wu, Ager, Haller
jum regions, the telecommunications windows of optical fibers. It is possible to make devices with significantly superior performance than the ones based on the InGaAsP/InP materials system due to the unusual physical properties of the dilute nitride alloy semiconductors: Adding In to GaAs increases the lattice constant of InGaAs alloys, whereas adding N to GaAs decreases the lattice constant of GaNAs alloys. Therefore GalnNAs can be grown lattice-matched or pseudomorphically nearlymatched on a GaAs substrate. Both In and N have the effect of reducing the band-gap energy that makes GalnNAs suitable for long-wavelength laser diodes (1.3-1.55 jum and longer wavelengths). Furthermore, as will be discussed in the next section, incorporating of N into GaAs has a negligible effect on the valence band so that almost the entire change in the band gap between GaNAs and GaAs is accommodated by the conduction-band offset alone. All these unusual properties make GalnNAs one of the most attractive new materials for both VCSELs and high-power edge-emitting laser applications. By combining GalnNAs with GaAs or other wide-gap materials that can be grown on a GaAs substrate, a type-I band lineup is achieved and, thus, very deep quantum wells can be fabricated, especially in the conduction band.16 Since the electron overflow from the wells to the barrier layers at high temperatures can be suppressed, GalnNAs is highly attractive for overcoming the poor temperature characteristics of conventional InGaAsP/InP long-wavelength laser diodes. In the case of VCSELs, GalnNAs can utilize structures almost identical to 850 nm VCSELs that are now in large-scale production. In order to greatly expand broadband amplifier used in MANs, the key features that must be achieved are sufficient power and low cost. At this point it is clearly far from obvious that this new material will reach the leading position for the development of a broad range of VCSELs and edge-emitting lasers that will be the foundation of lower cost fiber optical networks for telecommunication.
New Developments in Dilute Nitride Semiconductor Research
407
3.2. Solar Cells for Photovoltaic Solar Power Conversion High-efficiency GalnP/GaAs/Ge monolithic series-connected threejunction solar cells are currently in production for space applications and are the leading candidates for terrestrial concentrator applications.27"29 However, the next generation of four-junction devices with considerably higher efficiencies require a set of III-V materials with a band gap energy lower than that of GaAs but higher than that of Ge, preferably lattice matched to GaAs to minimize strain-induced defects that severely degrade solar cell performance.30 The discovery by Weyers et al. of the anomalously large band-gap reduction in GaNAs1 and the introduction of GalnNAs as a 1 eV semiconductor, lattice matched to GaAs for laser applications by Kondow et al.l& has quickly led to the realization that GalnNAs could be also a suitable material for next-generation solar cell designs. A solar cell must convert photons to electron-hole pairs and separate them into electrons and holes. The voltage of a solar cell is limited by the lowest band-gap energy of the semiconductor. The absorption coefficient a(£) of a given semiconductor material is a measure of how strongly a photon with a particular wavelength will interact with the semiconductor, and is inversely proportional to the distance within which the photon will most likely be absorbed. Both the thickness of a solar cell and its carrier collection length (i.e., its combined diffusion length and depletion width) must be greater than l/a(E) in order to collect a significant fraction of photons of a particular wavelength. Only strongly absorbing direct-gap semiconductors are useful in thin-film solar cells. In a typical solar cell, electrons and holes are separated by the electric field generated by the diffusion potential of a p-n junction. In high-quality semiconductors, these carriers can also diffuse through a field-free region to the p-n junction, allowing collection from relatively thick layers of semiconductor. The currently most advanced and complicated 1.8 eV GaInP/1.4 eV GaAs/1.0 eV GaInNAs/0.7 eV Ge lattice matched four-junction structure has the potential for extremely high efficiencies.30 The novel component of this structure is the 1 eV GalnNAs third junction. Single-junction 1.0 eV GalnNAs cells lattice matched to GaAs have been studied most
408
Shan, Walukiewicz, Yu, Wu, Ager, Haller
extensively. For a 1.0 eV solar cell to be current-matched in the fourjunction devices described above, nearly unity internal quantum efficiencies (QE) are required in the energy range 1.0-1.4 eV. Unfortunately, internal QEs of actual devices have reached only about 70% because of poor minority-carrier diffusion lengths. These 70% QEs were achieved by using non-standard designs to minimize the effects of poor diffusion lengths, such as designs using p-i-n type structures with regions of low carrier concentration31,33 or designs using annealed thick n-type emitter layers.34 In contrast, p-n junction designs using a thin highly doped (~lxl0 18 cm"3) emitter and a thicker moderately doped (~l xlO17 cm"3) base, a design which works well for GaAs cells, typically result in