Springer Handbook of Automation

  • 9 77 6
  • Like this paper and download? You can publish your own PDF file online for free in a few minutes! Sign Up

Springer Handbook of Automation

Springer Handbooks provide a concise compilation of approved key information on methods of research, general principl

3,918 1,022 50MB

Pages 1888 Page size 335 x 422 pts Year 2009

Report DMCA / Copyright

DOWNLOAD FILE

Recommend Papers

File loading please wait...
Citation preview

Springer Handbook of Automation

Springer Handbooks provide a concise compilation of approved key information on methods of research, general principles, and functional relationships in physical sciences and engineering. The world’s leading experts in the fields of physics and engineering will be assigned by one or several renowned editors to write the chapters comprising each volume. The content is selected by these experts from Springer sources (books, journals, online content) and other systematic and approved recent publications of physical and technical information. The volumes are designed to be useful as readable desk reference books to give a fast and comprehensive overview and easy retrieval of essential reliable key information, including tables, graphs, and bibliographies. References to extensive sources are provided.

Springer

Handbook of Automation Nof (Ed.) With DVD-ROM, 1005 Figures, 222 in four color and 149 Tables

123

Editor Shimon Y. Nof Purdue University PRISM Center, and School of Industrial Engineering 315 N. Grant Street West Lafayette IN 47907, USA [email protected]

Disclaimer: This eBook does not include the ancillary media that was packaged with the original printed version of the book.

ISBN: 978-3-540-78830-0 e-ISBN: 978-3-540-78831-7 DOI 10.1007/978-3-540-78831-7 Springer Dordrecht Heidelberg London New York Library of Congress Control Number: 2008934574 c Springer-Verlag Berlin Heidelberg 2009  This work is subject to copyright. All rights are reserved, whether the whole or part of the material is concerned, specifically the rights of translation, reprinting, reuse of illustrations, recitation, broadcasting, reproduction on microfilm or in any other way, and storage in data banks. Duplication of this publication or parts thereof is permitted only under the provisions of the German Copyright Law of September 9, 1965, in its current version, and permission for use must always be obtained from Springer. Violations are liable to prosecution under the German Copyright Law. The use of general descriptive names, registered names, trademarks, etc. in this publication does not imply, even in the absence of a specific statement, that such names are exempt from the relevant protective laws and regulations and therefore free for general use. Production and typesetting: le-tex publishing services GmbH, Leipzig Senior Manager Springer Handbook: Dr. W. Skolaut, Heidelberg Typography and layout: schreiberVIS, Seeheim Illustrations: Hippmann GbR, Schwarzenbruck Cover design: eStudio Calamar S.L., Spain/Germany Cover production: WMXDesign GmbH, Heidelberg Printing and binding: Stürtz GmbH, Würzburg Printed on acid free paper Springer is part of Springer Science+Business Media (www.springer.com) 89/3180/YL

543210

V

Dedication

This Springer Handbook is dedicated to all of us who collaborate with automation to advance humanity.

“This page left intentionally blank.”

VII

Foreword Automation Is for Humans and for Our Environment Preparing to write the Foreword for this outstanding Springer Handbook of Automation, I have followed Shimon Y. Nof’s statement in his Preface vision: “The purpose of this Handbook is to understand automation knowledge and expertise for the solution of human society’s significant challenges; automation provided answers in the past, and it will be harnessed to do so in the future.” The significant challenges are becoming ever more complex, and learning how to address them with the help of automation is significant too. The publication of this Handbook with the excellent information and advice by a group of top international experts is, therefore, most timely and relevant. The core of any automatic system is the idea of feedback, a simple principle governing any regulation process occurring in nature. The process of feedback governs the growth of living organisms and regulates an innumerable quantity of variables on which life is based, such as body temperature, blood pressure, cells concentration, and on which the interaction of living organisms with the environment is based, such as equilibrium, motion, visual coordination, response to stress and challenge, and so on. Humans have always copied nature in the design of their inventions: feedback is no exception. The introduction of feedback in the design of man-made automation processes occurred as early as in the golden century of Hellenistic civilization, the third century BC. The scholar Ktesibios, who lived in Alexandria circa 240–280 BC and whose work has been handed to us only by the later roman architect Vitruvius, is credited for the invention of the first feedback device. He used feedback in the design of a water clock. The idea was to obtain a measure of time from the inspection of the position of a floater in a tank of water filled at constant velocity. To make this simple principle work, Ktesibios’s challenge was to obtain a constant flow of water in the tank. He achieved this by designing a feedback device in which a conic floating valve serves the dual purpose of sensing the level of water in a compartment and of moderating the outflow of water. The idea of using feedback to moderate the velocity of rotating devices eventually led to the design of the centrifugal governor in the 18th century. In 1787, T. Mead patented such a device for the regula-

tion of the rotary motion of a wind mill, letting the sail area be decreased or increased as the weights in the centrifugal governor swing outward or, respectively, inward. The same principle was applied two years later, by M. Boulton and J. Watt, to control the steam inlet valve of a steam engine. The basic simple idea of proportional feedback was further refined in the middle of the 19th century, with the introduction Alberto Isidori President IFAC of integral control to compensate for constant disturbances. W. von Siemens, in the 1880s, designed a governor in which integral action, achieved by means of a wheel-and-cylinder mechanical integrator, was deliberately introduced. The same principle of proportional and integral feedback gave rise, by the turning of the century, to the first devices for the automatic steering of ships, and became one of the enabling technologies that made the birth of aviation possible. The development of sensors, essential ingredients in any automatic control system, resulted in the creation of new companies. The perception that feedback control and, in a wider domain, automation were taking the shape of an autonomous discipline, occurred at the time of the second world war, where the application to radar and artillery had a dramatic impact, and immediately after. By the early 1950s, the principles of this newborn discipline quickly became a core ingredient of most industrial engineering curricula, professional and academic societies were established, textbooks and handbooks became available. At the beginning of the 1960s, two new driving forces provoked an enormous leap ahead: the rush to space, and the advent of digital computers in the implementation of control system. The principles of optimal control, pioneered by R. Bellman and L. Pontryagin, became indispensable ingredients for the solution of the problem of soft landing on the moon and in manned space missions. Integrated computer control, introduced in 1959 by Texaco for set point adjustment and coordination of several local feedback loops in a refinery, quickly became the standard technique for controlling industrial processes.

VIII

Those years saw also the birth of an International Federation of Automatic Control (IFAC), as a multinational federation of scientific and/or engineering societies each of which represents, in its own nation, values and interests of scientists and professionals active in the field of automation and in related scientific disciplines. The purpose of such Federation, established in Heidelberg in 1956, is to facilitate growth and dissemination of knowledge useful to the development of automation and to its application to engineering and science. Created at a time of acute international tensions, IFAC was a precursor of the spirit of the socalled Helsinki agreements of scientific and technical cooperation between east and west signed in 1973. It represented, in fact, a sincere manifestation of interest, from scientists and professionals of the two confronting spheres of influence in which the world was split at that time, toward a true cooperation and common goals. This was the first opportunity, after the Second World War that scientists and engineers had of sharing complementary scientific and technological backgrounds, notably the early successes in the space race in the Soviet Union and the advent of electronic computers in the United States. The first President of IFAC was an engineer from the Unites States, while the first World Congress of the Federation was held in Moscow in 1960. The Federation currently includes 48 national member organizations, runs more than 60 scientific Conferences with a three-year periodicity, including a World Congress of Automatic Control, and publishes some of the leading Journals in the field. Since then, three decades of steady progresses followed. Automation is now an essential ingredient in manufacturing, in petrochemical, pharmaceutical, and paper industry, in mining and metal industry, in conversion and distribution of energy, and in many services. Feedback control is indispensable and ubiquitous in automobiles, ships and aircrafts. Feedback control is also a key element of numerous scientific instruments as well as of consumer products, such as compact disc players. Despite of this pervasive role of automation in every aspect of the technology, its specific value is not always perceived as such and automation is often confused with other disciplines of engineering. The advent of robotics, in the late 1970s, is, in some sense, an exception to this, because the impact of robotics in modern manufacturing industry is under the eyes of everybody. However, also in this case there is a tendency to consider robotics and the associated impact on industry as an implementation of ideas and principles of computer engineering rather than principles of automation and feedback control.

In the recent years, though, automation and control have experienced a third, tumultuous expansion. Progresses in the automobile industry in the last decade have only been possible because of automation. Feedback control loops pervade our cars: steering, breaking, attitude stabilization, motion stabilization, combustion, emissions are all feedback controlled. This is a dramatic change that has revolutionized the way in which cars are conceived and maintained. Industrial robots have reached a stage of full maturity, but new generations of service robots are on their way. Four-legged and even two-legged autonomous walking machines are able to walk through rough terrains, service robot are able to autonomously interact with uncertain environment and adapt their mission to changing tasks, to explore hostile or hazardous environments and to perform jobs that would be otherwise dangerous for humans. Service robots assist elderly or disabled people and are about to perform routine services at home. Surgical robotics is a reality: minimally invasive micro robots are able to move within the body and to reach areas not directly accessible by standard techniques. Robots with haptic interfaces, able to return a force feedback to a remote human operator, make tele-surgery possible. New frontiers of automation encompass applications in agriculture, in recycling, in hazardous waste disposal, in environment protection, and in safe and reliable transportation. At the dawn of the 20th century, the deterministic view of classical mechanics and some consequent positivistic philosophic beliefs that dominated the 19th century had been shaken by the advent of relativistic physics. Today, after a century dominated by the expansion of technology and, to some extent, by the belief that no technological goal was impossible to achieve, similar woes are feared. The clear perception that resources are limited, the uncertainty of the financial markets, the diverse rates of development among nations, all contribute to the awareness that the model of development followed in so far in the industrialized world will change. Today’s wisdom and beliefs may not be the same tomorrow. All these expected changes might provide yet another great opportunity for automation. Automation will no longer be seen only as automatic production, but as a complex of technologies that guarantee reliability, flexibility, safety, for humans as well as for the environment. In a world of limited resources, automation can provide the answer to the challenges of a sustainable development. Automation has the opportunity of making a greater and even more significant impact on society. In the first half of the 20th century, the precepts of engineering and management

IX

helped solving economic recession and ease social anxiety. Similar opportunities and challenges are occurring today. This leading-edge Springer Handbook of Automation will serve as a highly useful and powerful tool and companion to all modern-day engineers and managers in their respective profession. It comes at an appropriate time, and provides a fundamental core of basic principles, knowledge and experience by means of which engineers and managers will be able to quickly respond to changing automation needs and to find creative solutions to the challenges of today’s and tomorrow’s problems. It has been a privilege for many members of IFAC to participate with Springer Publishers, Dr. Shimon Y. Nof, and the over 250 experts, authors and

reviewers, in creating this excellent resource of automation knowledge and ideas. It provides also a full and comprehensive spectrum of current and prospective automation applications, in industry, agriculture, infrastructures, services, health care, enterprise and commerce. A number of recently developed concepts and powerful emerging techniques are presented here for the first time in an organized manner, and clearly illustrated by specialists in those fields. Readers of this original Springer Handbook of Automation are offered the opportunity to learn proven knowledge from underlying basic theory to cutting-edge applications in a variety of emerging fields. Alberto Isidori Rome, March 2009

“This page left intentionally blank.”

XI

Foreword Automation Is at the Center of Human Progress As I write this Foreword for the new Springer Handbook of Automation, the 2008 United States presidential elections are still in full swing. Not a day seems to go by without a candidate or newscaster opining on the impact of cheaper, offshore labor on the US economy. Similar debates are taking place in other developed countries around the globe. Some argue that off-shoring jobs leads to higher unemployment and should be prohibited. Indeed some regions have passed legislation prohibiting their local agencies from moving work to lower cost locations. Proponents argue off-shoring leads to lower unemployment. In their view freeing up of the labor force from lower skilled jobs allows more people to enter higher value jobs which are typically higher paying. This boosts incomes and in turn overall domestic consumption. Then, what about automation? Is the displacement or augmentation of human labor with an automated machine bad for our economies, too? If so, let’s ban it! So, let’s imagine a world in which automation didn’t exist. . . . To begin I wouldn’t be writing this Foreword on my laptop computer since the highly sophisticated automation necessary to manufacture semiconductors wouldn’t exist. That’s okay I’ll just use my old typewriter. Oops, the numerical controlled machines required to manufacture the typewriter’s precision parts wouldn’t exist. What about pencil and paper? Perhaps, but could I afford them given that there would be no sensors and controls needed to manufacture them in high volume? IBM has been a leader and pioneer in many automation fields, both as a user and a provider of automation solutions. Beyond productivity and cost-effectiveness, automation also enables us to effectively monitor process quality, reveal to us opportunities for improvement and innovation, and assure product and service dependability and service-availability. Such techniques and numerous examples to advance with automation, as users and providers, are included in this Springer Handbook of Automation. The expanding complexity and magnitude of highpriority society’s problems, global needs and competition forcefully challenge organizations and companies. To succeed, they need to understand detailed knowledge

of many of the topics included in this Springer Handbook of Automation. Beyond an extensive reference resource providing the expert answers and solutions, readers and learners will be enriched from inspiration to innovate and create powerful applications for specific needs and challenges. The best example I know is one I have witnessed first hand at IBM. Designing, developing, and J. Bruce Harreld Senior Vice President IBM manufacturing state-of-the art microprocessors have been a fundamental driver of our success in large computer and storage systems. Thirty years ago the manufacturing process for these microprocessors was fairly manual and not very capital intense. Today we manufacture microprocessors in a new stateof-the-art US$ 3 billion facility in East Fishkill, New York. This fabrication site contains the world’s most advanced logistics and material handling system including real-time process control and fully automated workflow. The result is a completely touchless process that in turn allows us to produce the high quality, error free, and extremely fast microprocessors required for today’s high end computing systems. In addition to chapters devoted to a variety of industry and service automation topics, this Springer Handbook of Automation includes useful, well-organized information and examples on theory, tools, and their integration for successful, measurable results. Automation is often viewed as impacting only the tangible world of physical products and facilities. Fortunately, this is completely wrong! Automation has also dramatically improved the way we develop software at IBM. Many years ago writing software was much like writing a report with each individual approaching the task quite differently and manually writing each line of code. Today, IBM’s process for developing software is extremely automated with libraries of previously written code accessible to all of our programmers. Thus, once one person develops a program that performs a particular function, it is quickly shared and reused around the globe. This also allows us to pass a project to and from one team to the next so we can speed up cy-

XII

cle times for our clients by working on their projects 24 hours a day. The physical process of writing the lines of code has been replaced with pointing and clicking at objects on a computer screen. The result has been a dramatic reduction in mistakes with a concomitant increase in productivity. But we expect and anticipate even more from automation in support of our future, and the knowledge and guidelines on how to do it are described in this Springer Handbook of Automation. The examples illustrated above highlight an important point. While we seldom touch automation, it touches us everyday in almost everything we do. Human progress is driven by day-to-day improvements in how we live. For more than one hundred years automation has been at the center of this exciting and meaningful journey. Since ancient history, humans have known how to benefit civilization with automation. For engineers, scientists, managers and inventors, automation provides an exciting and important opportunity to implement ingenious human intelligence in automatic solutions for many needs, from simple applications, to difficult and complex requirements. Increasingly, multi-disciplinary cooperation in the study of

automation helps in this creative effort, as detailed well in this Springer Handbook of Automation, including automatic control and mechatronics, nano-automation and collaborative, software-based automation concepts and techniques, from current and proven capabilities to emerging and forthcoming knowledge. It is quite appropriate, therefore, that this original Springer Handbook of Automation has been published now. Its scope is vast and its detail deep. It covers the history as well as the social implications of automation. Then it dives into automation theory and techniques, design and modeling, and organization and management. Throughout the 94 chapters written by leading world experts, there are specific guidelines and examples of the application of automation in almost every facet of today’s society and industry. Given this rich content I am confident that this Handbook will be useful not only to students and faculty but practitioners, researchers and managers across a wide range of fields and professions. J. Bruce Harreld Armonk, January 2009

XIII

Foreword Dawn of Industrial Intelligent Robots This Handbook is a significant educational, professional and research resource for anyone concerned about automation and robotics. It can serve well for global enterprises and for education globally. The impacts of automation in many fields have been and are essential for increasing the intelligence of services and of interaction with computers and with machines. Plenty of illustrations and statistics about the economics and sophistication impacts of automation are included in this Handbook. Automation, in general, includes many computer and communication based applications, computerintegrated design, planning, management, decision support, informational, educational, and organizational resources, analytics and scientific applications, and more. There are also many automation systems involving robots. Robots have emerged from science fiction into industrial reality in the middle of the 20th Century, and are now available worldwide as reliable, industrially made, automated and programmable machines. The field of robotics application is now expanding rapidly. As widely known, about 35% of industrial robots in the world are operating in Japan. In the 1970s, Japan started to introduce industrial robots, especially automotive spot welding robots, thereby establishing the industrial robot market. As the industries flourished and faced labor shortage, Japan introduced industrial robots vigorously. Industrial robots have since earned recognition as being able to perform repetitive jobs continuously, and produce quality products with reliability, convincing the manufacturing industry that it is keenly important to use them skillfully so as to achieve its global impact and competitiveness. In recent years, the manufacturing industry faces severe cost competition, shorter lead-time, and skilled worker shortage in the aging society with lower birth rates. It is also required to manufacture many varieties of products in varied quantity. Against this backdrop, there is a growing interest in industrial intelligent robots as a new automation solution to these requirements. Intelligence here is not defined as human intelligence or a capacity to think, but as a capacity comparable to

that of a skilled worker, with which a machine can be equipped. Disadvantages of relatively simple, playback type robots without intelligent abilities result in relatively higher equipment costs for the elaborate peripheral equipment required, such as parts feeders and part positioning fixtures. Additionally for simpler robots, human workers must daily pre-position work-pieces in designated locations to operate the Seiuemon Inaba Chairman Fanuc Ltd. robots. In contrast, intelligent robots can address these requirements with their vision sensor, serving as the eye, and with their force sensor, serving as the hand providing sense of touch. These intelligent robots are much more effective and more useful. For instance, combined with machine tools as Robot Cells they can efficiently load/unload work-pieces to/from machine tools, thereby reducing machining costs substantially by enabling machine tools to operate long hours without disruptions. These successful solutions with industrial intelligent robots have established them as a key automation component to improve global competitiveness of the manufacturing industry. It signifies the dawn of the industrial intelligent robot. Intelligent automation, including intelligent robots, can now help, as described very well in this Springer Handbook of Automation, not only with manufacturing, supply and production companies, but increasingly with security and emergency services; with healthcare delivery and scientific exploration; with energy exploration, production and delivery; and with a variety of home and special needs human services. I am most thankful for the efforts of all those who participated in the development of this useful Springer Handbook of Automation and contributed their expertise so that our future with automation and robotics will continue to bring prosperity. Seiuemon Inaba Oshino-mura, January 2009

“This page left intentionally blank.”

XV

Foreword Automation Is the Science of Integration In our understanding of the word automation, we used to think of manufacturing processes being run by machines without the need for human control or intervention. From the outset, the purpose of investing in automation has been to increase productivity at minimum cost and to assure uniform quality. Permanently assessing and exploiting the potential for automation in the manufacturing industries has, in fact, proved to be a sustainable strategy for responding to competition in the marketplace, thereby securing attractive jobs. Automation equipment and related services constitute a large and rapidly growing market. Supply networks of component manufacturers and system integrators, allied with engineering skills for planning, implementing and operating advanced production facilities, are regarded as cornerstones of competitive manufacturing. Therefore, the emphasis of national and international initiatives aimed at strengthening the manufacturing base of economies is on holistic strategies for research and technical development, education, socioeconomics and entrepreneurship. Today, automation has expanded into almost every area of daily life: from smart products for everyday use, networked buildings, intelligent vehicles and logistics systems, service robots, to advanced healthcare and medical systems. In simplified terms, automation today can be considered as the combination of processes, devices and supporting technologies, coupled with advanced information and communication technology (ICT), where ICT is now evolving into the most important basic technology. As a world-leading organization in the field of applied research, the Fraunhofer Society (FraunhoferGesellschaft) has been a pioneer in relation to numerous technological innovations and novel system solutions in the broad field of automation. Its institutes have led the way in research, development and implementation of industrial robots and computerintegrated manufacturing systems, service robots for professional and domestic applications, advanced ICT systems for office automation and e-Commerce as well as automated residential and commercial buildings. Moreover, our research and development activities in advanced manufacturing and logistics as well as office and home automation have been accompanied by

large-scale experiments and demonstration centers, the goal being to integrate, assess and showcase innovations in automation in real-world settings and application scenarios. On the basis of this experience, we can state that, apart from research in key technologies such as sensors, actuators, process control and user interfaces, automation is first and foremost the science of integration, mastering the process from the Hans-Jörg Bullinger President specification, design and implemen- Fraunhofer Society tation through to the operation of complex systems that have to meet the highest standards of functionality, safety, cost-effectiveness and usability. Therefore, scientists and engineers need to be experts in their respective disciplines while at the same time having the necessary knowledge and skills to create and operate large-scale systems. The Springer Handbook of Automation is an excellent means of both educating students and also providing professionals with a comprehensive yet compact reference work for the field of automation. The Handbook covers the broad scope of relevant technologies, methods and tools and presents their use and integration in a wide selection of application contexts: from agricultural automation to surgical systems, transportation systems and business process automation. I wish to congratulate the editor, Prof. Shimon Y. Nof, on succeeding in the difficult task of covering the multi-faceted field of automation and of organizing the material into a coherent and logically structured whole. The Handbook admirably reflects the connection between theory and practice and represents a highly worthwhile review of the vast accomplishments in the field. My compliments go to the many experts who have shared their insights, experience and advice in the individual chapters. Certainly, the Handbook will serve as a valuable tool and guide for those seeking to improve the capabilities of automation systems – for the benefit of humankind. Hans-Jörg Bullinger Munich, January 2009

“This page left intentionally blank.”

XVII

Preface

We love automation when it does what we need and expect from it, like our most loyal partner: wash our laundry, count and deliver money bills, supply electricity where and when it is needed, search and display movies, maps, and weather forecasts, assemble and paint our cars, and more personally, image to diagnose our health problems, or tooth pains, cook our food, and photograph our journeys. Who would not love automation? We hate automation and may even kick it when it fails us, like a betraying confidant: turn the key or push a button and nothing happens the way we anticipate – a car does not start, a TV does not display, our cellphone is misbehaving, the vending machine delivers the wrong item, or refuses to return change; planes are late due to mechanical problem and business transactions are lost or ignored due to computer glitches. Eventually those problems are fixed and we turn back to the first paragraph, loving it again. We are amazed by automation and all those people behind it. Automation thrills us when we learn about its new abilities, better functions, more power, faster computing, smaller size, and greater reliability and precision. And we are fascinated by automation’s marvels: in entertainment, communication, scientific discoveries; how it is applied to explore space and conquer difficult maladies of society, from medical and pharmaceutical automation solutions, to energy supply, distant education, smart transportation, and we are especially enthralled when we are not really sure how it works, but it works. It all starts when we, as young children, observe and notice, perhaps we are bewildered, that a door automatically opens when we approach it, or when we are first driven by a train or bus, or when we notice the automatic sprinklers, or lighting, or home appliances: How can it work on its own? Yes, there is so much magic about automation. This magic of automation is what inspired a large group of us, colleagues and friends from around the world, all connected by automation, to compile, develop, organize and present this unique Springer Handbook of Automation: Explain to our readers what automation is, how it works, how it is designed and built, where it is applied, and where and how it is going

to be improved and be created even better; what are the scientific principles behind it, and what are emerging trends and challenges being confronted. All of it concisely yet comprehensively covered in the 94 chapters which are included in front of you, the readers. Flying over beautiful Fall colored forest surrounding Binghamton, New York in the 1970s on my way to IBM’s symposium on the future of computing, I was fascinated by the miracle of nature beneath the airplane: Such immense diversity of leaves’ changing colors; such magically smooth, wavy movement of the leaves dancing with the wind, as if they are programmed with automatic control to responsively transmit certain messages needed by some unseen listeners. And the brilliance of the sunrays reflected in these beautiful dancing leaves (there must be some purpose to this automatically programmed beauty, I thought). More than once, during reading the chapters that follow, was I reminded of this unforgettable image of multi-layered, interconnected, interoperable, collaborative, responsive waves of leaves (and services): The take-home lesson from that symposium was that mainframe computers hit, about that time, a barrier – it was stated that faster computing was impossible since mainframes would not be able to cool off the heat they generated (unless a miracle happened). As we all know, with superb human ingenuity computing has overcome that barrier and other barriers, and progress, including fast personal computers, better software, and wireless computer communication, resulted in major performance and cost-effective improvements, such as client-server workstations, wireless access and local area networks (LAN), duo- and multi-core architectures, web-based Internetworking, grids, and more has been automated, and there is so much more yet to come. Thus, more intelligent automatic control and more responsive human–automation interfaces could be invented and deployed for the benefit of all. Progress in distributed, networked, and collaborative control theory, computing, communication, and automation has enabled the emergence of e-Work, e-Business, e-Medicine, e-Service, e-Commerce, and many other significant e-Activities based on automation. It is not that our ancestors did not recognize the tremendous power and value of delegating effort to

XVIII

tools and machines, and furthermore, of synergy, teamwork, collaborative interactions and decision-making, outsourcing and resource sharing, and in general, networking. But only when efficient, reliable and scalable automation reached a certain level of maturity could it be designed into systems and infrastructures servicing effective supply and delivery networks, social networks, and multi-enterprise practices. In their vision, enterprises expect to simplify their automation utilities and minimize their burden and cost, while increasing the value and usability of all deployed functions and acquirable information by their timely conversion into relevant knowledge, goods, and practices. Streamlined knowledge, services and products would then be delivered through less effort, just when necessary and only to those clients or decision makers who truly need them. Whether we are in business and commerce or in service for society, the real purpose of automation is not merely better computing or better automation, but let us increase our competitive agility and service quality! This Springer Handbook achieves this purpose well. Throughout the 94 chapters, divided into ten main parts, with 125 tables, numerous equations, 1005 figures, and a vast number of references, with numerous guidelines, algorithms, and protocols, models, theories, techniques and practical principles and procedures, the 166 coauthors present proven knowledge, original analysis, best practices and authoritative expertise. Plenty of case studies, creative examples and unique illustrations, covering topics of automation from the basics and fundamentals to advanced techniques, cases and theories will serve the readers and benefit the students and researchers, engineers and managers, inventors, investors and developers. Special Thanks I wish to express my gratitude and thanks to our distinguished Advisory Board members, who are leading international authorities, scholars, experts, and pioneers of automation, and who have guided the development of this Springer Handbook and shared with me their wisdom and advice along the challenging editorial process; to our distinguished authors and our esteemed reviewers, who are also leading experts, researchers, practitioners and pioneers of automation. Sadly, my personal friends and colleagues Professor Kazuo Tanie, Professor Heinz Erbe, and Professor Walter Shaufelberger, who took active part in helping create this Springer Handbook, passed away before they could see it published. They left huge voids in

our community and in my heart, but their legacy will continue. All the chapters were reviewed thoroughly and anonymously by over 90 reviewers, and went through several critical reviews and revision cycles (each chapter was reviewed by at least five expert reviewers), to assure the accuracy, relevance, and high quality of the materials, which are presented in the Springer Handbook. The reviewers included: Kemal Altinkemer, Purdue University Panos J. Antsaklis, University of Notre Dame Hillel Bar-Gera, Ben-Gurion University, Israel Ruth Bars, Budapest University of Technology and Economics, Hungary Sigal Berman, Ben-Gurion University, Israel Mark Bishop, Goldsmiths University of London, UK Barrett Caldwell, Purdue University Daniel Castro-Lacouture, Georgia Institute of Technology Enrique Castro-Leon, Intel Corporation Xin W. Chen, Purdue University Gary J. Cheng, Purdue University George Chiu, Purdue University Meerant Chokshi, Purdue University Jae Woo Chung, Purdue University Jason Clark, Purdue University Rosalee Clawson, Purdue University Monica Cox, Purdue University Jose Cruz, Ohio State University Juan Manuel De Bedout, GE Power Conversion Systems Menahem Domb, Amdocs, Israel Vincent Duffy, Purdue University Yael Edan, Ben-Gurion University, Israel Aydan Erkmen, Middle East Technical University, Turky Florin Filip, Academia Romana and National Institute for R&D in Informatics, Romania Gary Gear, Embry-Riddle University Jackson He, Intel Corporation William Helling, Indiana University Steve Holland, GM R&D Manufacturing Systems Research Chin-Yin Huang, Tunghai University, Taiwan Samir Iqbal, University of Texas at Arlington Alberto Isidori, Universita Roma, Italy Nick Ivanescu, University Politehnica of Bucharest, Romania Wootae Jeong, Korea Railroad Research Institute Shawn Jordan, Purdue University

XIX

Stephen Kahne, Embry-Riddle University Dimitris Kiritsis, EFPL, Switzerland Hoo Sang Ko, Purdue University Renata Konrad, Purdue University Troy Kostek, Purdue University Nicholas Kottenstette, University of Notre Dame Diego Krapf, Colorado State University Steve Landry, Purdue University Marco Lara Gracia, University of Southern Indiana Jean-Claude Latombe, Stanford University Seokcheon Lee, Purdue University Mark Lehto, Purdue University Heejong Lim, LG Display, Korea Bakhtiar B. Litkouhi, GM R&D Center Yan Liu, Wright State University Joachim Meyer, Ben Gurion University, Israel Gaines E. Miles, Purdue University Daiki Min, Purdue University Jasmin Nof, University of Maryland Myounggyu D. Noh, Chungnam National University, Korea Nusa Nusawardhana, Cummins, Inc. Tal Oron-Gilad, Ben Gurion University, Israel Namkyu Park, Ohio University Jimena Pascual, Universidad Catolica de Valparaiso, Chile Anatol Pashkevich, Inst. Recherce en Communication et Cybernetique, Nantes, France Gordon Pennock, Purdue University Carlos Eduardo Pereira, Federal University of Rio Grande do Sul, Brazil Guillermo Pinochet, Kimberly Clark Co., Chile Arik Ragowsky, Wayne State University Jackie Rees, Purdue University Timothy I. Salsbury, Johnson Controls, Inc. Gavriel Salvendy, Tsinghua University, China Ivan G. Sears, GM Technical Center Ramesh Sharda, Oklahoma State University Mirosław J. Skibniewski, University of Maryland Eugene Spafford, Purdue University Jose M. Tanchoco, Purdue University Mileta Tomovic, Purdue University Jocelyn Troccaz, IMAG Institut d’Ingénierie de l’Information de Santé, France Jay Tu, North Carolina State University Juan Diego Velásquez, Purdue University Sandor M. Veres, University of Southampton, UK Matthew Verleger, Purdue University Francois Vernadat, Cour des Comptes Europeenne, Luxembourg Birgit Vogel-Heuser, University of Kassel, Germany

Edward Watson, Louisiana State University James W. Wells, GM R&D Manufacturing Systems Research Ching-Yi Wu, Purdue University Moshe Yerushalmy, MBE Simulations, Israel Yuehwern Yih, Purdue University Sang Won Yoon, Purdue University Yih-Choung Yu, Lafayette College Firas Zahr, Cleveland Clinic Foundation I wish to express my gratitude and appreciation also to my resourceful coauthors, colleagues and partners from IFAC, IFPR, IFIP, IIE, NSF, TRB, RIA, INFORMS, ACM, IEEE-ICRA, ASME, and PRISM Center at Purdue and PGRN, the PRISM Global Research Network, for all their support and cooperation leading to the successful creation of this Springer Handbook. Special thanks to my late parents, Dr. Jacob and Yafa Berglas Nowomiast, whose brilliance, deep appreciation to scholarship, and inspiration keep enriching me; to my wife Nava for her invaluable support and wise advice; to Moriah, Jasmin, Jonathan, Haim, Daniel, Andrew, Chin-Yin, Jose, Moshe, Ed, Ruth, Pornthep, Juan Ernesto, Richard, Wootae, Agostino, Daniela, Tibor, Esther, Pat, David, Yan, Gad, Guillermo, Cristian, Carlos, Fay, Marco, Venkat, Masayuki, Hans, Laszlo, Georgi, Arturo, Yael, Dov, Florin, Herve, Gerard, Gavriel, Lily, Ted, Isaac, Dan, Veronica, Rolf, Yukio, Steve, Mark, Colin, Namkyu, Wil, Aditya, Ken, Hannah, Anne, Fang, Jim, Tom, Frederique, Alexandre, Coral, Tetsuo, and Oren, and to Izzy Vardinon, for sharing with me their thoughts, smiles, ideas and their automation expertise. Deep thanks also to Juan Diego Velásquez, to Springer-Verlag’s Tom Ditzinger, Werner Skolaut and Heather King, and the le-tex team for their tremendous help and vision in completing this ambitious endeavor. The significant achievements of humans with automation, in improving our life quality, innovating and solving serious problems, and enriching our knowledge; inspiring people to enjoy automation and provoking us to learn how to invent even better and greater automation solutions; the wonders and magic, opportunities and challenges with emerging and future automation – are all enormous. Indeed, automation is an essential and wonderful part of human civilization. Shimon Yeshayahu Nof Nowomiast West Lafayette, Indiana May 2009

“This page left intentionally blank.”

XXI

Advisory Board

Hans-Jörg Bullinger Fraunhofer-Gesellschaft Munich, Germany [email protected]

Hans-Jörg Bullinger is Prof. Dr.-Ing. habil. Prof. e.h. mult. Dr. h. c. mult., President of the Fraunhofer-Gesellschaft, Corporate Management and Research. He obtained MSc and PhD in Manufacturing at University of Stuttgart and joined the Stuttgart Fraunhofer-Institute of Production Technology and Automation, and became a fulltime lecturer at the University of Stuttgart. He served there as Chairman of the University, Head of the Institute for Human Factors and Technology Management (IAT) and of Fraunhofer-Institute for Industrial Engineering (IAO). In 2002 he became the President of the Fraunhofer-Gesellschaft. Among his honors are the KienzleMedal, the Gold Ring-of-Honour from the German Society of Engineers (VDI), the Distinguished Foreign Colleague Award from the Human Factor Society, the Arthur Burckhardt Award; Honorary Doctorates (DHC) from the Universities of Novi Sad and Timisoara. He has also received the Cross of Order of Merit and the Officer’s Cross of Order of Merit of the Federal Republic of Germany, and the Great Cross of the Order of Merit from the Federal President of Germany. Dr. Bullinger is a member of the German Chancellor’s "Council on Innovation and Economic Growth".

Rick J. Echevarria Rick J. Echevarria is Vice President of the Sales and Marketing Group and General Manager of the Enterprise Solution Sales division at Intel Corporation. Before R Services, assuming his current position, Rick spent seven years leading IntelSolution Intel’s worldwide professional services organization. Earlier, he spent two years as Director of Product Marketing for Intel’s Communication Products Group and as Director of Internet Marketing for the Enterprise Server Group. Before joining Intel in 1994, Rick was a software developer for IBM Corporation in Austin, TX. Rick holds a BS degree in industrial engineering from Purdue University and an MS degree in computer systems management from Union College.

Intel Corporation Sales and Marketing Group Enterprise Solution Sales Santa Clara, CA, USA [email protected]

Yael Edan Ben-Gurion University of the Negev Department of Industrial Engineering and Management Beer Sheva, Israel [email protected]

Yael Edan is a Professor in the Department of Industrial Engineering and Management. She holds a BSc in Computer Engineering and MSc in Agricultural Engineering, both from the Technion-Israel Institute of Technology, and a PhD in Engineering from Purdue University. Her research is robotic and sensor performance analysis, systems engineering of robotic systems; sensor fusion, multi-robot and telerobotics control methodologies, and human-robot collaboration methods with major contributions in intelligent automation systems in agriculture.

Yukio Hasegawa Waseda University System Science Institute Tokyo, Japan [email protected]

Yukio Hasegawa is Professor Emeritus of the System Science Institute at Waseda University, Tokyo, Japan. He has been enjoying construction robotics research since 1983 as Director of Waseda Construction Robot Research Project (WASCOR) which has impacted automation in construction and in other fields of automation. He received the prestigious first Engelberger Award in 1977 from the American Robot ssociation for his distinguished pioneering work in robotics and in Robot Ergonomics since the infancy of Japanese robotics. Among his numerous international contributions to robotics and automation, Professor Hasegawa assisted, as a visiting professor, to build the Robotics Institute at EPFL (Ecole Polytechnic Federal de Lausanne) in Switzerland.

XXII

Advisory Board

Steven W. Holland General Motors R&D Electrical & Controls Integration Lab Warren, MI, USA [email protected]

Steve Holland is a Research Fellow at General Motors R&D, where he pioneered early applications of robotics, vision and computer-based manufacturing. Later, he led GM’s robotics development group and then the robotics and welding support operations for GM North American plants. He served as Director of GM’s global manufacturing systems research. He is a Fellow of IEEE and received the Joseph F. Engelberger Award for his contributions to robotics. Mr. Holland has a bachelor’s degree in Electrical Engineering from GMI and a Master in Computer Science from Stanford.

Clyde W. Holsapple University of Kentucky School of Management, Gatton College of Business and Economics Lexington, KY, USA [email protected]

Clyde Holsapple, Rosenthal Endowed Chair at the University of Kentucky, is Editorin-Chief of the Journal of Organizational Computing and Electronic Commerce. His books include Foundations of Decision Support Systems, Decision Support Systems – A Knowledge-based Approach, Handbook on Decision Support Systems, and Handbook on Knowledge Management. His research focuses on multiparticipant systems, decision support systems, and knowledge management.

Rolf Isermann Rolf Isermann served as Professor for Control Systems and Process Automation at the Institute of Automatic Control of Darmstadt University of Technology from 1977–2006. Since 2006 he has been Professor Emeritus and head of the Research Group for Control Systems and Process Automation at the same institution. He has published books on Modelling of Technical Processes, Process Identification, Digital Control Systems, Adaptive Control Systems, Mechatronic Systems, Fault Diagnosis Systems, Engine Control and Vehicle Drive Dynamics Control. His current research concentrates on fault-tolerant systems, control of combustion engines and automobiles and mechatronic systems. Rolf Isermann has held several chair positions in VDI/VDE and IFAC and organized several national and international conferences.

Technische Universität Darmstadt Institut für Automatisierungstechnik, Forschungsgruppe Regelungstechnik und Prozessautomatisierung Darmstadt, Germany [email protected]

Kazuyoshi Ishii Kanazawa Institute of Technology Social and Industrial Management Systems Hakusan City, Japan [email protected]

Kazuyoshi Ishii received his PhD in Industrial Engineering from Waseda University. Dr. Ishii is a board member of the IFPR, APIEMS and the Japan Society of QC, and a fellow of the ISPIM. He is on the Editorial Board of the International Journal of Production Economics, PPC and Intelligent Embedded Microsystems (IEMS). His interests include production management, product innovation management, and business models based on a comparative advantage.

Alberto Isidori Univeristy of Rome “La Sapienza” Department of Informatics and Sytematics Rome, Italy [email protected]

Alberto Isidori is Professor of Automatic Control at the University of Rome since1975 and, since 1989, also affiliated with Washington University in St. Louis. His research interests are primarily in analysis and design of nonlinear control systems. He is the author of the book Nonlinear Control Systems and is the recipient of various prestigious awards, which include the “Georgio Quazza Medal” from IFAC, the “Bode Lecture Award” from IEEE, and various best paper awards from leading journals. He is Fellow of IEEE and of IFAC. Currently he is President of IFAC.

Advisory Board

Stephen Kahne Stephen Kahne is Professor of Electrical Engineering at Embry-Riddle Aeronautical University in Prescott, Arizona where he was formerly Chancellor. Prior to coming to Embry-Riddle in 1995, he had been Chief Scientist at the MITRE Corporation. Dr. Kahne earned his BS degree from Cornell University and the MS and PhD degrees from the University of Illinois. Following a decade at the University of Minnesota, he was Professor at Case Western Reserve University, Professor and Dean of Engineering at Polytechnic Institute of New York, and Professor and President of the Oregon Graduate Center, Portland, Oregon. Dr. Kahne was a Division Director at the National Science Foundation in the early 1980s. He is a Fellow of the IEEE, AAAS, and IFAC. He was President of the IEEE Control Systems Society, a member of the IEEE Board of Directors of the IEEE in the 1980s, and President of IFAC in the 1990s.

Embry-Riddle University Prescott, AZ, USA [email protected]

Aditya P. Mathur Aditya Mathur received his PhD in 1977 from BITS, Pilani, India in Electrical Engineering. Until 1985 he was on the faculty at BITS where he spearheaded the formation of the first degree granting Computer Science department in India. In 1985 he moved briefly to Georgia Tech before joining Purdue University in 1987. Aditya is currently a Professor and Head in the Department of Computer Science where his research is primarily in the area of software engineering. He has made significant contributions in software testing and software process control and has authored three textbooks in the areas of programming, microprocessor architecture, and software testing.

Purdue University Department of Computer Science West Lafayette, IN, USA [email protected]

Hak-Kyung Sung Samsung Electronics Mechatronics & Manufacturing Technology Center Suwon, Korea [email protected]

Hak-Kyung Sung received the Master degree in Mechanical Engineering from Yonsei University in Korea and the PhD degree in Control Engineering from Tokyo Institute of Technology in Japan, in 1985 and 1992, respectively. He is currently the Vice President in the Mechtronics & Manufacturing Technology Center, Samsung Electronics. His interests are in production engineering technology, such as robotics, control, and automation.

Gavriel Salvendy Department of Industrial Engineering Beijing, P.R. China

Gavriel Salvendy is Chair Professor and Head of the Department of Industrial Engineering at Tshinghua University, Beijing, Peoples Republic of China and Professor emeritus of Industrial Engineering at Purdue University. His research deals with the human aspects of design and operation of advanced computing systems requiring interaction with humans. In this area he has over 450 scientific publications and numerous books, including the Handbook of Industrial Engineering and Handbook of Human Factors and Ergonomics. He is a member of the USA National Academy of Engineering and the recipient of the John Fritz Medal.

George Stephanopoulos Massachusetts Institute of Technology Cambridge, MA, USA [email protected]

George Stephanopoulos is the A.D. Little Professor of Chemical Engineering and Director of LISPE (Laboratory for Intelligent Systems in Process Engineering) at MIT. He has also taught at the University of Minnesota (1974–1983) and National Technical University of Athens, Greece (1980–1984). His research interests are in process operations monitoring, analysis, diagnosis, control, and optimization. Recently he has extended his research to multi-scale modeling and design of materials and nanoscale structures with desired geometries. He is a member of the National Academy of Engineering, USA.

XXIII

XXIV

Kazuo Tanie (Δ) Professor Kazuo Tanie (1946–2007), received BE, MS, Dr. eng. in Mechanical Engineering from Waseda University. In 1971, he joined the Mechanical Engineering Laboratory (AIST-MITI), was Director of the Robotics Department and of the Intelligent Systems Institute of the National Institute of Advanced Industrial Science and Technology, Ministry of Economy, Trade, and Industry, where he led a large humanoid robotics program. In addition, he held several academic positions in Japan, USA, and Italy. His research interests included tactile sensors, dexterous manipulation, force and compliance control for robotic arms and hands, virtual reality and telerobotics, human-robot coexisting systems, power assist systems and humanoids. Professor Tanie was active in IEEE Robotics and Automation Society, served as its president (2004–2005), and led several international conferences. One of the prominent pioneers of robotics in Japan, his leadership and skills led to major automation initiatives, including various walking robots, dexterous hands, seeing-eye robot (MEL Dog), rehabilitative and humanoid robotics, and network-based humanoid telerobotics.

Tokyo Metropolitan University Human Mechatronics System Course, Faculty of System Design Tokyo, Japan

Tibor Vámos Hungarian Academy of Sciences Computer and Automation Institute Budapest, Hungary [email protected]

Tibor Vámos graduated from the Budapest Technical University in 1949. Since 1986 he is Chairman of the Board, Computer and Automation Research Institute of the Hungarian Academy of Sciences, Budapest. He was President of IFAC 1981–1984 and is a Fellow of the IEEE, ECCAI, IFAC. Professor Vamos is Honorary President of the John v. Neumann Society and won the State Prize of Hungary in 1983, the Chorafas Prize in 1994, the Széchenyi Prize of Hungary in 2008 and was elected “The educational scientist of the year” in 2005. His main fields of interest cover large-scale systems in process control, robot vision, pattern recognition, knowledge-based systems, and epistemic problems. He is author and co-author of several books and about 160 papers.

François B. Vernadat Université Paul Verlaine Metz Laboratoire de Génie Industriel et Productique de Metz (LGIPM) Metz, France [email protected]

François Vernadat received the PhD in Electrical Engineering and Automatic Control from University of Clermont, France, in 1981. He has been a research officer at the National Research Council of Canada in the 1980s and at the Institut National de Recherche en Informatique et Automatique in France in the 1990s. He joined the University of Metz in 1995 as a full professor and founded the LGIPM research laboratory. His research interests include enterprise modeling, enterprise architectures, enterprise integration and interoperability. He is a member of IEEE and ACM and has been vice-chairman of several technical committees of IFAC. He has over 250 scientific papers in international journals and conferences.

Birgit Vogel-Heuser University of Kassel Faculty of Electrical Engineering/ Computer Science, Department Chair of Embedded Systems Kassel, Germany [email protected]

Birgit Vogel-Heuser graduated in Electrical Engineering and obtained her PhD in Mechanical Engineering from the RWTH Aachen in 1991. She worked nearly ten years in industrial automation for machine and plant manufacturing industry. After holding the Chair of Automation at the University of Hagen and the Chair of Automation/Process Control Engineering she is now head of the Chair of Embedded Systems at the University of Kassel. Her research work is focussed on improvement of efficiency in automation engineering for hybrid process and heterogeneous distributed embedded systems.

Advisory Board

Andrew B. Whinston The University of Texas at Austin McCombs School of Business, Center for Research in Electronic Commerce Austin, TX, USA [email protected]

Andrew Whinston is Hugh Cullen Chair Professor in the IROM department at the McCombs School of Business at the University of Texas at Austin. He is also the Director at the Center for Research in Electronic Commerce. His recent papers have appeared in Information Systems Research, Marketing Science, Management Science and the Journal of Economic Theory. In total he has published over 300 papers in the major economic and management journals and has authored 27 books. In 2005 he received the Leo Award from the Association for Information Systems for his long term research contribution to the information system field.

XXV

“This page left intentionally blank.”

XXVII

List of Authors Nicoletta Adamo-Villani Purdue University Computer Graphics Technology 401 N. Grant Street West Lafayette, IN 47907, USA e-mail: [email protected] Panos J. Antsaklis University of Notre Dame Department of Electrical Engineering 205A Cushing Notre Dame, IN 46556, USA e-mail: [email protected] Cecilia R. Aragon Lawrence Berkeley National Laboratory Computational Research Division One Cyclotron Road, MS 50B-2239 Berkeley, CA 94720, USA e-mail: [email protected] Neda Bagheri Massachusetts Institute of Technology (MIT) Department of Biological Engineering 77 Massachusetts Ave. 16–463 Cambridge, MA 02139, USA e-mail: [email protected] Greg Baiden Laurentian University School of Engineering Sudbury, ON P3E 2C6, Canada e-mail: [email protected] Parasuram Balasubramanian Theme Work Analytics Pvt. Ltd. Gurukrupa, 508, 47th Cross, Jayanagar Bangalore, 560041, India e-mail: [email protected] P. Pat Banerjee University of Illinois Department of Mechanical and Industrial Engineering 3029 Eng. Research Facility, 842 W. Taylor Chicago, IL 60607-7022, USA e-mail: [email protected]

Ruth Bars Budapest University of Technology and Economics Department of Automation and Applied Informatics Goldmann Gy. tér 3 1111 Budapest, Hungary e-mail: [email protected] Luis Basañez Technical University of Catalonia (UPC) Institute of Industrial and Control Engineering (IOC) Av. Diagonal 647 planta 11 Barcelona 08028, Spain e-mail: [email protected] Rashid Bashir University of Illinois at Urbana-Champaign Department of Electrical and Computer Engineering and Bioengineering 208 North Wright Street Urbana, IL 61801, USA e-mail: [email protected] Wilhelm Bauer Fraunhofer-Institute for Industrial Engineering IAO Corporate Development and Work Design Nobelstr. 12 70566 Stuttgart, Germany e-mail: [email protected] Gary R. Bertoline Purdue University Computer Graphics Technology 401 N. Grant St. West Lafayette, IN 47907, USA e-mail: [email protected] Christopher Bissell The Open University Department of Communication and Systems Walton Hall Milton Keynes, MK7 6AA, UK e-mail: [email protected]

XXVIII

List of Authors

Richard Bossi The Boeing Company PO Box 363 Renton, WA 98057, USA e-mail: [email protected] Martin Braun Fraunhofer-Institute for Industrial Engineering IAO Human Factors Engineering Nobelstraße 12 70566 Stuttgart, Germany e-mail: [email protected] Sylvain Bruni Aptima, Inc. 12 Gill St, Suite #1400 Woburn, MA 01801, USA e-mail: [email protected] James Buttrick The Boeing Company BCA – Materials & Process Technology PO Box #3707 Seattle, WA 98124, USA e-mail: [email protected]

Ángel R. Castaño Universidad de Sevilla Departamento de Ingeniería de Sistemas y Automática Camino de los Descubrimientos Sevilla 41092, Spain e-mail: [email protected] Daniel Castro-Lacouture Georgia Institute of Technology Department of Building Construction 280 Ferst Drive Atlanta, GA 30332-0680, USA e-mail: [email protected] Enrique Castro-Leon JF5-103, Intel Corporation 2111 NE 25th Avenue Hillsboro, OR 97024, USA e-mail: [email protected] José A. Ceroni Pontifica Universidad Católica de Valparaíso School of Industrial Engineering 2241 Brazil Avenue Valparaiso, Chile e-mail: [email protected]

Darwin G. Caldwell Istituto Italiano Di Tecnologia Department of Advanced Robotics Via Morego 30 16163 Genova, Italy e-mail: [email protected]

Deming Chen University of Illinois, Urbana-Champaign Electrical and Computer Engineering (ECE) 1308 W Main St. Urbana, IL 61801, USA e-mail: [email protected]

Brian Carlisle Precise Automation 5665 Oak Knoll Lane Auburn, CA 95602, USA e-mail: [email protected]

Heping Chen ABB Inc. US Corporate Research Center 2000 Day Hill Road Windsor, CT 06095, USA e-mail: [email protected]

Dan L. Carnahan Rockwell Automation Department of Advanced Technology 1 Allen Bradley Drive Mayfield Heights, OH 44124, USA e-mail: [email protected]

Xin W. Chen Purdue University PRISM Center and School of Industrial Engineering 315 N. Grant Street West Lafayette, IN 47907, USA e-mail: [email protected]

List of Authors

Benny C.F. Cheung The Hong Kong Polytechnic University Department of Industrial and Systems Engineering Hung Hom Kowloon, Hong Kong e-mail: [email protected] Jaewoo Chung Kyungpook National University School of Business Administration 1370 Sankyuk-dong Buk-gu Daegu, 702-701, South Korea e-mail: [email protected] Rodrigo J. Cruz Di Palma Kimberly Clark, Latin American Operations San Juan, 00919-1859, Puerto Rico e-mail: [email protected] Mary L. Cummings Massachusetts Institute of Technology Department of Aeronautics and Astronautics 77 Massachusetts Ave. Cambridge, MA 02139, USA e-mail: [email protected] Christian Dannegger Kandelweg 14 78628 Rottweil, Germany e-mail: [email protected] Steve Davis Istituto Italiano Di Tecnologia Department of Advanced Robotics Via Morego 30 16163 Genova, Italy e-mail: [email protected] Xavier Delorme Ecole Nationale Supérieure des Mines de Saint-Etienne Centre Genie Industriel et Informatique (G2I) 158 cours Fauriel 42023 Saint-Etienne, France e-mail: [email protected]

Alexandre Dolgui Ecole Nationale Supérieure des Mines de Saint-Etienne Department of Industrial Engineering and Computer Science 158, cours Fauriel 42023 Saint-Etienne, France e-mail: [email protected] Alkan Donmez National Institute of Standards and Technology Manufacturing Engineering Laboratory 100 Bureau Drive Gaithersburg, MD 20899, USA e-mail: [email protected] Francis J. Doyle III University of California Department of Chemical Engineering Santa Barbara, CA 93106-5080, USA e-mail: [email protected] Yael Edan Ben-Gurion University of the Negev Department of Industrial Engineering and Management Beer Sheva 84105, Israel e-mail: [email protected] Thomas F. Edgar University of Texas Department of Chemical Engineering 1 University Station Austin, TX 78712, USA e-mail: [email protected] Norbert Elkmann Fraunhofer IFF Department of Robotic Systems Sandtorstr. 22 39106 Magdeburg, Germany e-mail: [email protected] Heinz-Hermann Erbe (Δ) Technische Universität Berlin Center for Human–Machine Systems Franklinstrasse 28/29 10587 Berlin, Germany

XXIX

XXX

List of Authors

Mohamed Essafi Ecole des Mines de Saint-Etienne Department Centre for Industrial Engineering and Computer Science Cours Fauriel Saint-Etienne, France e-mail: [email protected] Florin-Gheorghe Filip The Romanian Academy Calea Victoriei 125 Bucharest, 010071, Romania e-mail: [email protected] Markus Fritzsche Fraunhofer IFF Department of Robotic Systems Sandtorstr. 22 39106 Magdeburg, Germany e-mail: [email protected] Susumu Fujii Sophia University Graduate School of Science and Technology 7-1, Kioicho, Chiyoda 102-8554 Tokyo, Japan e-mail: [email protected] Christopher Ganz ABB Corporate Research Segelhofstr. 1 5405 Baden, Switzerland e-mail: [email protected] Mitsuo Gen Waseda University Graduate School of Information, Production and Systems 2-7 Hibikino, Wakamatsu-ku 808-0135 Kitakyushu, Japan e-mail: [email protected] Birgit Graf Fraunhofer IPA Department of Robot Systems Nobelstr. 12 70569 Stuttgart, Germany e-mail: [email protected]

John O. Gray Istituto Italiano Di Tecnologia Department of Advanced Robotics Via Morego 30 16163 Genova, Italy e-mail: [email protected] Rudiyanto Gunawan National University of Singapore Department of Chemical and Biomolecular Engineering 4 Engineering Drive 4 Blk E5 #02-16 Singapore, 117576 e-mail: [email protected] Juergen Hahn Texas A&M University Artie McFerrin Dept. of Chemical Engineering College Station, TX 77843-3122, USA e-mail: [email protected] Kenwood H. Hall Rockwell Automation Department of Advanced Technology 1 Allen Bradley Drive Mayfield Heights, OH 44124, USA e-mail: [email protected] Shufeng Han John Deere Intelligent Vehicle Systems 4140 114th Street Urbandale, IA 50322, USA e-mail: [email protected] Nathan Hartman Purdue University Computer Graphics Technology 401 North Grant St. West Lafayette, IN 47906, USA e-mail: [email protected] Yukio Hasegawa Waseda University System Science Institute Tokyo, Japan e-mail: [email protected]

List of Authors

Jackson He Intel Corporation Digital Enterprise Group 2111 NE 25th Ave Hillsboro, OR 97124, USA e-mail: [email protected] ˝ Hetthéssy Jeno Budapest University of Technology and Economics Department of Automation and Applied Informatics Goldmann Gy. Tér 3 1111 Budapest, Hungary e-mail: [email protected]

Karyn Holmes Chevron Corp. 100 Northpark Blvd. Covington, LA 70433, USA e-mail: [email protected]

Chin-Yin Huang Tunghai University Industrial Engineering and Enterprise Information Taichung, 407, Taiwan e-mail: [email protected] Yoshiharu Inaba Fanuc Ltd. Oshino-mura 401-0597 Yamanashi, Japan e-mail: [email protected] Samir M. Iqbal University of Texas at Arlington Department of Electrical Engineering 500 S. Cooper St. Arlington, TX 76019, USA e-mail: [email protected]

Clyde W. Holsapple University of Kentucky School of Management, Gatton College of Business and Economics Lexington, KY 40506-0034, USA e-mail: [email protected]

Rolf Isermann Technische Universität Darmstadt Institut für Automatisierungstechnik, Forschungsgruppe Regelungstechnik und Prozessautomatisierung Landgraf-Georg-Str. 4 64283 Darmstadt, Germany e-mail: [email protected]

Petr Horacek Czech Technical University in Prague Faculty of Electrical Engineering Technicka 2 Prague, 16627, Czech Republic e-mail: [email protected]

Kazuyoshi Ishii Kanazawa Institute of Technology Social and Industrial Management Systems Yatsukaho 3-1 Hakusan City, Japan e-mail: [email protected]

William J. Horrey Liberty Mutual Research Institute for Safety Center for Behavioral Sciences 71 Frankland Road Hopkinton, MA 01748, USA e-mail: [email protected]

Alberto Isidori University of Rome “La Sapienza” Department of Informatics and Sytematics Via Ariosto 25 00185 Rome, Italy e-mail: [email protected]

Justus Hortig Fraunhofer IFF Department of Robotic Systems Sandtorstr. 22 39106 Magdeburg, Germany e-mail: [email protected]

Nick A. Ivanescu University Politechnica of Bucharest Control and Computers Spl. Independentei 313 Bucharest, 060032, Romania e-mail: [email protected]

XXXI

XXXII

List of Authors

Sirkka-Liisa Jämsä-Jounela Helsinki University of Technology Department of Biotechnology and Chemical Technology Espoo 02150, Finland e-mail: [email protected] Bijay K. Jayaswal Agilenty Consulting Group 3541 43rd Ave. S Minneapolis, MN 55406, USA e-mail: [email protected] Wootae Jeong Korea Railroad Research Institute 360-1 Woram-dong Uiwang 437-757, Korea e-mail: [email protected] Timothy L. Johnson General Electric Global Research 786 Avon Crest Blvd. Niskayuna, NY 12309, USA e-mail: [email protected] Hemant Joshi Research, Acxiom Corp. CWY2002-7 301 E. Dave Ward Drive Conway, AR 72032-7114, USA e-mail: [email protected] Michael Kaplan Ex Libris Ltd. 313 Washington Street Newton, MA 02458, USA e-mail: [email protected] Dimitris Kiritsis Department STI-IGM-LICP EPFL, ME A1 396, Station 9 1015 Lausanne, Switzerland e-mail: [email protected] Hoo Sang Ko Purdue University PRISM Center and School of Industrial Engineering 315 N Grant St. West Lafayette, IN 47907, USA e-mail: [email protected]

Naoshi Kondo Kyoto University Division of Environmental Science and Technology, Graduate School of Agriculture Kitashirakawa-Oiwakecho 606-8502 Kyoto, Japan e-mail: [email protected] Peter Kopacek Vienna University of Technology Intelligent Handling and Robotics – IHRT Favoritenstrasse 9-11/E 325 1040 Vienna, Austria e-mail: [email protected] Nicholas Kottenstette Vanderbilt University Institute for Software Integrated Systems PO Box 1829 Nashville, TN 37203, USA e-mail: [email protected] Eric Kwei University of California, Santa Barbara Department of Chemical Engineering Santa Barbara, CA 93106, USA e-mail: [email protected] Siu K. Kwok The Hong Kong Polytechnic University Industrial and Systems Engineering Yuk Choi Road Kowloon, Hong Kong e-mail: [email protected] King Wai Chiu Lai Michigan State University Electrical and Computer Engineering 2120 Engineering Building East Lansing, MI 48824, USA e-mail: [email protected] Dean F. Lamiano The MITRE Corporation Department of Communications and Information Systems 7515 Colshire Drive McLean, VA 22102, USA e-mail: [email protected]

List of Authors

Steven J. Landry Purdue University School of Industrial Engineering 315 N. Grant St. West Lafayette, IN 47906, USA e-mail: [email protected]

Jianming Lian Purdue University School of Electrical and Computer Engineering 465 Northwestern Avenue West Lafayette, IN 47907-2035, USA e-mail: [email protected]

John D. Lee University of Iowa Department Mechanical and Industrial Engineering, Human Factors Research National Advanced Driving Simulator Iowa City, IA 52242, USA e-mail: [email protected]

Lin Lin Waseda University Information, Production & Systems Research Center 2-7 Hibikino, Wakamatsu-ku 808-0135 Kitakyushu, Japan e-mail: [email protected]

Tae-Eog Lee KAIST Department of Industrial and Systems Engineering 373-1 Guseong-dong, Yuseong-gu Daejeon 305-701, Korea e-mail: [email protected]

Laurent Linxe Peugeot SA Hagondang, France e-mail: [email protected]

Wing B. Lee The Hong Kong Polytechnic University Industrial and Systems Engineering Yuk Choi Road Kowloon, Hong Kong e-mail: [email protected] Mark R. Lehto Purdue University School of Industrial Engineering 315 North Grant Street West Lafayette, IN 47907-2023, USA e-mail: [email protected]

T. Joseph Lui Whirlpool Corporation Global Product Organization 750 Monte Road Benton Harbor, MI 49022, USA e-mail: [email protected] Wolfgang Mann Profactor Research and Solutions GmbH Process Design and Automation, Forschungszentrum 2444 Seibersdorf, Austria e-mail: [email protected]

Kauko Leiviskä University of Oulu Control Engineering Laboratory Oulun Yliopisto 90014, Finland e-mail: [email protected]

Sebastian V. Massimini The MITRE Corporation 7515 Colshire Drive McLean, VA 22101, USA e-mail: [email protected]

Mary F. Lesch Liberty Mutual Research Institute for Safety Center for Behavioral Sciences 71 Frankland Road Hopkinton, MA 01748, USA e-mail: [email protected]

Francisco P. Maturana University/Company Rockwell Automation Department Advanced Technology 1 Allen Bradley Drive Mayfield Heights, OH 44124, USA e-mail: [email protected]

XXXIII

XXXIV

List of Authors

Henry Mirsky University of California, Santa Barbara Department of Chemical Engineering Santa Barbara, CA 93106, USA e-mail: [email protected]

Peter Neumann Institut für Automation und Kommunikation Werner-Heisenberg-Straße 1 39106 Magdeburg, Germany e-mail: [email protected]

Sudip Misra Indian Institute of Technology School of Information Technology Kharagpur, 721302, India e-mail: [email protected]

Shimon Y. Nof Purdue University PRISM Center and School of Industrial Engineering West Lafayette, IN 47907, USA e-mail: [email protected]

Satish C. Mohleji Center for Advanced Aviation System Development (CAASD) The MITRE Corporation 7515 Colshire Drive McLean, VA 22102-7508, USA McLean, VA 22102-7508, USA e-mail: [email protected] Gérard Morel Centre de Recherche en Automatique Nancy (CRAN) 54506 Vandoeuvre, France e-mail: [email protected] René J. Moreno Masey University of Sheffield Automatic Control and Systems Engineering Mappin Street Sheffield, S1 3JD, UK e-mail: [email protected] Clayton Munk Boeing Commercial Airplanes Material & Process Technology Seattle, WA 98124-3307, USA e-mail: [email protected] Yuko J. Nakanishi Nakanishi Research and Consulting, LLC 93-40 Queens Blvd. 6A, Rego Park New York, NY 11374, USA e-mail: [email protected] Dana S. Nau University of Maryland Department of Computer Science A.V. Williams Bldg. College Park, MD 20742, USA e-mail: [email protected]

Anibal Ollero Universidad de Sevilla Departamento de Ingeniería de Sistemas y Automática Camino de los Descubrimientos Sevilla 41092, Spain e-mail: [email protected] John Oommen Carleton University School of Computer Science 1125 Colonel Bye Drive Ottawa, K1S5B6, Canada e-mail: [email protected] Robert S. Parker University of Pittsburgh Department of Chemical and Petroleum Engineering 1249 Benedum Hall Pittsburgh, PA 15261, USA e-mail: [email protected] Alessandro Pasetti P&P Software GmbH High Tech Center 1 8274 Tägerwilen, Switzerland e-mail: [email protected] Anatol Pashkevich Ecole des Mines de Nantes Department of Automatic Control and Production Systems 4 rue Alfred-Kastler 44307 Nantes, France e-mail: [email protected]

List of Authors

Bozenna Pasik-Duncan University of Kansas Department of Mathematics 1460 Jayhawk Boulevard Lawrence, KS 66045, USA e-mail: [email protected] Peter C. Patton Oklahoma Christian University School of Engineering PO Box 11000 Oklahoma City, OK 73136, USA e-mail: [email protected] Richard D. Patton Lawson Software 380 Saint Peter St. St. Paul, MN 55102-1313, USA e-mail: [email protected] Carlos E. Pereira Federal University of Rio Grande do Sul (UFRGS) Department Electrical Engineering Av Osvaldo Aranha 103 Porto Alegre RS, 90035 190, Brazil e-mail: [email protected]

Daniel J. Power University of Northern Iowa College of Business Administration Cedar Falls, IA 50614-0125, USA e-mail: [email protected] Damien Poyard PCI/SCEMM 42030 Saint-Etienne, France e-mail: [email protected] Srinivasan Ramaswamy University of Arkansas at Little Rock Department of Computer Science 2801 South University Ave Little Rock, AR 72204, USA e-mail: [email protected] Piercarlo Ravazzi Politecnico di Torino Department Manufacturing Systems and Economics C.so Duca degli Abruzzi 24 10129 Torino, Italy e-mail: [email protected]

Jean-François Pétin Centre de Recherche en Automatique de Nancy (CRAN) 54506 Vandoeuvre, France e-mail: [email protected]

Daniel W. Repperger Wright Patterson Air Force Base Air Force Research Laboratory 711 Human Performance Wing Dayton, OH 45433-7022, USA e-mail: [email protected]

Chandler A. Phillips Wright State University Department of Biomedical, Industrial and Human Factors Engineering 3640 Colonel Glen Highway Dayton, OH 45435-0001, USA e-mail: [email protected]

William Richmond Western Carolina University Accounting, Finance, Information Systems and Economics Cullowhee, NC 28723, USA e-mail: [email protected]

Friedrich Pinnekamp ABB Asea Brown Boveri Ltd. Corporate Strategy Affolternstrasse 44 8050 Zurich, Switzerland e-mail: [email protected]

Dieter Rombach University of Kaiserslautern Department of Computer Science, Fraunhofer Institute for Experimental Software Engineering 67663 Kaiserslautern, Germany e-mail: [email protected]

XXXV

XXXVI

List of Authors

Shinsuke Sakakibara Fanuc Ltd. Oshino-mura 401-0597 Yamanashi, Japan e-mail: [email protected]

Bobbie D. Seppelt The University of Iowa Mechanical and Industrial Engineering 3131 Seamans Centre Iowa City, IA 52242, USA e-mail: [email protected]

Timothy I. Salsbury Johnson Controls, Inc. Building Efficiency Research Group 507 E Michigan Street Milwaukee, WI 53202, USA e-mail: [email protected]

Ramesh Sharda Oklahoma State University Spears School of Business Stillwater, OK 74078, USA e-mail: [email protected]

Branko Sarh The Boeing Company – Phantom Works 5301 Bolsa Avenue Huntington Beach, CA 92647, USA e-mail: [email protected]

Keiichi Shirase Kobe University Department of Mechanical Engineering 1-1, Rokko-dai, Nada 657-8501 Kobe, Japan e-mail: [email protected]

Sharath Sasidharan Marshall University Department of Management and Marketing One John Marshall Drive Huntington, WV 25755, USA e-mail: [email protected]

Jason E. Shoemaker University of California Department of Chemical Engineering Santa Barbara, CA 93106-5080, USA e-mail: [email protected]

Brandon Savage GE Healthcare IT Pollards Wood, Nightingales Lane Chalfont St Giles, HP8 4SP, UK e-mail: [email protected]

Moshe Shoham Technion – Israel Institute of Technology Department of Mechanical Engineering Technion City Haifa 32000, Israel e-mail: [email protected]

Manuel Scavarda Basaldúa Kimberly Clark Avda. del Libertador St. 498 Capital Federal (C1001ABR) Buenos Aires, Argentina e-mail: [email protected]

Marwan A. Simaan University of Central Florida School of Electrical Engineering and Computer Science Orlando, FL 32816, USA e-mail: [email protected]

Walter Schaufelberger (Δ) ETH Zurich Institute of Automatic Control Physikstrasse 3 8092 Zurich, Switzerland

Johannes A. Soons National Institute of Standards and Technology Manufacturing Engineering Laboratory 100 Bureau Drive Gaithersburg, MD 20899-8223, USA e-mail: [email protected]

List of Authors

Dieter Spath Fraunhofer-Institute for Industrial Engineering IAO Nobelstraße 12 70566 Stuttgart, Germany e-mail: [email protected] Harald Staab ABB AG, Corporate Research Center Germany Robotics and Manufacturing Wallstadter Str. 59 68526 Ladenburg, Germany e-mail: [email protected] Petra Steffens Fraunhofer Institute for Experimental Software Engineering Department Business Area e-Government Fraunhofer-Platz 1 67663 Kaiserslautern, Germany e-mail: [email protected] Jörg Stelling ETH Zurich Department of Biosystems Science and Engineering Mattenstr. 26 4058 Basel, Switzerland e-mail: [email protected] Raúl Suárez Technical University of Catalonia (UPC) Institute of Industrial and Control Engineering (IOC) Av. Diagonal 647 planta 11 Barcelona 08028, Spain e-mail: [email protected] Kinnya Tamaki Aoyama Gakuin University School of Business Administration Shibuya 4-4-25, Shibuya-ku 153-8366 Tokyo, Japan e-mail: [email protected] Jose M.A. Tanchoco Purdue University School of Industrial Engineering 315 North Grant Street West Lafayette, IN 47907-2023, USA e-mail: [email protected]

Stephanie R. Taylor Department of Computer Science Colby College, 5855 Mayflower Hill Dr. Waterville, ME 04901, USA e-mail: [email protected] Peter Terwiesch ABB Ltd. 8050 Zurich, Switzerland e-mail: [email protected] Jocelyne Troccaz CNRS – Grenoble University Computer Aided Medical Intervention – TIMC laboratory, IN3S – School of Medicine – Domaine de la Merci 38700 La Tronche, France e-mail: [email protected] Edward Tunstel Johns Hopkins University Applied Physics Laboratory, Space Department 11100 Johns Hopkins Road Laurel, MD 20723, USA e-mail: [email protected] Tibor Vámos Hungarian Academy of Sciences Computer and Automation Institute 11 Lagymanyosi 1111 Budapest, Hungary e-mail: [email protected] István Vajk Budapest University of Technology and Economics Department of Automation and Applied Informatics 1521 Budapest, Hungary e-mail: [email protected] Gyula Vastag Corvinus University of Budapest Institute of Information Technology, Department of Computer Science 13-15 Fõvám tér (Sóház) 1093 Budapest, Hungary e-mail: [email protected]

XXXVII

XXXVIII

List of Authors

Juan D. Velásquez Purdue University PRISM Center and School of Industrial Engineering 315 N. Grant Street West Lafayette, IN 47907, USA e-mail: [email protected]

Theodore J. Williams Purdue University College of Engineering West Lafayette, IN 47907, USA e-mail: [email protected]

Matthew Verleger Purdue University Engineering Education 701 West Stadium Avenue West Lafayette, IN 47907-2045, USA e-mail: [email protected]

Alon Wolf Technion Israel Institute of Technology Faculty of Mechanical Engineering Haifa 32000, Israel e-mail: [email protected]

François B. Vernadat Université Paul Verlaine Metz Laboratoire de Génie Industriel et Productique de Metz (LGIPM) Metz, France e-mail: [email protected]

Ning Xi Michigan State University Electrical and Computer Engineering 2120 Engineering Building East Lansing, MI 48824, USA e-mail: [email protected]

Agostino Villa Politecnico di Torino Department Manufacturing Systems and Economics C. so Duca degli Abruzzi, 24 10129 Torino, Italy e-mail: [email protected]

Moshe Yerushalmy MBE Simulations Ltd. 10, Hamefalsim St. Petach Tikva 49002, Israel e-mail: [email protected]

Birgit Vogel-Heuser University of Kassel Faculty of Electrical Engineering/Computer Science, Department Chair of Embedded Systems Wilhelmshöher Allee 73 34121 Kassel, Germany e-mail: [email protected]

Sang Won Yoon Purdue University PRISM Center and School of Industrial Engineering 315 N. Grant Street West Lafayette, IN 47907-2023, USA e-mail: [email protected]

Edward F. Watson Louisiana State University Information Systems and Decision Sciences 3183 Patrick F. Taylor Hall Baton Rouge, LA 70803, USA e-mail: [email protected]

˙ Stanislaw H. Zak Purdue University School of Electrical and Computer Engineering 465 Northwestern Avenue West Lafayette, IN 47907-2035, USA e-mail: [email protected]

XXXIX

Contents

List of Abbreviations .................................................................................

LXI

Part A Development and Impacts of Automation 1 Advances in Robotics and Automation: Historical Perspectives Yukio Hasegawa ...................................................................................... References ..............................................................................................

3 4

2 Advances in Industrial Automation: Historical Perspectives Theodore J. Williams ................................................................................ References ..............................................................................................

5 11

3 Automation: What It Means to Us Around the World Shimon Y. Nof .......................................................................................... 3.1 The Meaning of Automation........................................................... 3.2 Brief History of Automation ........................................................... 3.3 Automation Cases .......................................................................... 3.4 Flexibility, Degrees, and Levels of Automation ................................ 3.5 Worldwide Surveys: What Does Automation Mean to People? .......... 3.6 Emerging Trends ........................................................................... 3.7 Conclusion .................................................................................... 3.8 Further Reading ............................................................................ References ..............................................................................................

13 14 26 28 39 43 47 51 51 52

4 A History of Automatic Control Christopher Bissell ................................................................................... 4.1 Antiquity and the Early Modern Period ........................................... 4.2 Stability Analysis in the 19th Century .............................................. 4.3 Ship, Aircraft and Industrial Control Before WWII ............................ 4.4 Electronics, Feedback and Mathematical Analysis ........................... 4.5 WWII and Classical Control: Infrastructure....................................... 4.6 WWII and Classical Control: Theory ................................................. 4.7 The Emergence of Modern Control Theory ....................................... 4.8 The Digital Computer ..................................................................... 4.9 The Socio-Technological Context Since 1945 .................................... 4.10 Conclusion and Emerging Trends .................................................... 4.11 Further Reading ............................................................................ References ..............................................................................................

53 53 56 57 59 60 62 63 64 65 66 67 67

XL

Contents

5 Social, Organizational, and Individual Impacts of Automation Tibor Vámos ............................................................................................ 5.1 Scope of Discussion: Long and Short Range of Man–Machine Systems ............................. 5.2 Short History ................................................................................. 5.3 Channels of Human Impact ............................................................ 5.4 Change in Human Values ............................................................... 5.5 Social Stratification, Increased Gaps ............................................... 5.6 Production, Economy Structures, and Adaptation............................ 5.7 Education ..................................................................................... 5.8 Cultural Aspects ............................................................................. 5.9 Legal Aspects, Ethics, Standards, and Patents ................................. 5.10 Different Media and Applications of Information Automation .......... 5.11 Social Philosophy and Globalization ............................................... 5.12 Further Reading ............................................................................ References .............................................................................................. 6 Economic Aspects of Automation Piercarlo Ravazzi, Agostino Villa ............................................................... 6.1 Basic Concepts in Evaluating Automation Effects ............................. 6.2 The Evaluation Model .................................................................... 6.3 Effects of Automation in the Enterprise .......................................... 6.4 Mid-Term Effects of Automation..................................................... 6.5 Final Comments ............................................................................ 6.6 Capital/Labor and Capital/Product Ratios in the Most Important Italian Industrial Sectors .............................. References ..............................................................................................

71 72 74 75 76 78 81 86 88 88 90 91 91 92

93 96 97 98 102 111 113 115

7 Impacts of Automation on Precision Alkan Donmez, Johannes A. Soons ............................................................ 7.1 What Is Precision? ......................................................................... 7.2 Precision as an Enabler of Automation ........................................... 7.3 Automation as an Enabler of Precision ........................................... 7.4 Cost and Benefits of Precision ........................................................ 7.5 Measures of Precision .................................................................... 7.6 Factors That Affect Precision........................................................... 7.7 Specific Examples and Applications in Discrete Part Manufacturing .. 7.8 Conclusions and Future Trends ....................................................... References ..............................................................................................

117 117 118 119 119 120 120 121 124 125

8 Trends in Automation Peter Terwiesch, Christopher Ganz ............................................................ 8.1 Environment ................................................................................. 8.2 Current Trends............................................................................... 8.3 Outlook......................................................................................... 8.4 Summary ...................................................................................... References ..............................................................................................

127 128 130 140 142 142

Contents

Part B Automation Theory and Scientific Foundations 9 Control Theory for Automation: Fundamentals Alberto Isidori .......................................................................................... 9.1 Autonomous Dynamical Systems .................................................... 9.2 Stability and Related Concepts ....................................................... 9.3 Asymptotic Behavior ...................................................................... 9.4 Dynamical Systems with Inputs ...................................................... 9.5 Feedback Stabilization of Linear Systems ........................................ 9.6 Feedback Stabilization of Nonlinear Systems .................................. 9.7 Tracking and Regulation ................................................................ 9.8 Conclusion .................................................................................... References ..............................................................................................

147 148 150 153 154 160 163 169 172 172

10 Control Theory for Automation – Advanced Techniques István Vajk, Jeno˝ Hetthéssy, Ruth Bars ...................................................... 10.1 MIMO Feedback Systems ................................................................ 10.2 All Stabilizing Controllers ............................................................... 10.3 Control Performances .................................................................... 10.4 H2 Optimal Control......................................................................... 10.5 H∞ Optimal Control ....................................................................... 10.6 Robust Stability and Performance .................................................. 10.7 General Optimal Control Theory ...................................................... 10.8 Model-Based Predictive Control ..................................................... 10.9 Control of Nonlinear Systems ......................................................... 10.10 Summary ...................................................................................... References ..............................................................................................

173 173 176 181 183 185 186 189 191 193 196 197

11 Control of Uncertain Systems ˙ .............................................................. Jianming Lian, Stanislaw H. Zak 11.1 Background and Overview ............................................................. 11.2 Plant Model and Notation.............................................................. 11.3 Variable-Structure Neural Component ............................................ 11.4 State Feedback Controller Development .......................................... 11.5 Output Feedback Controller Construction ........................................ 11.6 Examples ...................................................................................... 11.7 Summary ...................................................................................... References ..............................................................................................

199 200 203 203 209 211 213 216 217

12 Cybernetics and Learning Automata John Oommen, Sudip Misra ...................................................................... 12.1 Basics ........................................................................................... 12.2 A Learning Automaton ................................................................... 12.3 Environment ................................................................................. 12.4 Classification of Learning Automata................................................ 12.5 Estimator Algorithms ..................................................................... 12.6 Experiments and Application Examples ..........................................

221 221 223 223 224 228 232

XLI

XLII

Contents

12.7 Emerging Trends and Open Challenges ........................................... 12.8 Conclusions ................................................................................... References ..............................................................................................

233 234 234

13 Communication in Automation, Including Networking

and Wireless Nicholas Kottenstette, Panos J. Antsaklis ................................................... 13.1 Basic Considerations ...................................................................... 13.2 Digital Communication Fundamentals ............................................ 13.3 Networked Systems Communication Limitations ............................. 13.4 Networked Control Systems ............................................................ 13.5 Discussion and Future Research Directions...................................... 13.6 Conclusions ................................................................................... 13.7 Appendix ...................................................................................... References ..............................................................................................

237 237 238 241 242 245 246 246 247

14 Artificial Intelligence and Automation Dana S. Nau ............................................................................................ 14.1 Methods and Application Examples ................................................ 14.2 Emerging Trends and Open Challenges ........................................... References ..............................................................................................

249 250 266 266

15 Virtual Reality and Automation P. Pat Banerjee ........................................................................................ 15.1 Overview of Virtual Reality and Automation Technologies ................ 15.2 Production/Service Applications ..................................................... 15.3 Medical Applications ..................................................................... 15.4 Conclusions and Emerging Trends .................................................. References ..............................................................................................

269 269 271 273 276 277

16 Automation of Mobility and Navigation Anibal Ollero, Ángel R. Castaño ................................................................ 16.1 Historical Background.................................................................... 16.2 Basic Concepts .............................................................................. 16.3 Vehicle Motion Control................................................................... 16.4 Navigation Control and Interaction with the Environment............... 16.5 Human Interaction ........................................................................ 16.6 Multiple Mobile Systems ................................................................ 16.7 Conclusions ................................................................................... References ..............................................................................................

279 279 280 283 285 288 290 292 292

17 The Human Role in Automation Daniel W. Repperger, Chandler A. Phillips ................................................. 17.1 Some Basics of Human Interaction with Automation ....................... 17.2 Various Application Areas ..............................................................

295 296 297

Contents

17.3 Modern Key Issues to Consider as Humans Interact with Automation 17.4 Future Directions of Defining Human–Machine Interactions ............ 17.5 Conclusions ................................................................................... References ..............................................................................................

299 301 302 302

18 What Can Be Automated? What Cannot Be Automated? Richard D. Patton, Peter C. Patton ............................................................ 18.1 The Limits of Automation ............................................................... 18.2 The Limits of Mechanization .......................................................... 18.3 Expanding the Limit ...................................................................... 18.4 The Current State of the Art ............................................................ 18.5 A General Principle ........................................................................ References ..............................................................................................

305 305 306 309 311 312 313

Part C Automation Design: Theory, Elements, and Methods 19 Mechatronic Systems – A Short Introduction Rolf Isermann .......................................................................................... 19.1 From Mechanical to Mechatronic Systems ....................................... 19.2 Mechanical Systems and Mechatronic Developments ....................... 19.3 Functions of Mechatronic Systems .................................................. 19.4 Integration Forms of Processes with Electronics .............................. 19.5 Design Procedures for Mechatronic Systems .................................... 19.6 Computer-Aided Design of Mechatronic Systems ............................. 19.7 Conclusion and Emerging Trends .................................................... References ..............................................................................................

317 317 319 321 323 325 328 329 329

20 Sensors and Sensor Networks Wootae Jeong .......................................................................................... 20.1 Sensors ......................................................................................... 20.2 Sensor Networks............................................................................ 20.3 Emerging Trends ........................................................................... References ..............................................................................................

333 333 338 346 347

21 Industrial Intelligent Robots Yoshiharu Inaba, Shinsuke Sakakibara ..................................................... 21.1 Current Status of the Industrial Robot Market ................................. 21.2 Background of the Emergence of Intelligent Robots ........................ 21.3 Intelligent Robots.......................................................................... 21.4 Application of Intelligent Robots .................................................... 21.5 Guidelines for Installing Intelligent Robots ..................................... 21.6 Mobile Robots ............................................................................... 21.7 Conclusion .................................................................................... 21.8 Further Reading ............................................................................ References ..............................................................................................

349 349 350 352 359 362 362 363 363 363

XLIII

XLIV

Contents

22 Modeling and Software for Automation Alessandro Pasetti, Walter Schaufelberger (Δ) ........................................... 22.1 Model-Driven Versus Reuse-Driven Software Development.............. 22.2 Model-Driven Software Development ............................................. 22.3 Reuse-Driven Software Development ............................................. 22.4 Current Research Directions ........................................................... 22.5 Conclusions and Emerging Trends .................................................. References ..............................................................................................

365 366 368 371 376 379 379

23 Real-Time Autonomic Automation Christian Dannegger ................................................................................ 23.1 Theory .......................................................................................... 23.2 Application Example: Modular Production Machine Control ............. 23.3 Application Example: Dynamic Transportation Optimization ............ 23.4 How to Design Agent-Oriented Solutions for Autonomic Automation .. 23.5 Emerging Trends and Challenges .................................................... References ..............................................................................................

381 382 385 391 402 402 404

24 Automation Under Service-Oriented Grids Jackson He, Enrique Castro-Leon .............................................................. 24.1 Emergence of Virtual Service-Oriented Grids ................................... 24.2 Virtualization ................................................................................ 24.3 Service Orientation ........................................................................ 24.4 Grid Computing ............................................................................. 24.5 Summary and Emerging Challenges ................................................ 24.6 Further Reading ............................................................................ References ..............................................................................................

405 406 406 408 414 414 415 416

25 Human Factors in Automation Design John D. Lee, Bobbie D. Seppelt .................................................................. 25.1 Automation Problems .................................................................... 25.2 Characteristics of the System and the Automation........................... 25.3 Application Examples and Approaches to Automation Design .......... 25.4 Future Challenges in Automation Design ........................................ References ..............................................................................................

417 418 422 424 429 432

26 Collaborative Human–Automation Decision Making Mary L. Cummings, Sylvain Bruni ............................................................. 26.1 Background .................................................................................. 26.2 The Human–Automation Collaboration Taxonomy (HACT) ................. 26.3 HACT Application and Guidelines .................................................... 26.4 Conclusion and Open Challenges .................................................... References ..............................................................................................

437 438 439 442 445 446

Contents

27 Teleoperation Luis Basañez, Raúl Suárez ........................................................................ 27.1 Historical Background and Motivation ............................................ 27.2 General Scheme and Components .................................................. 27.3 Challenges and Solutions ............................................................... 27.4 Application Fields.......................................................................... 27.5 Conclusion and Trends ................................................................... References ..............................................................................................

449 450 451 454 459 464 465

28 Distributed Agent Software for Automation Francisco P. Maturana, Dan L. Carnahan, Kenwood H. Hall ....................... 28.1 Composite Curing Background ........................................................ 28.2 Industrial Agent Architecture ......................................................... 28.3 Building Agents for the Curing System ............................................ 28.4 Autoclave and Thermocouple Agents .............................................. 28.5 Agent-Based Simulation ................................................................ 28.6 Composite Curing Results and Recommendations............................ 28.7 Conclusions ................................................................................... 28.8 Further Reading ............................................................................ References ..............................................................................................

469 471 473 475 477 478 480 484 484 485

29 Evolutionary Techniques for Automation Mitsuo Gen, Lin Lin .................................................................................. 29.1 Evolutionary Techniques ................................................................ 29.2 Evolutionary Techniques for Industrial Automation ......................... 29.3 AGV Dispatching in Manufacturing System ...................................... 29.4 Robot-Based Assembly-Line System ............................................... 29.5 Conclusions and Emerging Trends .................................................. 29.6 Further Reading ............................................................................ References ..............................................................................................

487 488 492 494 497 501 501 501

30 Automating Errors and Conflicts Prognostics and Prevention Xin W. Chen, Shimon Y. Nof ...................................................................... 30.1 Definitions .................................................................................... 30.2 Error Prognostics and Prevention Applications ................................ 30.3 Conflict Prognostics and Prevention ............................................... 30.4 Integrated Error and Conflict Prognostics and Prevention ................ 30.5 Error Recovery and Conflict Resolution............................................ 30.6 Emerging Trends ........................................................................... 30.7 Conclusion .................................................................................... References ..............................................................................................

503 503 506 512 513 515 520 521 522

XLV

XLVI

Contents

Part D Automation Design: Theory and Methods for Integration 31 Process Automation Thomas F. Edgar, Juergen Hahn ............................................................... 31.1 Enterprise View of Process Automation ........................................... 31.2 Process Dynamics and Mathematical Models ................................... 31.3 Regulatory Control ......................................................................... 31.4 Control System Design ................................................................... 31.5 Batch Process Automation ............................................................. 31.6 Automation and Process Safety ...................................................... 31.7 Emerging Trends ........................................................................... 31.8 Further Reading ............................................................................ References ..............................................................................................

529 529 531 533 534 538 541 543 543 543

32 Product Automation Friedrich Pinnekamp ................................................................................ 32.1 Historical Background.................................................................... 32.2 Definition of Product Automation................................................... 32.3 The Functions of Product Automation ............................................. 32.4 Sensors ......................................................................................... 32.5 Control Systems ............................................................................. 32.6 Actuators ...................................................................................... 32.7 Energy Supply ............................................................................... 32.8 Information Exchange with Other Systems ...................................... 32.9 Elements for Product Automation................................................... 32.10 Embedded Systems........................................................................ 32.11 Summary and Emerging Trends ...................................................... References ..............................................................................................

545 545 546 546 547 547 548 548 548 548 554 557 558

33 Service Automation Friedrich Pinnekamp ................................................................................ 33.1 Definition of Service Automation.................................................... 33.2 Life Cycle of a Plant ....................................................................... 33.3 Key Tasks and Features of Industrial Service ................................... 33.4 Real-Time Performance Monitoring ................................................ 33.5 Analysis of Performance ................................................................ 33.6 Information Required for Effective and Efficient Service .................. 33.7 Logistics Support ........................................................................... 33.8 Remote Service.............................................................................. 33.9 Tools for Service Personnel............................................................. 33.10 Emerging Trends: Towards a Fully Automated Service ...................... References ..............................................................................................

559 559 559 560 562 563 563 566 567 568 568 569

34 Integrated Human and Automation Systems Dieter Spath, Martin Braun, Wilhelm Bauer ............................................... 34.1 Basics and Definitions ................................................................... 34.2 Use of Automation Technology .......................................................

571 572 579

Contents

34.3 Design Rules for Automation .......................................................... 34.4 Emerging Trends and Prospects for Automation .............................. References ..............................................................................................

585 594 596

35 Machining Lines Automation Xavier Delorme, Alexandre Dolgui, Mohamed Essafi, Laurent Linxe, Damien Poyard ........................................................................................ 35.1 Machining Lines ............................................................................ 35.2 Machining Line Design................................................................... 35.3 Line Balancing .............................................................................. 35.4 Industrial Case Study ..................................................................... 35.5 Conclusion and Perspectives .......................................................... References ..............................................................................................

599 600 603 605 606 615 616

36 Large-Scale Complex Systems Florin-Gheorghe Filip, Kauko Leiviskä ...................................................... 36.1 Background and Scope .................................................................. 36.2 Methods and Applications ............................................................. 36.3 Case Studies .................................................................................. 36.4 Emerging Trends ........................................................................... References ..............................................................................................

619 620 622 632 634 635

37 Computer-Aided Design, Computer-Aided Engineering,

and Visualization Gary R. Bertoline, Nathan Hartman, Nicoletta Adamo-Villani .................... 37.1 Modern CAD Tools .......................................................................... 37.2 Geometry Creation Process ............................................................. 37.3 Characteristics of the Modern CAD Environment .............................. 37.4 User Characteristics Related to CAD Systems .................................... 37.5 Visualization ................................................................................. 37.6 3-D Animation Production Process ................................................. References ..............................................................................................

639 639 640 642 643 644 645 651

38 Design Automation for Microelectronics Deming Chen ........................................................................................... 38.1 Overview....................................................................................... 38.2 Techniques of Electronic Design Automation ................................... 38.3 New Trends and Conclusion ........................................................... References ..............................................................................................

653 653 657 665 667

39 Safety Warnings for Automation Mark R. Lehto, Mary F. Lesch, William J. Horrey ......................................... 39.1 Warning Roles ............................................................................... 39.2 Types of Warnings ......................................................................... 39.3 Models of Warning Effectiveness ....................................................

671 672 676 680

XLVII

XLVIII

Contents

39.4 Design Guidelines and Requirements ............................................. 39.5 Challenges and Emerging Trends .................................................... References ..............................................................................................

684 690 691

Part E Automation Management 40 Economic Rationalization of Automation Projects José A. Ceroni .......................................................................................... 40.1 General Economic Rationalization Procedure .................................. 40.2 Alternative Approach to the Rationalization of Automation Projects. 40.3 Future Challenges and Emerging Trends in Automation Rationalization ....................................................... 40.4 Conclusions ................................................................................... References ..............................................................................................

699 700 708 711 712 713

41 Quality of Service (QoS) of Automation Heinz-Hermann Erbe (Δ) ......................................................................... 41.1 Cost-Oriented Automation ............................................................. 41.2 Affordable Automation .................................................................. 41.3 Energy-Saving Automation ............................................................ 41.4 Emerging Trends ........................................................................... 41.5 Conclusions ................................................................................... References ..............................................................................................

715 718 721 725 728 731 732

42 Reliability, Maintainability, and Safety Gérard Morel, Jean-François Pétin, Timothy L. Johnson ............................. 42.1 Definitions .................................................................................... 42.2 RMS Engineering ........................................................................... 42.3 Operational Organization and Architecture for RMS ......................... 42.4 Challenges, Trends, and Open Issues .............................................. References ..............................................................................................

735 736 738 741 745 746

43 Product Lifecycle Management

and Embedded Information Devices Dimitris Kiritsis ........................................................................................ 43.1 The Concept of Closed-Loop PLM .................................................... 43.2 The Components of a Closed-Loop PLM System................................ 43.3 A Development Guide for Your Closed-Loop PLM Solution ................ 43.4 Closed-Loop PLM Application ......................................................... 43.5 Emerging Trends and Open Challenges ........................................... References ..............................................................................................

749 749 751 755 761 763 764

44 Education and Qualification for Control and Automation Bozenna Pasik-Duncan, Matthew Verleger ................................................ 44.1 The Importance of Automatic Control in the 21st Century ................. 44.2 New Challenges for Education ........................................................

767 768 768

Contents

44.3 Interdisciplinary Nature of Stochastic Control .................................. 44.4 New Applications of Systems and Control Theory ............................. 44.5 Pedagogical Approaches ................................................................ 44.6 Integrating Scholarship, Teaching, and Learning............................. 44.7 The Scholarship of Teaching and Learning ...................................... 44.8 Conclusions and Emerging Challenges ............................................ References ..............................................................................................

769 770 772 775 775 776 776

45 Software Management Peter C. Patton, Bijay K. Jayaswal ............................................................. 45.1 Automation and Software Management ......................................... 45.2 Software Distribution..................................................................... 45.3 Asset Management ........................................................................ 45.4 Cost Estimation ............................................................................. 45.5 Further Reading ............................................................................ References ..............................................................................................

779 779 781 786 789 794 794

46 Practical Automation Specification Wolfgang Mann....................................................................................... 46.1 Overview....................................................................................... 46.2 Intention ...................................................................................... 46.3 Strategy ........................................................................................ 46.4 Implementation ............................................................................ 46.5 Additional Impacts ........................................................................ 46.6 Example ....................................................................................... 46.7 Conclusion .................................................................................... 46.8 Further Reading ............................................................................ References ..............................................................................................

797 797 798 800 803 803 804 807 807 808

47 Automation and Ethics Srinivasan Ramaswamy, Hemant Joshi ..................................................... 47.1 Background .................................................................................. 47.2 What Is Ethics, and How Is It Related to Automation?...................... 47.3 Dimensions of Ethics ..................................................................... 47.4 Ethical Analysis and Evaluation Steps ............................................. 47.5 Ethics and STEM Education ............................................................. 47.6 Ethics and Research....................................................................... 47.7 Challenges and Emerging Trends .................................................... 47.8 Additional Online Resources .......................................................... 47.A Appendix: Code of Ethics Example .................................................. References ..............................................................................................

809 810 810 811 814 817 822 825 826 827 831

XLIX

L

Contents

Part F Industrial Automation 48 Machine Tool Automation Keiichi Shirase, Susumu Fujii .................................................................... 48.1 The Advent of the NC Machine Tool................................................. 48.2 Development of Machining Center and Turning Center .................... 48.3 NC Part Programming .................................................................... 48.4 Technical Innovation in NC Machine Tools....................................... 48.5 Key Technologies for Future Intelligent Machine Tool ...................... 48.6 Further Reading ............................................................................ References ..............................................................................................

837 839 841 844 847 856 857 857

49 Digital Manufacturing and RFID-Based Automation Wing B. Lee, Benny C.F. Cheung, Siu K. Kwok ............................................ 49.1 Overview....................................................................................... 49.2 Digital Manufacturing Based on Virtual Manufacturing (VM) ............ 49.3 Digital Manufacturing by RFID-Based Automation ........................... 49.4 Case Studies of Digital Manufacturing and RFID-Based Automation.. 49.5 Conclusions ................................................................................... References ..............................................................................................

859 859 860 864 867 877 878

50 Flexible and Precision Assembly Brian Carlisle ........................................................................................... 50.1 Flexible Assembly Automation ....................................................... 50.2 Small Parts.................................................................................... 50.3 Automation Software Architecture .................................................. 50.4 Conclusions and Future Challenges................................................. 50.5 Further Reading ............................................................................ References ..............................................................................................

881 881 886 887 890 890 890

51 Aircraft Manufacturing and Assembly Branko Sarh, James Buttrick, Clayton Munk, Richard Bossi ........................ 51.1 Aircraft Manufacturing and Assembly Background........................... 51.2 Automated Part Fabrication Systems: Examples .............................. 51.3 Automated Part Inspection Systems: Examples................................ 51.4 Automated Assembly Systems/Examples ......................................... 51.5 Concluding Remarks and Emerging Trends ...................................... References ..............................................................................................

893 894 895 903 905 908 909

52 Semiconductor Manufacturing Automation Tae-Eog Lee ............................................................................................. 52.1 Historical Background.................................................................... 52.2 Semiconductor Manufacturing Systems and Automation Requirements ...................................................... 52.3 Equipment Integration Architecture and Control ............................. 52.4 Fab Integration Architectures and Operation...................................

911 911 912 914 921

Contents

52.5 Conclusion .................................................................................... References ..............................................................................................

925 925

53 Nanomanufacturing Automation Ning Xi, King Wai Chiu Lai, Heping Chen ................................................... 53.1 Overview....................................................................................... 53.2 AFM-Based Nanomanufacturing..................................................... 53.3 Nanomanufacturing Processes ....................................................... 53.4 Conclusions ................................................................................... References ..............................................................................................

927 927 930 937 944 944

54 Production, Supply, Logistics and Distribution Rodrigo J. Cruz Di Palma, Manuel Scavarda Basaldúa................................ 54.1 Historical Background.................................................................... 54.2 Machines and Equipment Automation for Production ..................... 54.3 Computing and Communication Automation for Planning and Operations Decisions............................................................... 54.4 Automation Design Strategy ........................................................... 54.5 Emerging Trends and Challenges .................................................... 54.6 Further Reading ............................................................................ References ..............................................................................................

947 947 949 951 954 955 958 959

55 Material Handling Automation in Production

and Warehouse Systems Jaewoo Chung, Jose M.A. Tanchoco ........................................................... 55.1 Material Handling Integration........................................................ 55.2 System Architecture ....................................................................... 55.3 Advanced Technologies.................................................................. 55.4 Conclusions and Emerging Trends .................................................. References ..............................................................................................

961 962 964 969 977 977

56 Industrial Communication Protocols Carlos E. Pereira, Peter Neumann .............................................................. 56.1 Basic Information .......................................................................... 56.2 Virtual Automation Networks ......................................................... 56.3 Wired Industrial Communications .................................................. 56.4 Wireless Industrial Communications ............................................... 56.5 Wide Area Communications ........................................................... 56.6 Conclusions ................................................................................... 56.7 Emerging Trends ........................................................................... 56.8 Further Reading ............................................................................ References ..............................................................................................

981 981 983 984 991 993 995 995 997 998

57 Automation and Robotics in Mining and Mineral Processing Sirkka-Liisa Jämsä-Jounela, Greg Baiden ................................................. 1001 57.1 Background .................................................................................. 1001 57.2 Mining Methods and Application Examples..................................... 1004

LI

LII

Contents

57.3 Processing Methods and Application Examples ............................... 1005 57.4 Emerging Trends ........................................................................... 1009 References .............................................................................................. 1012 58 Automation in the Wood and Paper Industry Birgit Vogel-Heuser ................................................................................. 58.1 Background Development and Theory ............................................ 58.2 Application Example, Guidelines, and Techniques .......................... 58.3 Emerging Trends, Open Challenges ................................................. References ..............................................................................................

1015 1015 1018 1024 1025

59 Welding Automation Anatol Pashkevich ................................................................................... 59.1 Principal Definitions ...................................................................... 59.2 Welding Processes ......................................................................... 59.3 Basic Equipment and Control Parameters ....................................... 59.4 Welding Process Sensing, Monitoring, and Control .......................... 59.5 Robotic Welding ............................................................................ 59.6 Future Trends in Automated Welding ............................................. 59.7 Further Reading ............................................................................ References ..............................................................................................

1027 1027 1028 1031 1033 1035 1038 1039 1039

60 Automation in Food Processing Darwin G. Caldwell, Steve Davis, René J. Moreno Masey, John O. Gray ........ 60.1 The Food Industry ......................................................................... 60.2 Generic Considerations in Automation for Food Processing .............. 60.3 Packaging, Palletizing, and Mixed Pallet Automation ...................... 60.4 Raw Product Handling and Assembly.............................................. 60.5 Decorative Product Finishing.......................................................... 60.6 Assembly of Food Products – Making a Sandwich............................ 60.7 Discrete Event Simulation Example................................................. 60.8 Totally Integrated Automation ....................................................... 60.9 Conclusions ................................................................................... 60.10 Further Reading ............................................................................ References ..............................................................................................

1041 1042 1043 1046 1049 1054 1055 1056 1057 1058 1058 1058

Part G Infrastructure and Service Automation 61 Construction Automation Daniel Castro-Lacouture ........................................................................... 61.1 Motivations for Automating Construction Operations ....................... 61.2 Background .................................................................................. 61.3 Horizontal Construction Automation ............................................... 61.4 Building Construction Automation.................................................. 61.5 Techniques and Guidelines for Construction Management Automation .....................................

1063 1064 1065 1066 1068 1070

Contents

61.6 Application Examples .................................................................... 1073 61.7 Conclusions and Challenges ........................................................... 1076 References .............................................................................................. 1076 62 The Smart Building Timothy I. Salsbury .................................................................................. 62.1 Background .................................................................................. 62.2 Application Examples .................................................................... 62.3 Emerging Trends ........................................................................... 62.4 Open Challenges............................................................................ 62.5 Conclusions ................................................................................... References ..............................................................................................

1079 1079 1083 1088 1090 1092 1092

63 Automation in Agriculture Yael Edan, Shufeng Han, Naoshi Kondo .................................................... 63.1 Field Machinery............................................................................. 63.2 Irrigation Systems ......................................................................... 63.3 Greenhouse Automation ................................................................ 63.4 Animal Automation Systems........................................................... 63.5 Fruit Production Operations ........................................................... 63.6 Summary ...................................................................................... References ..............................................................................................

1095 1096 1101 1104 1111 1116 1121 1122

64 Control System for Automated Feed Plant Nick A. Ivanescu ...................................................................................... 64.1 Objectives ..................................................................................... 64.2 Problem Description ...................................................................... 64.3 Special Issues To Be Solved ............................................................ 64.4 Choosing the Control System .......................................................... 64.5 Calibrating the Weighing Machines ................................................ 64.6 Management of the Extraction Process ........................................... 64.7 Software Design: Theory and Application ........................................ 64.8 Communication ............................................................................. 64.9 Graphical User Interface on the PLC ................................................ 64.10 Automatic Feeding of Chicken ........................................................ 64.11 Environment Control in the Chicken Plant ...................................... 64.12 Results and Conclusions................................................................. 64.13 Further Reading ............................................................................ References ..............................................................................................

1129 1129 1130 1131 1131 1132 1133 1133 1136 1136 1137 1137 1138 1138 1138

65 Securing Electrical Power System Operation Petr Horacek ............................................................................................ 65.1 Power Balancing ........................................................................... 65.2 Ancillary Services Planning ............................................................ References ..............................................................................................

1139 1141 1153 1162

LIII

LIV

Contents

66 Vehicle and Road Automation Yuko J. Nakanishi .................................................................................... 66.1 Background .................................................................................. 66.2 Integrated Vehicle-Based Safety Systems (IVBSS) ............................. 66.3 Vehicle Infrastructure Integration (VII) ............................................ 66.4 Conclusion and Emerging Trends .................................................... 66.5 Further Reading ............................................................................ References ..............................................................................................

1165 1165 1171 1176 1177 1178 1180

67 Air Transportation System Automation Satish C. Mohleji, Dean F. Lamiano, Sebastian V. Massimini ...................... 67.1 Current NAS CNS/ATM Systems Infrastructure .................................... 67.2 Functional Role of Automation in Aircraft for Flight Safety and Efficiency ............................................................................... 67.3 Functional Role of Automation in the Ground System for Flight Safety and Efficiency ....................................................... 67.4 CNS/ATM Functional Limitations with Impact on Operational Performance Measures ........................................... 67.5 Future Air Transportation System Requirements and Functional Automation ........................................................... 67.6 Summary ...................................................................................... References ..............................................................................................

1203 1211 1212

68 Flight Deck Automation Steven J. Landry ....................................................................................... 68.1 Background and Theory ................................................................. 68.2 Application Examples .................................................................... 68.3 Guidelines for Automation Development ........................................ 68.4 Flight Deck Automation in the Next-Generation Air-Traffic System .. 68.5 Conclusion .................................................................................... 68.6 Web Resources .............................................................................. References ..............................................................................................

1215 1215 1217 1226 1234 1236 1236 1237

69 Space and Exploration Automation Edward Tunstel ........................................................................................ 69.1 Space Automation/Robotics Background ......................................... 69.2 Challenges of Space Automation .................................................... 69.3 Past and Present Space Robots and Applications ............................. 69.4 Future Directions and Capability Needs........................................... 69.5 Summary and Conclusion............................................................... 69.6 Further Reading ............................................................................ References ..............................................................................................

1241 1242 1243 1248 1250 1251 1251 1252

1181 1183 1194 1195 1196

70 Cleaning Automation Norbert Elkmann, Justus Hortig, Markus Fritzsche ..................................... 1253 70.1 Background and Cleaning Automation Theory ................................. 1254 70.2 Examples of Application ................................................................ 1256

Contents

70.3 Emerging Trends ........................................................................... 1263 References .............................................................................................. 1263 71 Automating Information and Technology Services Parasuram Balasubramanian .................................................................. 71.1 Preamble ...................................................................................... 71.2 Distinct Business Segments ............................................................ 71.3 Automation Path in Each Business Segment ................................... 71.4 Information Technology Services .................................................... 71.5 Impact Analysis ............................................................................. 71.6 Emerging Trends ........................................................................... References ..............................................................................................

1265 1265 1267 1269 1274 1281 1282 1282

72 Library Automation Michael Kaplan ....................................................................................... 72.1 In the Beginning: Book Catalogs and Card Catalogs ......................... 72.2 Development of the MARC Format and Online Bibliographic Utilities 72.3 OpenURL Linking and the Rise of Link Resolvers .............................. 72.4 Future Challenges.......................................................................... 72.5 Further Reading ............................................................................ References ..............................................................................................

1285 1285 1286 1290 1296 1296 1297

73 Automating Serious Games Gyula Vastag, Moshe Yerushalmy ............................................................. 73.1 Theoretical Foundation and Developments: Learning Through Gaming.............................................................. 73.2 Application Examples .................................................................... 73.3 Guidelines and Techniques for Serious Games ................................ 73.4 Emerging Trends, Open Challenges ................................................. 73.5 Additional Reading ....................................................................... References .............................................................................................. 74 Automation in Sports and Entertainment Peter Kopacek .......................................................................................... 74.1 Robots in Entertainment, Leisure, and Hobby ................................. 74.2 Market .......................................................................................... 74.3 Summary and Forecast .................................................................. 74.4 Further Reading ............................................................................ References ..............................................................................................

1299 1299 1303 1306 1309 1310 1310

1313 1315 1330 1330 1331 1331

Part H Automation in Medical and Healthcare Systems 75 Automatic Control in Systems Biology Henry Mirsky, Jörg Stelling, Rudiyanto Gunawan, Neda Bagheri, Stephanie R. Taylor, Eric Kwei, Jason E. Shoemaker, Francis J. Doyle III....... 1335 75.1 Basics ........................................................................................... 1335

LV

LVI

Contents

75.2 Biophysical Networks .................................................................... 75.3 Network Models for Structural Classification.................................... 75.4 Dynamical Models ......................................................................... 75.5 Network Identification................................................................... 75.6 Quantitative Performance Metrics................................................... 75.7 Bio-inspired Control and Design .................................................... 75.8 Emerging Trends ........................................................................... References ..............................................................................................

1337 1340 1342 1346 1349 1353 1354 1354

76 Automation and Control in Biomedical Systems Robert S. Parker ....................................................................................... 76.1 Background and Introduction ........................................................ 76.2 Theory and Tools ........................................................................... 76.3 Techniques and Applications ......................................................... 76.4 Emerging Areas and Challenges...................................................... 76.5 Summary ...................................................................................... References ..............................................................................................

1361 1361 1364 1369 1373 1375 1375

77 Automation in Hospitals and Healthcare Brandon Savage ...................................................................................... 77.1 The Need for Automation in Healthcare .......................................... 77.2 The Role of Medical Informatics ..................................................... 77.3 Applications .................................................................................. 77.4 Conclusion .................................................................................... References ..............................................................................................

1379 1380 1382 1389 1396 1396

78 Medical Automation and Robotics Alon Wolf, Moshe Shoham ........................................................................ 78.1 Classification of Medical Robotics Systems ...................................... 78.2 Kinematic Structure of Medical Robots............................................ 78.3 Fundamental Requirements from a Medical Robot .......................... 78.4 Main Advantages of Medical Robotic Systems.................................. 78.5 Emerging Trends in Medical Robotics Systems ................................. References ..............................................................................................

1397 1398 1403 1404 1404 1405 1406

79 Rotary Heart Assist Devices Marwan A. Simaan .................................................................................. 79.1 The Cardiovascular Model .............................................................. 79.2 Cardiovascular Model Validation .................................................... 79.3 LVAD Pump Model.......................................................................... 79.4 Combined Cardiovascular and LVAD Model ...................................... 79.5 Challenges in the Development of a Feedback Controller and Suction Detection Algorithm .................................................... 79.6 Conclusion .................................................................................... References ..............................................................................................

1409 1410 1414 1415 1416 1418 1420 1420

Contents

80 Medical Informatics Chin-Yin Huang ....................................................................................... 80.1 Background .................................................................................. 80.2 Diagnostic–Therapeutic Cycle ......................................................... 80.3 Communication and Integration .................................................... 80.4 Database and Data Warehouse....................................................... 80.5 Medical Support Systems ............................................................... 80.6 Medical Knowledge and Decision Support System ........................... 80.7 Developing a Healthcare Information System .................................. 80.8 Emerging Issues ............................................................................ References ..............................................................................................

1423 1423 1424 1425 1426 1427 1429 1430 1431 1432

81 Nanoelectronic-Based Detection for Biology and Medicine Samir M. Iqbal, Rashid Bashir .................................................................. 81.1 Historical Background.................................................................... 81.2 Interfacing Biological Molecules ..................................................... 81.3 Electrical Characterization of DNA Molecules on Surfaces ................. 81.4 Nanopore Sensors for Characterization of Single DNA Molecules ....... 81.5 Conclusions and Outlook................................................................ References ..............................................................................................

1433 1433 1434 1438 1441 1447 1447

82 Computer and Robot-Assisted Medical Intervention Jocelyne Troccaz ....................................................................................... 82.1 Clinical Context and Objectives ....................................................... 82.2 Computer-Assisted Medical Intervention ........................................ 82.3 Main Periods of Medical Robot Development .................................. 82.4 Evolution of Control Schemes ......................................................... 82.5 The Cyberknife System: A Case Study............................................... 82.6 Specific Issues in Medical Robotics ................................................. 82.7 Systems Used in Clinical Practice .................................................... 82.8 Conclusions and Emerging Trends .................................................. 82.9 Medical Glossary ........................................................................... References ..............................................................................................

1451 1451 1452 1454 1458 1459 1461 1462 1463 1463 1464

Part I Home, Office, and Enterprise Automation 83 Automation in Home Appliances T. Joseph Lui ............................................................................................ 83.1 Background and Theory ................................................................. 83.2 Application Examples, Guidelines, and Techniques ......................... 83.3 Emerging Trends and Open Challenges ........................................... 83.4 Further Reading ............................................................................ References ..............................................................................................

1469 1469 1472 1481 1483 1483

84 Service Robots and Automation for the Disabled/Limited Birgit Graf, Harald Staab ......................................................................... 1485 84.1 Motivation and Required Functionalities ........................................ 1486

LVII

LVIII

Contents

84.2 State of the Art.............................................................................. 84.3 Application Example: the Robotic Home Assistant Care-O-bot ......... 84.4 Application Example: the Bionic Robotic Arm ISELLA ........................ 84.5 Future Challenges.......................................................................... References ..............................................................................................

1486 1493 1496 1499 1499

85 Automation in Education/Learning Systems Kazuyoshi Ishii, Kinnya Tamaki ................................................................ 85.1 Technology Aspects of Education/Learning Systems ......................... 85.2 Examples ...................................................................................... 85.3 Conclusions and Emerging Trends .................................................. References ..............................................................................................

1503 1503 1511 1523 1524

86 Enterprise Integration and Interoperability François B. Vernadat ................................................................................ 86.1 Definitions and Background .......................................................... 86.2 Integration and Interoperability Frameworks ................................. 86.3 Standards and Technology for Interoperability................................ 86.4 Applications and Future Trends ...................................................... 86.5 Conclusion .................................................................................... References ..............................................................................................

1529 1530 1532 1533 1535 1537 1537

87 Decision Support Systems Daniel J. Power, Ramesh Sharda .............................................................. 87.1 Characteristics of DSS ..................................................................... 87.2 Building Decision Support Systems ................................................. 87.3 DSS Architecture ............................................................................ 87.4 Conclusions ................................................................................... 87.5 Further Reading ............................................................................ References ..............................................................................................

1539 1540 1544 1546 1547 1547 1548

88 Collaborative e-Work, e-Business, and e-Service Juan D. Velásquez, Shimon Y. Nof ............................................................. 88.1 Background and Definitions .......................................................... 88.2 Theoretical Foundations of e-Work and Collaborative Control Theory (CCT) ............................................ 88.3 Design Principles for Collaborative e-Work, e-Business, and e-Service ............................................................................... 88.4 Conclusions and Challenges ........................................................... 88.5 Further Reading ............................................................................ References .............................................................................................. 89 e-Commerce Clyde W. Holsapple, Sharath Sasidharan ................................................... 89.1 Background .................................................................................. 89.2 Theory .......................................................................................... 89.3 e-Commerce Models and Applications ............................................

1549 1549 1552 1562 1571 1572 1573

1577 1578 1580 1585

Contents

89.4 Emerging Trends in e-Commerce.................................................... 1591 89.5 Challenges and Emerging Issues in e-Commerce ............................. 1592 References .............................................................................................. 1594 90 Business Process Automation Edward F. Watson, Karyn Holmes ............................................................. 90.1 Definitions and Background .......................................................... 90.2 Enterprise Systems Application Frameworks .................................... 90.3 Emerging Standards and Technology .............................................. 90.4 Future Trends ................................................................................ 90.5 Conclusion .................................................................................... References ..............................................................................................

1597 1598 1606 1609 1610 1611 1611

91 Automation in Financial Services William Richmond ................................................................................... 91.1 Overview of the Financial Service Industry ...................................... 91.2 Community Banks and Credit Unions .............................................. 91.3 Role of Automation in Community Banks and Credit Unions ............ 91.4 Emerging Trends and Issues ........................................................... 91.5 Conclusions ................................................................................... References ..............................................................................................

1613 1614 1616 1619 1625 1626 1626

92 e-Government Dieter Rombach, Petra Steffens ................................................................. 92.1 Automating Administrative Processes ............................................. 92.2 The Evolution of e-Government ..................................................... 92.3 Proceeding from Strategy to Roll-Out: Four Dimensions of Action .... 92.4 Future Challenges in e-Government Automation ............................ References ..............................................................................................

1629 1629 1630 1633 1639 1641

93 Collaborative Analytics for Astrophysics Explorations Cecilia R. Aragon ..................................................................................... 93.1 Scope............................................................................................ 93.2 Science Background....................................................................... 93.3 Previous Work ............................................................................... 93.4 Sunfall Design Process ................................................................... 93.5 Sunfall Architecture and Components ............................................. 93.6 Conclusions ................................................................................... References ..............................................................................................

1645 1645 1646 1648 1649 1650 1666 1668

Part J Appendix 94 Automation Statistics Juan D. Velásquez, Xin W. Chen, Sang Won Yoon, Hoo Sang Ko .................. 1673 94.1 Automation Statistics..................................................................... 1674 94.2 Automation Associations................................................................ 1685

LIX

LX

Contents

94.3 94.4

Automation Laboratories Around the World .................................... 1693 Automation Journals from Around the World .................................. 1696

Acknowledgements ................................................................................... About the Authors ..................................................................................... Detailed Contents...................................................................................... Subject Index.............................................................................................

1703 1707 1735 1777

LXI

List of Abbreviations

α-HL βCD µC *FTTP 2-D 3-D-CG 3-D 3G 3PL 3SLS 4-WD

α-hemolysin β-cyclodextrin micro controller fault-tolerance time-out protocol two-dimensional three-dimensional computer graphic three-dimensional third-generation third-party logistics three-stage least-square four-wheel-drive

A A-PDU A/D AAAI AACC AACS AAN ABAS ABB ABCS ABMS ABS AC/DC ACARS ACAS ACAS ACCO ACC ACC ACE ACGIH ACH ACMP ACM ACM ACN ACT-R AC ADAS ADA ADC ADS-B ADSL

application layer protocol data unit analog-to-digital Association for the Advancement of Artificial Intelligence American Automatic Control Council automated airspace computer system appliance area network aircraft-based augmentation system Asea Brown Boveri automated building construction system agent-based management system antilock brake system alternating current/direct current aircraft communications addressing and reporting system aircraft collision avoidance system automotive collision avoidance system active control connection object adaptive cruise control automatic computer control area control error AmericanConference of Governmental Industrial Hygienists automated clearing house autonomous coordinate measurement planning Association for Computing Machinery airport capacity model automatic collision notification adaptive control of thought-rational alternating-current advanced driver assistance system Americans with Disabilities Act analog-to-digital converter automatic dependent surveillance-broadcast asymmetric digital subscriber line

ADT aecXML AFCS AFM AFP AF AGC AGL AGV AHAM AHP AHS AIBO AIDS AIM-C AIMIS AIMac AI ALB ALD ALU AMHS AMPA ANFIS ANN ANSI ANTS AOCS AOC AOI AOP AO APC APFDS API APL APM APO APS APTMS APT APU APV AQ ARCS

admission/transfer/discharge architecture, engineering and construction extensive markup language automatic flight control system atomic force microscopy automated fiber placement application framework automatic generation control above ground level autonomous guided vehicle Association of Home Appliance Manufacturers analytical hierarchy process assisted highway system artificial intelligence robot acquired immunodeficiency syndrome accelerated insertion of materials-composite agent interaction management system autonomous and intelligent machine tool artificial intelligence assembly line balancing atomic-layer deposition arithmetic logic unit automated material-handling system autonomous machining process analyzer adaptive neural-fuzzy inference system artificial neural network American National Standards Institute Workshop on Ant Colony optimization and Swarm Intelligence attitude and orbit control system airline operation center automated optical inspection aspect-oriented programming application object advanced process control autopilot/flight director system applications programming interface application layer alternating pulse modulation advance planner and optimizer advanced planning and scheduling 3-aminopropyltrimethoxysilane automatically programmed tool auxiliary power unit approach procedures with vertical guidance as-quenched attention, relevance, confidence, satisfaction

LXII

List of Abbreviations

ARIS ARL ARPANET ARPM ARSR ARTCC ARTS aRT AS/RC AS/RS ASAS ASCII ASDE ASDI ASE ASIC ASIMO ASIP ASI ASME ASP ASRS ASR ASSP ASTD ASW ASi AS ATCBI-6 ATCSCC ATCT ATC ATIS ATL ATM ATM ATM ATPG AT AUTOSAR AUV AVI AWSN Aleph awGA A&I

architecture for information systems Applied Research Laboratory advanced research projects agency net the application relationship protocol machine air route surveillance radar air route traffic control center automated radar terminal system acyclic real-time automated storage/enterprise resource automatic storage and retrieval system airborne separation assurance system American standard code for information interchange airport surface detection equipment aircraft situation display to industry application service element application-specific IC advanced step in innovation mobility application-specific instruction set processor actuator sensor interface American Society of Mechanical Engineers application service provider automated storage and retrieval system airport surveillance radar application-specific standard part American Society for Training and Development American Welding Society actuator sensor interface ancillary service ARSR are ATC beacon interrogator air traffic control system command center air traffic control tower available transfer capability automated terminal information service automated tape layup air traffic management asynchronous transfer mode automatic teller machine automatic test pattern generation adenine–thymine automotive open system architecture autonomous underwater vehicle audio video interleaved ad hoc wireless sensor network automated library expandable program adaptive-weight genetic algorithm abstracting and indexing

B B-rep B2B

boundary representation business-to-business

B2C BAC BALLOTS BAP BAS BA BBS BCC BCD BDD BDI BIM BI BLR BMP BOL BOM BPCS BPEL BPMN BPM BPO BPR BP bp BSS BST BS

business-to-consumer before automatic control bibliographic automation of large library operations using time sharing Berth allocation planning building automation systems balancing authority bulletin-board system before computer control binary code to decimal binary decision diagram belief–desire–intention building information model business intelligence brick laying robot best-matching protocol beginning of life bill of material basic process control system business process execution language business process modeling notation business process management business process outsourcing business process reengineering broadcasting protocol base pair basic service set biochemical systems theory base station

C C2C CAASD CAA CAD/CAM CADCS CAEX CAE CAI CAMI CAMP CAM CANbus CAN CAOS CAPP CAPS CASE CAS CAS CAW CA CBM CBT

consumer-to-consumer center for advanced aviation system development National Civil Aviation Authority computer-aided design/manufacture computer aided design of control system computer aided engineering exchange computer-aided engineering computer-assisted (aided) instruction computer-assisted medical intervention collision avoidance metrics partnership computer-aided manufacturing controller area network bus control area network computer-assisted ordering system computer aided process planning computer-aided processing system computer-aided software engineering collision avoidance system complex adaptive system carbon arc welding conflict alert condition-based maintenance computer based training

List of Abbreviations

CCC CCD CCGT CCMP CCM CCP CCTV CCT CDMS CDTI CDU CD CEC CEDA CEDM CEDM CEDP CEDP CED CEO CEPD CERIAS CERN CERT CE CFD CFG CFIT cGMP CG CHAID CHART CH CIA CICP CIM CIO CIP CIRPAV CLAWAR CLSI CL CME CMI CML CMM CMS CMTM CM

Chinese Control Conference charge-coupled device combined-cycle gas turbine create–collect–manage–protect CORBA component model critical control point closed circuit television collaborative control theory conflict detection and management system cockpit display of traffic information control display unit compact disc Congress on Evolutionary Computation conflict and error detection agent conflict and error detection management conflict and error detection model conflict and error detection protocol conflict and error diagnostics and prognostics concurrent error detection chief executive officers conflict and error prediction and detection Center of Education and Research in Information Assurance and Security European Organization for Nuclear Research Computer Emergency Response Team Council Europe computational fluid dynamics context-free grammar controlled flight into terrain current good manufacturing practice computer graphics chi-square automatic interaction detector Maryland coordinated highways action response team cluster-head CAN in automation coordination and interruption–continuation protocol computer integrated manufacturing chief information officer common industrial protocol computer-integrated road paving climbing and walking autonomous robot Computer Library Services Inc. cutter location chemical master equation computer-managed instruction case method learning capability maturity model corporate memory system control, maintenance, and technical management Clausius–Mossotti

CNC CNO CNS CNS CNT COA COBOL COCOMO CODESNET COMET COMSOAL COM COP COQ CORBA CO CPA CPLD CPM CPOE CPU CP CP CQI CRF CRM CRP CRT cRT CSCL CSCW CSG CSR CSS CSU CSW CTC CTMC CT CURV CVT CV Co-X

computer numerical control collaborative networked organization collision notification system communication, navigation, and surveillance carbon nanotube cost-oriented automation common business-oriented language constructive cost model collaborative demand and supply network collaborative medical tutor computer method of sequencing operations for assembly lines component object model coefficient of performance cost of quality common object request broker architecture connection-oriented closest point of approach complex programmable logic device critical path method computerized provider order entry central processing unit constraint programming coordination protocol continuous quality improvement Research Center of Fiat customer relationship management cooperation requirement planning cathode-ray tube cyclic real-time computer-supported collaborative learning computer-supported collaborative work constructive solid geometry corporate social responsibility Control Systems Society customer support unit curve speed warning system cluster tool controller cluster tool module communication computed tomography cable-controlled undersea recovery vehicle continuously variable transmission controlled variables collaborative tool for function X

D D/A D2D DAC DAFNet

digital-to-analog discovery-to-delivery digital-to-analog converter data activity flow network

LXIII

LXIV

List of Abbreviations

DAISY

differential algebra for identifiability of systems DAM digital asset management DARC Duke Annual Robo-Climb Competition DAROFC direct adaptive robust output feedback controller DARPA Defense Advanced Research Projects Agency DARSFC direct adaptive robust state feedback controller DAS driver assistance system DA data acquisition DB database DCOM distributed component object model DCSS dynamic case study scenario DCS distributed control system DCS disturbance control standard DC direct-current DDA demand deposit account DDC direct digital control DEA discrete estimator algorithm DEM discrete element method DEP dielectrophoretic DES discrete-event system DFBD derived function block diagram DFI data activity flow integration DFM design for manufacturing DFT discrete Fourier transform DGC DARPA Grand Challenge DGPA discretized generalized pursuit algorithm DGPS differential GPS DHCP dynamic host configuration protocol DHS Department of Homeland Security DICOM digital imaging and communication in medicine DIN German Institute for Normalization DIO digital input/output DISC death inducing signalling complex DLC direct load control DLF Digital Library Foundation DMC dynamic matrix control DME distance measuring equipment DMOD distance modification DMPM data link mapping protocol machine DMP decision-making processes DMP dot matrix printer DMSA/DMSN distributed microsensor array and network DMS dynamic message sign DM decision-making DNA deoxyribonucleic acid DNC direct numerical control DNS domain name system DOC Department of Commerce DOF degrees of freedom DOP degree of parallelism

DOT DO DPA DPC DPIEM DP DRG DRR DR DSA DSDL DSDT DSL DSL DSN DSP DSRC DSSS DSS DTC DTL DTP DTSE DUC DVD DVI DV DXF DoD DoS

US Department of Transportation device object discrete pursuit algorithm distributed process control distributed parallel integration evaluation method decentralized periphery diagnostic related group digitally reconstructed radiograph digital radiography digital subtraction angiography domain-specific design language distributed signal detection theoretic digital subscriber line domain-specific language distributed sensor network digital signal processor dedicated short-range communication direct sequence spread spectrum decision support system direct torque control dedicated transfer line desktop printing discrete TSE algorithm distributable union catalog digital versatile disk digital visual interface disturbance variables drawing interchange format Department of Defense denial of service

E E-CAE E-PERT E/H EAI EAP EA EBL EBM EBP EBW ebXML EB ECG ECU EC EDA EDCT EDD EDGE

electrical engineering computer aided engineering extended project estimation and review technique electrohydraulic enterprise architecture interface electroactive polymer evolutionary algorithm electron-beam lithography evidence-based medicine evidence-based practice electron beam welding electronic business XML electron beam electrocardiogram electronic control unit European Community electronic design automation expected departure clearance time earliest due date enhanced data rates for GSM evolution

List of Abbreviations

EDIFACT EDI EDPA EDPVR EDS EDV EEC EEPROM EES EFIS EFSM EFT EGNOS EHEDG EICAS EIF EII EIS EIU EI eLPCO ELV EL EMCS EMF EMO EMR EMS EOL EPA EPC EPGWS EPROM EPSG EP ERMA ERM ERP ESA ESB ESD ESD ESL ESPVR ESP ESR

Electronic Data Interchange for Administration, Commerce and Transport electronic data interchange error detection and prediction algorithms end-diastolic pressure–volume relationship electronic die sorting end-diastolic volume European Economic Community electrically erasable programmable read-only memory equipment engineering system electronic flight instrument system extended finite state machine electronic funds transfer European geostationary navigation overlay service European Hygienic Engineering and Design Group engine indicating and crew alerting system European Interoperability Framework enterprise information integration executive information system Economist Intelligence Unit Enterprise integration e-Learning professional competency end-of-life of vehicle electroluminescence energy management control systems electromotive force evolutionary multiobjective optimization electronic medical record energy management system end-of-life Environmental Protection Agency engineering, procurement, and contsruction enhanced GPWS erasable programmable read-only memory Ethernet PowerLink Standardization Group evolutionary programming electronic recording machine accounting electronic resources management enterprise resource planning European Space Agency enterprise service bus electronic software delivery emergency shutdown electronic system-level end-systolic pressure–volume relationship electronic stability program enterprise services repository

ESSENCE

Equation of State: Supernovae Trace Cosmic Expansion ESS extended service set ES enterprise system ES evolution strategy ETA estimated time of arrival ETC electronic toll collection ETG EtherCAT Technology Group ETH Swiss Federal Technical university ETMS enhanced traffic management system ET evolutionary technique EURONORM European Economic Community EU European Union EVA extravehicular activity EVD eigenvalue–eigenvector decomposition EVM electronic voting machine EVS enhanced vision system EWMA exponentially-weighted moving average EWSS e-Work support system EXPIDE extended products in dynamic enterprise EwIS enterprise-wide information system

F FAA fab FACT FAF FAL FAQ FASB FAST FA FA FBA FBD FCAW FCC FCW FCW FDA FDD FDL-CR FDL FESEM FFT FHSS FIFO FIM FIPA FIRA

US Federal Aviation Administration fabrication plant fair and accurate credit transaction final approach fix fieldbus application layer frequently asked questions Financial Accounting Standards Board final approach spacing tool factory automation false alarm flux balance analysis function block diagram flux cored arc welding flight control computer forward collision warning forward crash warning US Food and Drug Administration fault detection and diagnosis facility description language–conflict resolution facility design language field-emission scanning electron microscope fast Fourier transform frequency hopping spread spectrum first-in first-out Fisher information matrix Foundation for Intelligent Physical Agents Federation of International Robot-Soccer Associations

LXV

LXVI

List of Abbreviations

FISCUS

FIS fJSP FK FLC FL FMCS FMC FMC FMEA FMECA FMS FMS FMS FMS FM FM FOC FOGA FOUP FOV FPGA FPID FP FSK FSM FSPM FSSA FSS FSW FTA FTC FTE FTE FTL FTP FTSIA FTTP FW

Föderales Integriertes Standardisiertes Computer-Unterstütztes Steuersystem – federal integrated standardized computer-supported tax system fuzzy inference system flexible jobshop problem forward kinematics fuzzy logic control fuzzy-logic flight management computer system flexible manufacturing cell flight management computer failure modes and effects analysis failure mode, effects and criticality analysis field message specification flexible manufacturing system flexible manufacturing system flight management system Fiduccia–Mattheyses frequency-modulation federation object coordinator Foundations of Genetic Algorithms front open unified pod field of view field-programmable gate arrays feedforward PID flooding protocol frequency shift keying finite-state machine FAL service protocol machine fixed structure stochastic automaton flight service station friction stir welding fault tree analysis fault tolerant control flight technical error full-time equivalent flexible transfer line file transfer protocol fault-tolerance sensor integration algorithm fault tolerant time-out protocal framework

G G2B G2C G2G GAGAN GAIA GAMP GATT GA GBAS

government-to-business government-to-citizen government-to-government GEO augmented navigation geometrical analytic for interactive aid good automated manufacturing practice General Agreement on Tariffs and Trade genetic algorithms ground-based augmentation system

GBIP GBS GDP GDP GDSII GDSS GECCO GEM GERAM GIS GLS GLUT4 GMAW GMCR GNSS GPA GPC GPRS GPS GPWS GP GRAI GRAS GRBF GSM GTAW GUI

general purpose interface bus goal-based scenario gross domestic product ground delay program graphic data system II group decision support system Genetic and Evolutionary Computation Conference generic equipment model generalized enterprise reference architecture and methodology geographic information system GNSS landing system activated Akt and PKCζ trigger glucose transporter gas metal arc welding graph model for conflict resolution global navigation satellite system generalized pursuit algorithm generalized predictive control general packet radio service global positioning system ground-proximity warning system genetic programming graphes de résultats et activités interreliés ground regional augmentation system Gaussian RBF global system for mobile communication gas tungsten arc welding graphic user interface

H HACCP HACT HAD HART HCI HCS HDD HEA HEFL HEP HERO HES HFDS HF HID HIS HITSP HIT HIV HJB

hazard analysis and critical control points human–automation collaboration taxonomy heterogeneous, autonomous, and distributed highway addressable remote transducer human–computer interaction host computer system hard-disk drive human error analysis hybrid electrode fluorescent lamp human error probability highway emergency response operator handling equipment scheduling Human Factors Design Standard high-frequency high-intensity discharge hospital information system Healthcare Information Technology Standards Panel healthcare information technology human immunodeficiency virus Hamilton–Jacobi–Bellman

List of Abbreviations

HL7 HMD HMI HMM HMS HOMO HPC HPLC HPSS HPWREN HP HRA HR HSE HSI HSMS HTN HTTP HUD HUL HVAC Hazop HiL

Health Level 7 helmet-mounted display human machine interface hidden Markov model hierarchical multilevel system highest occupied molecular orbital high-performance computing high-performance liquid chromatography High-Performance Storage System High-Performance Wireless Research and Education Network horsepower human reliability analysis human resources high speed Ethernet human system interface high-speed message standard hierarchical task network hypertext transfer protocol heads up display Harvard University Library heating, ventilation, air-conditioning hazardous operation hardware-in-the-loop

I i-awGA I(P)AD I/O IAMHS IAT IAT IB ICAO ICORR ICRA ICT IC IDEF IDL IDM ID ID IEC IFAC IFC IFF IFR

interactive adaptive-weight genetic algorithm intelligent (power) assisting device input/output integrated automated material handling system Institut Avtomatiki i Telemekhaniki interarrival time internet banking International Civil Aviation Organization International Conference on Rehabilitation Robotics International Conference on Robotics and Automation information and communication technology integrated circuit integrated definition method Interactive Data Language iterative design model identification instructional design International Electrotechnical Commission International Federation of Automatic Control industry foundation class identify friend or foe instrument flight rules

IGRT IGS IGVC IHE IIT IK ILS ILS IL IMC IMC IML IMM IMRT IMS IMT IMU INS IO IPA IPS IPv6 IP IP IP IP IP IRAF IRB IRD IROS IRR IRS1 IR ISA ISCIS iSCSI ISDN ISELLA ISIC/MED ISM ISO-OSI ISO ISO ISP ISS IS ITC ITS IT IVBSS

image-guided radiation therapy intended goal structure Intelligent Ground Vehicle Competition integrating the healthcare enterprise information interface technology inverse kinematics instrument landing system integrated library system instruction list instrument meteorological condition internal model controller inside mold line interactive multiple model intensity modulated radiotherapy infrastructure management service infotronics and mechatronics technology inertial measurement unit inertial navigation system inputoutput intelligent parking assist integrated pond system internet protocol version 6 inaction–penalty industrial protocol integer programming intellectual property internet protocol Image Reduction and Analysis Facility institutional review board interactive robotic device Intelligent Robots and Systems internal rate of return insulin receptor substrate-1 infrared instruction set architecture intra-supply-chain information system Internet small computer system interface integrated services digital network intrinsically safe lightweight low-cost arm Intelligent Control/Mediterranean Conference on Control and Automation industrial, scientific, and medical International Standards Organization Open System Interconnection International Organization for Standardization independent system operator internet service provider input-to-state stability information system information and communications technology intelligent transportation system information technology integrated vehicle-based safety system

LXVII

LXVIII

List of Abbreviations

IVI IV

Intelligent Vehicle Initiative intravenous

J J2EE JAUGS JCL JDBC JDEM JDL JIT JLR JPA JPDO JPL JSR-001 Java RTS Java SE JeLC

Java to Enterprise Edition joint architecture for unmanned ground system job control language Java database connectivity Joint Dark Energy Mission job description language just-in-time join/leave/remain job performance aid joint planning and development office Jet Propulsion Laboratory Java specification request Java real-time system Java standard runtime environment Japan e-Learning Consortium

K KADS KCL KCM KIF KISS KM KPI KQML KS KTA KVL KWMS

knowledge analysis and documentation system Kirchhoff’s current law knowledge chain management knowledge interchange format keep it simple system knowledge management key performance indicators knowledge query and manipulation language knowledge subsystem Kommissiya Telemekhaniki i Avtomatiki Kirchhoff’s voltage law Kerry warehouse management system

LD LEACH LED LEEPS LEO LES LFAD LF LHC LHD LIFO LIP LISI LISP LLWAS LMFD LMI LMPM LMS LNAV LOA LOCC LOC LOINC LOM LORANC LPV LP LQG LQR LQ LS/AMC LS/ATN

L LAAS LADARS LAN LA LBNL LBW LC/MS LCD LCG LCMS LCM LC LDW LDW

local-area augmentation system precision laser radar local-area network learning automata Lawrence Berkeley National Laboratory Laser beam welding liquid-chromatography mass spectroscopy liquid-crystal display LHC computing grid learning contents management system lane change/merge warning lean construction lane departure warning lateral drift warning system

LS/TS LSL LSST LSS LS LTI LUMO LUT LVAD LVDT

ladder diagram low-energy adaptive clustering hierarchy light-emitting diode low-energy electron point source Lyons Electronic Office logistic execution system light-vehicle module for LCM, FCW, arbitration, and DVI low-frequency Large Hadron Collider load–haul–dump last-in first-out learning information package levels of information systems interoperability list processing low-level wind-shear alert system left matrix fraction description linear matrix inequality link layer mapping protocol machine labor management system lateral navigation levels of automation lines of collaboration and command level of collaboration logical observation identifiers names and codes learning object metadata/learning object reference model long-range navigational system localizer performance with vertical guidance linear programming linear-quadratic-Gaussian linear quadratic regulator linear quadratic living systems autonomic machine control living systems adaptive transportation network Living Systems Technology Suite low-level switch Large Synoptic Survey Telescope large-scale complex system language subsystem linear time-invariant lowest unoccupied molecular orbital look-up table left ventricular assist device linear variable differential transformer

M m-SWCNT M/C M2M

metallic SWCNT machining center machine-to-machine

List of Abbreviations

MAC MADSN MAG MAN MAP MAP MAP MARC MARR MAS MAU MAV MBP MCC MCDU MCP MCP MCS MDF MDI MDP MDS MD MEMS MEN MERP/C MERP MES METU MFD MHA MHEM MHIA MH MIG MIMO MIP MIS MIS MIT MIT MKHC MLE MMS MMS MOC moGA MOL MOM MPAS MPA MPC

medium access control mobile-agent-based DSN metal active gas metropolitan area network manufacturing assembly pilot mean arterial pressure missed approach point machine-readable cataloging minimum acceptable rate of return multiagent system medium attachment unit micro air vehicle Manchester bus powered motor control center multiple control display unit mode control panel multichip package material control system medium-density fiber manual data input Markov decision process management decision system missing a detection micro-electromechanical system multienterprise network ERP e-learning by MBE simulations with collaboration Management Enterprise Resource Planning manufacturing execution system Middle East Technical University multifunction display material handling automation material handling equipment machine Material Handling Industry of America material handling metal inert gas multi-input multi-output mixed integer programming management information system minimally invasive surgery Massachusetts Institute of Technology miles in-trail manufacturing know-how and creativity maximum-likelihood estimation man–machine system material management system mine operation center multiobjective genetic algorithm middle of life message-oriented middleware manufacturing process automation system metabolic pathway analysis model-based predictive control

mPDPTW MPEG MPLS MPS MQIC MRI MRO MRPII MRPI MRP MRR MSAS MSAW MSA MSDS MSI MSL MTBF MTD MTE MTSAT MTTR MUX MVFH MV MWCNT MWKR McTMA Mcr MeDICIS MidFSN Mips M&S

multiple pick up and delivery problem with time windows Motion Pictures Expert Group multi protocol label switching master production schedule Medical Quality Improvement Consortium magnetic resonance imaging maintenance, repair, and operations material resource planning (2nd generation) material resource planning (1st generation) manufacturing resources planning material removal rate MTSAT satellite-based augmentation system minimum safe warning altitude microsensor array material safety data sheet multisensor integration mean sea level mean time between failure maximum tolerated dose minimum transmission energy multifunction transport satellite mean time to repair multiplexor minimum vector field histogram manipulated variables multi-walled carbon nanotube most work remaining multicenter traffic management advisor multi-approach to conflict resolution methodology for designing interenterprise cooperative information system middleware for facility sensor network million instructions per second metering and spacing

N NAE NAICS NASA NASC NAS NATO NBTI NCS NC NDB NDHA

National Academy of Engineering North American Industry Classification System National Aeronautics and Space Administration Naval Air Systems Command National Airspace System North Atlantic Treaty Organization negative-bias temperature instability networked control system numerical control nondirectional beacon National Digital Heritage Archive

LXIX

LXX

List of Abbreviations

NDI NDRC NEAT NEFUSER NEFUSER NEMA NEMS NERC NERSC NES NFC NHTSA NICU NIC NIR NISO NIST NLP NNI non RT NP NPC NPV NP NRE nsGA nsGA II NSS NS NURBS NYSE NaroSot NoC

nondestructive inspection National Defence Research Committee Near-Earth Asteroid Tracking Program neural-fuzzy system for error recovery neuro-fuzzy systems for error recovery National Electrical Manufacturers Association nanoelectromechanical system North American Electric Reliability Corporation National Energy Research Scientific Computing Center networked embedded system near field communication National Highway Traffic Safety Administration neonatal intensive care unit network interface card near-infrared National Information Standards Organization National Institute of Standards natural-language processing national nanotechnology initiative nonreal-time nondeterministic polynomial-time nanopore channel net present value nominal performance nonrecurring engineering nondominated sorting genetic algorithm nondominated sorting genetic algorithm II Federal Reserve National Settlement System nominal stability nonuniform rational B-splines New York Stock Exchange Nano Robot World Cup Soccer Tournament network on chip

O O.R. O/C OAC OAGIS OAI-PMH OASIS OBB OBEM OBS OBU

operations research open-circuit open architecture control open applications group open archieves initiative protocol for metadate harvesting Organization for the Advancement of Structured Information Standards oriented bounding box object-based equipment model on-board software onboard unit

OCLC ODBC ODE ODFI

Ohio College Library Center object database connectivity ordinary differential equation originating depository financial institution OECD Organization for Economic Cooperation and Development OEE overall equipment effectiveness OEM original equipment manufacturer OGSA open grid services architecture OHT overhead hoist transporter OHT overhead transport OLAP online analytical process OLE object linking and embedding OML outside mold line OMNI office wheelchair with high manoeuvrability and navigational intelligence OMS order managements system ONIX online information exchange OOAPD object-oriented analysis, design and programming OODB object-oriented database OOM object-oriented methodology OOOI on, out, off, in OOP object-oriented programming OO object-oriented OPAC online public access catalog OPC AE OPC alarms and events OPC XML-DA OPC extensible markup language (XML) data access OPC online process control OPM object–process methodology OQIS online quality information system ORF operating room of the future ORTS open real-time operating system OR operating room OR operation research OSHA Occupation Safety and Health Administration OSRD Office of Scientific Research and Development OSTP Office of Science and Technology Policy OS operating system OTS operator training systems OWL web ontology language

P P/D P/T PACS PAM PAM PAN

pickup/delivery place/transition picture archiving and communications system physical asset management pulse-amplitude modulation personal area network

List of Abbreviations

PARR PAT PAW PBL PBPK PCA PCBA PCB PCFG PCI PCR PC PDA PDC PDDL PDF pdf PDITC PDKM PDM PDSF PDT PD PECVD PEID PERA PERT/CPM PERT PET PE PFS PF PGP PHA PHERIS PHR PI3K PID PISA PI PKI PKM PK PLA PLC PLD PLM PMC PMF PM POMDP

problem analysis resolution and ranking process analytical technology plasma arc welding problem-based learning physiologically based pharmacokinetic principal component analysis printed circuit board assembly printed circuit board probabilistic context-free grammar Peripheral Component Interconnect polymerase chain reaction personal computer personal digital assistant predeparture clearance planning domain definition language probability distribution function probability distribution function 1,4-phenylene diisothiocyanate product data and knowledge management product data management Parallel Distributed Systems Facility photodynamic therapy pharmacodynamics plasma enhanced chemical vapor deposition product embedded information device Purdue enterprise reference architecture program evaluation and review technique/critical path method project evaluation and review technique positron emission tomography pulse echo precision freehand sculptor preference function pretty good privacy preliminary hazard analysis public-health emergency response information system personal healthcare record phosphatidylinositol-3-kinase proportional, integral, and derivative Program for International Student Assessment proportional–integral public-key infrastructure parallel kinematic machine pharmacokinetics programmable logic array programmable logic controller programmable logic device product lifecycle management process module controller positioning mobile with respect to fixed process module partially observable Markov decision process

POS point-of-sale PPFD photosynthetic photon flux density PPS problem processing subsystem PRC phase response curve PROFIBUS-DP process field bus–decentralized peripheral PROMETHEE preference ranking organization method for enrichment evaluation PR primary frequency PSAP public safety answering point PSC product services center PSF performance shaping factor PSH high-pressure switch PSK phase-shift keying PSM phase-shift mask PS price setting PTB German Physikalisch-Technische Bundesanstalt PTO power takeoff PTP point-to-point protocol PTS predetermined time standard PWM pulse-width-modulation PXI PCI extensions for instrumentation ProVAR professional vocational assistive robot Prolog programming in logics P&ID piping & instrumentation diagram

Q QAM QTI QoS

quadrature amplitude modulation question and test interoperability quality of service

R R.U.R. Rossum’s universal robots R/T mPDPSTW multiple pick up and delivery problem with soft time windows in real time RAID redundant array of independent disk RAID robot to assist the integration of the disabled RAIM receiver autonomous integrity monitoring rALB robot-based assembly line balancing RAM random-access memory RAP resource allocation protocol RAS recirculating aquaculture system RA resolution advisory RBC red blood cell RBF radial basis function rcPSP resource-constrained project scheduling problem RCP rapid control prototyping RCRBF raised-cosine RBF RC remote control RC repair center RDB relational database RDCS robust design computation system

LXXI

LXXII

List of Abbreviations

RDCW FOT RDCW RDF RET RE RFID RF RGB RGV RHC RHIO RIA RISC RIS RI RLG RLG RMFD RMS RMS RMS RM RNAV RNA RNG RNP ROBCAD ROI ROM ROT ROV RO RPC RPM RPN RPS RPTS RPU RPV RPW RP RRT RSEW RSW RS RT DMP RT-CORBA RTA RTDP RTD RTE RTK GPS RTL RTM RTM

Road Departure Crash Warning System Field Operational Test road departure crash warning resource description framework resolution enhancement technique random environment radiofrequency identification radiofrequency red–green–blue rail-guided vehicle receding horizon control regional health information organization Robotics Industries Association reduced instruction set computer real information system reward–inaction Research Libraries Group ring-laser-gyro right matrix fraction description reconfigurable manufacturing systems reliability, maintainability, and safety root-mean-square real manufacturing area navigation ribonucleic acid random-number generator required navigation performance robotics computer aided design return on investment range-of-motion runway occupancy time remotely operated underwater vehicle read only remote procedure call revolutions per minute risk priority number real and physical system robot predetermined time standard radar processing unit remotely piloted vehicle ranked positioned weight reward–penalty rapidly exploring random tree resistance seam welding resistance spot welding robust stability real-time decision-making processes real-time CORBA required time of arrival real-time dynamic programming resistance temperature detector real-time Ethernet real-time kinematic GPS register transfer level resin transfer molding robot time & motion method

RTOS RTO RTO RTSJ RT RT rwGA RW RZPR RZQS Recon R&D

real-time operating system real-time optimization regional transmission organization real-time specification for Java radiotherapy register transfer random-weight genetic algorithm read/write power reserve quick-start reserve retrospective conversion research and development

S s-SWCNT S/C SACG SADT SAGA

sALB SAM SAM SAN SAO SAW SA SBAS SBIR SBML SCADA SCARA SCC SCM SCNM SCN SCORM SCST SDH SDSL SDSS SDSS SDS SDT SECS SEC SEER SEI SELA SEMI

semiconducting MWCNT short-circuit Stochastic Adaptive Control Group structured analysis and design technique Standards und Architekturen für e-Government-Anwendungen – standards and architectures for e-Government applications simple assembly line balancing self-assembled monolayer software asset management storage area network Smithsonian Astrophysical Observatory submerged arc welding situation awareness satellite-based augmentation system small business innovation research system biology markup language supervisory control and data acquisition selective compliant robot arm somatic cell count supply chain management slot communication network management suprachiasmatic nucleus sharable content object reference model source-channel separation theorem synchronous digital hierarchy symmetrical digital subscriber line Sloan Digital Sky Survey II spatial decision support system sequential dynamic system signal detection theory semiconductor equipment communication standard Securities and Exchange Comission surveillance, epidemiology, and end result Software Engineering Institute stochastic estimator learning algorithm Semiconductor Equipment and Material International

List of Abbreviations

SEM SEM SESAR SESS SFC SFC SHMPC

scanning electron microscopy strategic enterprise management Single European Sky ATM research steady and earliest starting schedule sequential function chart space-filling curve shrinking horizon model predictive control SIFT scale-invariant feature transform SIL safety integrity level SIM single input module SISO single-input single-output SIS safety interlock system SKU stock keeping unit SLAM simultaneous localization and mapping technique SLA service-level agreement SLIM-MAUD success likelihood index method-multiattribute utility decomposition SLP storage locations planning SL sensitivity level SMART Shimizu manufacturing system by advanced robotics technology SMAW shielded metal arc welding SMA shape-memory alloys SMC sequential Monte Carlo SME small and medium-sized enterprises SMIF standard mechanical interface SMS short message service SMTP simple mail transfer protocol SMT surface-mounting technology SNA structural network analysis SNIFS Supernova Integral Field Spectrograph SNLS Supernova Legacy Survey SNOMED systematized nomenclature of medicine SNfactory Nearby Supernova Factory SN supernova SOAP simple object access protocol SOA service-oriented architecture SOC system operating characteristic SOI silicon-on-insulator SONAR sound navigation and ranging SO system operator SPC statistical process control SPF/DB superplastic forming/diffusion bonding SPF super plastic forming SPIN sensor protocol for information via negotiation SPI share price index SQL structured query language SRAM static random access memory SRI Stanford Research Institute SRL science research laboratory SRM supplier relationship management

SSADM SSA ssDNA SSH SSL SSO SSR SSSI SSV SS STARS STAR STA STCU STEM STM STTPS ST SUV SVM SVS SV SWCNT SWP SW SaaS ServSim SiL Smac SoC SoD SoS SoTL Sunfall spEA SysML

structured systems analysis and design method stochastic simulation algorithm single-strand DNA secure shell secure sockets layer single sign-on secondary surveillance radar single-sensor, single-instrument standard service volume speed-sprayer standard terminal automation replacement system standard terminal arrival route static timing analysis SmallTown Credit Union science, technology, engineering, and mathematics scanning tunneling microscope single-truss tomato production system structured text sports utility vehicle support vector machine synthetic vision system stroke volume single-walled carbon nanotube single-wafer processing stroke work software as a service maintenance service simulator software-in-the-loop second mitochondrial-activator caspase system-on-chip services-on-demand systems of systems scholarship of teaching and learning Supernova Factory Assembly Line strength Pareto evolutionary algorithm systems modeling language

T TACAN TALplanner TAP TAR TA TB TCAD TCAS TCP/IP TCP TCS TDMA

tactical air navigation temporal action logic planner task administration protocol task allocation ratio traffic advisory terabytes technology computer-aided design traffic collision avoidance system transmission control protocol/internet protocol transmission control protocol telescope control system time-division multiple access

LXXIII

LXXIV

List of Abbreviations

TEAMS TEG TEM TER TFM THERP THR THW TIE/A TIE/MEMS TIE/P TIF TIG TIMC TLBP TLPlan TLX TMA TMC TMC TMS TMU TOP TO TPN TPS TPS TRACON TRIPS TRV TSCM TSE TSMP TSTP TTC TTF TTR TTU TU TV TestLAN

testability engineering and maintenance system timed event graph transmission electron microscope tele-ultrasonic examination traffic flow management technique for human error rate prediction total hip replacement time headway teamwork integration evaluator/agent teamwork integration evaluator/MEMS teamwork integration evaluator/protocol data information forwarding tungsten inert gas techniques for biomedical engineering and complexity management transfer line balancing problem temporal logic planner task load index traffic management advisor traffic management center transport module controller transportation management system traffic management unit time-out protocol teleoperator trading process network throttle position sensor transaction processing system terminal radar approach control trade related aspects of intellectual property rights total removal volume thin-seam continuous mining total system error time synchronized mesh protocol transportation security training portal time-to-collision time to failure time to repair through transmission ultrasound transcriptional unit television testers local area network

UDDI UDP UEML

UMTS UN/CEFACT UN UPC UPMC UPS URET URL URM UR USB USC UTLAS UT UV UWB

universal access transceiver unmanned aerial vehicle unconnected message manager Union for the Co-ordination of Transmission of Electricity universal description, discovery, and integration user datagram protocol unified enterprise modeling language

user generated content ultrahigh-frequency user interface University of Michigan digital library universal modeling language universal mobile telecommunications system universal mobile telecommunications system United Nations Centre for Trade Facilitation and Electronic Business United Nations universal product code University of Pittsburgh Medical Center uninterruptible power supply user request evaluation tool uniform resource locator unified resource management universal relay universal serial bus University of Southern California University of Toronto Library Automation System ultrasonic testing ultraviolet ultra wire band

V VAN-AP VAN VAN VAV VCR VCT VDL VDU veGA VE VFD VFEI VFR VHDL VHF VICS

U UAT UAV UCMM UCTE

UGC UHF UI UMDL UML UMTS

VII VIS VLSI VMEbus VMIS VMI VMM VMT VM

VAN access point value-added network virtual automation network variable-air-volume video cassette recorder virtual cluster tool VHF digital link visual display unit vector evaluated genetic algorithm virtual environment variable-frequency drive virtual factory equipment interface visual flight rule very high speed integrated circuit hardware description language very high-frequency vehicle information and communication system vehicle infrastructure integration virtual information system very-large-scale integration versa module eurobus virtual machining and inspection system vendor-managed inventory virtual machine monitor vehicle miles of travel virtual machine

List of Abbreviations

VM VNAV VNC VOD VORTAC VOR VPS VP VRP VR VSG VSP VSSA VTLS VTW VTx VoD

virtual manufacturing vertical navigation virtual network computing virtual-object-destination VOR tactical air navigation VHF omnidirectional range virtual physical system virtual prototyping vehicle routing problem virtual reality virtual service-oriented environment vehicle scheduling problem variable structure stochastic automata Virginia Tech library system virtual training workshop virtualization technology video on demand

W W/WL WAAS WAN WASCOR WBI WBS WBT WCDMA WFMS WI-Max WIM WIP WISA WLAN

wired/wireless wide-area augmentation system wide area network WASeda construction robot wafer burn-in work breakdown structure web-based training wideband code division multiple access workflow management system worldwide interoperability for microwave access World-In-Miniatur work-in-progress wireless interface for sensors and actuator wireless local area network

WLN WL WMS WMX WORM WPAN WSA WSDL WSN WS WTO WWII WWW WfMS Wi-Fi

Washington Library Network wireless LAN warehouse management system weight mapping crossover write once and read many wireless personal area network work safety analysis web services description language wireless sensor network wage setting World Trade Organization world war 2 World Wide Web workflow management system wireless fidelity

X XIAP XML XSLT XöV

X-linked inhibitor of apoptosis protein extensible mark-up language extensible stylesheet language transformation XML for public administration

Y Y2K YAG ZDO

year-2000 Nd:yttrium–aluminum–garnet Zigbee device object

Z ZVEI

Zentralverband Elektrotechnik- und Elektronikindustrie e.V.

LXXV

1

Part A

Developm Part A Development and Impacts of Automation

1 Advances in Robotics and Automation: Historical Perspectives Yukio Hasegawa, Tokyo, Japan 2 Advances in Industrial Automation: Historical Perspectives Theodore J. Williams, West Lafayette, USA 3 Automation: What It Means to Us Around the World Shimon Y. Nof, West Lafayette, USA 4 A History of Automatic Control Christopher Bissell, Milton Keynes, UK 5 Social, Organizational, and Individual Impacts of Automation Tibor Vámos, Budapest, Hungary 6 Economic Aspects of Automation Piercarlo Ravazzi, Torino, Italy Agostino Villa, Torino, Italy 7 Impacts of Automation on Precision Alkan Donmez, Gaithersburg, USA Johannes A. Soons, Gaithersburg, USA 8 Trends in Automation Peter Terwiesch, Zurich, Switzerland Christopher Ganz, Baden, Switzerland

2

Development and Impacts of Automation. Part A The first part lays the conceptual foundations for the whole Handbook by explaining basic definitions of automation, its scope, its impacts and its meaning, from the views of prominent automation pioneers to a survey of concepts and applications around the world. The scope, evolution and development of automation are reviewed with illustrations, from prehistory throughout its development before and after the emergence of automatic control, during the Industrial Revolution, along the advancements in computing and communication, with and without robotics, and projections about the future of automation. Chapters in this part explain the significant influence of automation on our life: on individuals, organizations, and society; in economic terms and context; and impacts of precision, accuracy and reliability with automatic and automated equipment and operations.

3

Yukio Hasegawa

Historical perspectives are given about the impressive progress in automation. Automation, including robotics, has evolved by becoming useful and affordable. Methods have been developed to analyze and design better automation, and those methods have also been automated. The

The bodies of human beings are smaller than those of wild animals. Our muscles, bones, and nails are smaller and weaker. However, human beings, fortunately, have larger brains and wisdom. Humans initially learned how to use tools and then started using machines to perform necessary daily operations. Without the help of these tools or machines we, as human beings, can no longer support our daily life normally. Technology is making progress at an extremely high speed; for instance, about half a century ago I bought a camera for my own use; at that time, the price of a conventional German-made camera was very high, as much as 6 months income. However, the price of a similar quality camera now is the equivalent of only 2 weeks of the salary of a young person in Japan. Seiko Corporation started production and sales of the world’s first quartz watch in Japan about 40 years ago. At that time, the price of the watch was about 400 000 Yen. People used to tell me that such highpriced watches could only be purchased by a limited group of people with high incomes, such as airline pilots, company owners, etc. Today similar watches are sold in supermarkets for only 1000 Yen. Furthermore, nowadays, we are moving towards the automation of information handling by using computers; for instance, at many railway stations, it is now common to see unmanned ticket consoles. Telephone exchanges have become completely automated and the cost to use telephone systems is now very low. In recent years, robots have become commonplace for aiding in many different environments. Robots are

References ..................................................

4

most important issue in automation to make every effort to paying attention to all the details.

machines which carry out motions and information handling automatically. In the 1970s I was asked to start conducting research on robots. One day, I was asked by the management of a Japanese company that wanted to start the sales of robots to determine whether such robots could be used in Japan. After analyzing robot motions by using a high-speed film analysis system, I reached the conclusion that the robot could be used both in Japan as well as in the USA. After that work I developed a new motion analysis method named the robot predetermined time standard (RPTS). The RPTS method can be widely applied to robot operation system design and contributed to many robot operation system design projects. In the USA, since the beginning of the last century, a lot of pioneers in human operation rationalization have made significant contributions. In 1911, Frederik Tailor proposed the scientific management method, which was later reviewed by the American Congress. Prof. Gilbreth of Purdue University developed the new motion analysis method, and contributed to the rationalization of human operations. Mr. Dancan of WOFAC Corporation proposed a human predetermined time standard (PTS) method, which was applied to human operation rationalizations worldwide. In the robotic field, those contributions are only part of the solution, and people have understood that mechanical and control engineering are additionally important aspects. Therefore, analysis of human operations in robotic fields are combined with more analysis, design, and rationalization [1.1]. However, human op-

Part A 1

Advances in R 1. Advances in Robotics and Automation: Historical Perspectives

4

Part A

Development and Impacts of Automation

Part A 1

erators play a very challenging role in operations. Therefore, study of the work involved is more important than the robot itself and I believe that industrial engineering is going to become increasingly important in the future [1.2]. Prof. Nof developed RTM, the robot time & motion computational method, which was applied in robot selection and program improvements, including mobile robots. Such techniques were then incorporated in ROBCAD, a computer aided design system to automate the design and implementation of robot installations and applications. A number of years ago I had the opportunity to visit the USA to attend an international robot symposium. At that time the principle of “no hands in dies” was a big topic in America due to a serious problem with guaranteeing the safety of metal-stamping operations. People involved in the safety of metal-stamping operations could not decrease the accident rate in spite of their increasing efforts. The government decided that a new policy to fully automate stamping operations or to use additional devices to hold and place workpieces without inserting operators’ hands between dies was needed. The decision was lauded by many stamping robot manufacturers. Many expected that about 50 000 pieces of stamping robots would be sold in the American market in a few years. At that time 700 000 stamping presses were used in the USA. In Japan, the forecast figure was modified to 20 000 pieces. The figure was not small and therefore we immediately organized a stamping robot development project team with government financial support. The project team was composed of

ten people: three robot engineers, two stamping engineers, a stamping technology consultant, and four students. I also invited an expert who had previously been in charge of stamping robot development projects in Japan. A few years later, sales of stamping robots started, with very good sales (over 400 robots were sold in a few years). However, the robots could not be used and were rather stored as inactive machines. I asked the person in charge for the reason for this failure and was told that designers had concentrated too much on the robot hardware development and overlooked analysis of the conditions of the stamping operations. Afterwards, our project team analyzed the working conditions of the stamping operations very carefully and classified them into 128 types. Finally the project team developed an operation analysis method for metal-stamping operations. In a few years, fortunately, by applying the method we were able to decrease the rate of metalstamping operation accidents from 12 000 per year to fewer than 4000 per year. Besides metal-stamping operations, we worked on research projects for forgings and castings to promote labor welfare. Through those research endeavors we reached the conclusion that careful analysis of the operation is the most important issue for obtaining good results in the case of any type of operations [1.3]. I believe, from my experience, that the most important issue – not only in robot engineering but in all automation – is to make every effort to paying attention to all the details.

References 1.1

1.2

Y. Hasegawa: Analysis of complicated operations for robotization, SME Paper No. MS79-287 (1979) Y. Hasegawa: Evaluation and economic justification. In: Handbook of Industrial Robotics, ed.

1.3

by S.Y. Nof (Wiley, New York 1985) pp. 665– 687 Y. Hasegawa: Analysis and classification of industrial robot characteristics, Ind. Robot Int. J. 1(3), 106–111 (1974)

5

Advances in I 2. Advances in Industrial Automation: Historical Perspectives

Automation is a way for humans to extend the capability of their tools and machines. Selfoperation by tools and machines requires four functions: Performance detection; process correction; adjustments due to disturbances; enabling the previous three functions without human intervention. Development of these functions evolved in history, and automation is the capability of causing machines to carry out a specific operation on command from external source. In chemical manufacturing and petroleum industries prior to 1940, most processing was in batch environment. The increasing demand for chemical and petroleum products by World War II and thereafter required different manufacturing setup, leading to continuous processing and efficiencies were achieved by automatic control and automation of process, flow and transfer. The increasing complexity of the control system for large plants necessitated appli-

Humans have always sought to increase the capability of their tools and their extensions, i. e., machines. A natural extension of this dream was making tools capable of self-operation in order to: 1. Detect when performance was not achieving the initial expected result 2. Initiate a correction in operation to return the process to its expected result in case of deviation from expected performance 3. Adjust ongoing operations to increase the machine’s productivity in terms of (a) volume, (b) dimensional accuracy, (c) overall product quality or (d) ability to respond to a new previously unknown disturbance 4. Carry out the previously described functions without human intervention. Item 1 was readily achieved through the development of sensors that could continuously or periodically

References ..................................................

11

cations of computers, which were introduced to the chemical industry in the 1960s. Automation has substituted computer-based control systems for most, if not all, control systems previously based on human-aided mechanical or pneumatic systems to the point that chemical and petroleum plant systems are now fully automatic to a very high degree. In addition, automation has replaced human effort, eliminates significant labor costs, and prevents accidents and injuries that might occur. The Purdue enterprise reference architecture (PERA) for hierarchical control structure, the hierarchy of personnel tasks, and plant operational management structure, as developed for large industrial plants, and a frameworks for automation studies are also illustrated.

measure the important variables of the process and signal the occurrence of variations in them. Item 2 was made possible next by the invention of controllers that convert knowledge of such variations into commands required to change operational variables and thereby return to the required operational results. The successful operation of any commercially viable process requires the solution of items 1 and 2. The development of item 3 required an additional level of intelligence beyond items 1 and 2, i. e., the capability to make a comparison between the results achieved and the operating conditions used for a series of tests. Humans can, of course, readily perform this task. Accomplishing this task using a machine, however, requires the computational capability to compare successive sets of data, gather and interpret corrective results, and be able to apply the results obtained. For a few variables with known variations, this can be in-

Part A 2

Theodore J. Williams

Part A

Development and Impacts of Automation

Part A 2

corporated into the controller’s design. However, for a large number of variables or when possible unknown ranges of responses may be present, a computer must be available. Automation is the capability of causing a machine to carry out a specific operation on command from an external source. The nature of these operations may also be part of the external command received. The devise involved may likewise have the capability to respond to other external environmental conditions or signals when such responses are incorporated within its capabilities. Automation, in the sense used almost universally today in the chemical and petroleum industries, is taken to mean the complete or near-complete operation of chemical plants and petroleum refineries by digital computer

systems. This operation entails not only the monitoring and control of multiple flows of materials involved but also the coordination and optimization of these controls to achieve optimal production rate and/or the economic return desired by management. These systems are programmed to compensate, as far as the plant equipment itself will allow, for changes in raw material characteristics and availability and requested product flow rates and qualities. In the early days of the chemical manufacturing and petroleum industries (prior to 1940), most processing was carried out in a batch environment. The needed ingredients were added together in a kettle and processed until the reaction or other desired action was completed. The desired product(s) were then sep-

Level 4b

Scheduling and control hierarchy

6

Management data presentation (Level 4)

Management information

Sales orders

Level 4a Operational and production supervision

Production scheduling and operational management

(Level 3)

Supervisor's console

Intra-area coordination

Communications with other supervisory systems

(Level 2)

Supervisor's console

Supervisory control

Communications with other control systems

Operator's console

Direct digital control

Communications with other areas

(Level 1) Specialized dedicated digital controllers

Process

(Level 0)

All physical, chemical or spatial transformations

Fig. 2.1 The Purdue enterprise

reference architecture (PERA). Hierarchical computer control structure for an industrial plant [2.1]

Advances in Industrial Automation: Historical Perspectives

the process train was then always used for the same operational stage, the formerly repeated filling, reacting, emptying, and cleaning operations in every piece of equipment was now eliminated. This was obviously much more efficient in terms of equipment usage. This type of operation, now called continuous processing, is in contrast to the earlier batch processing mode. However, the coordination of the now simultaneous operations connected together required much more accurate control of both operations to avoid the transmission of processing errors or upsets to downstream equipment. Fortunately, our basic knowledge of the inherent chemical and physical properties of these processes had also advanced along with the development of the needed equipment and now allows us to adopt methodologies for assessing the quality and state of these processes during their operation, i. e., degree of completion, etc. Likewise, also fortunately, our basic knowledge of the technology of automatic control and its implementing equipment advanced along with knowledge of the

Level

Output

Task

Corporate officer

Strategy

Define and modify objectives

Division manager

Plans

Implement objective

Plant manager

Operations management

Operations control

Control

Supervisory control

Departement manager

Foreman

Operator

Sensors

Observer

Direct control

Outside communications

Fig. 2.2 Personnel task hierarchy in

a large manufacturing plant

Part A 2

arated from the byproducts and unreacted materials by decanting, distilling, filtering or other applicable physical means. These latter operations are thus in contrast to the generally chemical processes of product formation. At that early time, the equipment and their accompanying methodologies were highly manpower dependent, particularly for those requiring coordination of the joint operation of related equipment, especially when succeeding steps involved transferring materials to different sets or types of equipment. The strong demand for chemical and petroleum products generated by World War II and the following years of prosperity and rapid commercial growth required an entirely different manufacturing equipment setup. This led to the emergence of continuous processes where subsequent processes were continued in successive connected pieces of equipment, each devoted to a separate setup in the process. Thus a progression in distance to the succeeding equipment (rather than in time, in the same equipment) was now necessary. Since any specific piece of equipment or location in

7

8

Part A

Development and Impacts of Automation

Part A 2

pneumatic and electronic techniques used to implement them. Pneumatic technology for the necessary control equipment was used almost exclusively from the original development of the technique to the 1920s until its replacement by the rapidly developing electronic techniques in the 1930s. This advanced type of equipment became almost totally electronic after the development of solid-state electronic technologies as the next advances. Pneumatic techniques were then used only where severe fire or explosive conditions prevented the use of electronics. The overall complexity of the control systems for large plants made them objects for the consideration of the use of computers almost as soon as the early digital computers became practical and affordable. The

first computers for chemical plant and refinery control were installed in 1960, and they became quite prevalent by 1965. By now, computers are widely used in all large plant operations and in most small ones as well. If automation can be defined as the substitution of computer-based control systems for most, if not all, control systems previously based on human-aided mechanical or pneumatic systems, then for chemical and petroleum plant systems, we can now truly say that they are fully automated, to a very high degree. As indicated above, a most desired byproduct of the automation of chemical and petroleum refining processes must be the replacement of human effort: first in directly handling the frequently dangerous chemical ingredients in the initiation of the process; second, that

Level 4b

Company or plant general management and staff (Level 4) Level 4a

Plant operational management and staff

Communications with other areas

(Level 3)

Area operational management and staff

Communications with other supervisory levels

(Level 2)

Unit operational management and staff

Communications with other control level systems

(Level 1)

Direct process operations

(Hardware only)

Physical communication with plant processes

Process

Fig. 2.3 Plant operational manage-

ment hierarchical structure

Advances in Industrial Automation: Historical Perspectives

Concept phase

1

3

4

5

6

7

8

9

Functional design

2

10

11

12

Detailed design

Definition phase Operations phase

Construction and installation phase

Design phase

Functional view Implementation view

practices and the product distribution methodologies of these plants. Many are now connected directly to the raw material sources and their customers by pipelines, thus totally eliminating special raw material and product handling and packaging. Again, computers are widely used in the scheduling, monitoring, and controlling of all operations involved here. Finally, it has been noted that there is a hierarchical relationship between the control of the industrial process plant unit automatic control systems and the duties of the successive levels of management in a large industrial plant from company management down to the final plant control actions [2.2–13]. It has also been shown that all actions normally taken by intermedi-

13

14

15

16

17

18

19

20

21

Fig. 2.4 Abbreviated sketch to represent the structure of the Purdue enterprise reference architecture

Part A 2

of personally monitoring and controlling the carrying out and completion of these processes; and finally that of handling the resulting products. This omits the expenses involved in the employment of personnel for carrying out these tasks, and also prevents unnecessary accidents and injuries that might occur there. The staff at chemical plants and petroleum refineries has thus been dramatically decreased in recent years. In many locations this involves only a watchman role and an emergency maintenance function. This capability has further resulted in even further improvements in overall plant design to take full advantage of this new capability – a synergy effect. This synergy effect was next felt in the automation of the raw material acceptance

9

10

Part A

Development and Impacts of Automation

Table 2.1 Areas of interest for the architecture framework addressing development and implementation aids for automa-

tion studies (Fig. 2.4)

Part A 2

Area

Subjects of concern

1 2 3 4

Mission, vision and values of the company, operational philosophies, mandates, etc. Operational policies related to the information architecture and its implementation Operational strategies and goals related to the manufacturing architecture and its implementation Requirements for the implementation of the information architecture to carry out the operational policies of the company Requirements for physical production of the products or services to be generated by the company Sets of tasks, function modules, and macrofunction modules required to carry out the requirements of the information architecture Sets of production tasks, function modules, and macrofunctions required to carry out the manufacturing or service production mission of the company Connectivity diagrams of the tasks, function modules, and macrofunction modules of the information network, probably in the form of data flow diagrams or related modeling methods Process flow diagrams showing the connectivity of the tasks, function modules, and macrofunctions of the manufacturing processes involved Functional design of the information systems architecture Functional design of the human and organizational architecture Functional design of the manufacturing equipment architecture Detailed design of the equipment and software of the information systems architecture Detailed design of the task assignments, skills development training courses, and organizations of the human and organizational architecture Detailed design of components, processes, and equipment of the manufacturing equipment architecture Construction, check-out, and commissioning of the equipment and software of the information systems architecture Implementation of organizational development, training courses, and online skill practice for the human and organizational architecture Construction, check-out, and commissioning of the equipment and processes of the manufacturing equipment architecture Operation of the information and control system of the information systems architecture including its continued improvement Continued organizational development and skill and human relations development training of the human and organizational architecture Continued improvement of process and equipment operating conditions to increase quality and productivity, and to reduce costs involved for the manufacturing equipment architecture

5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21

ary plant staff in this hierarchy can be formulated into a computer-readable form for all operations that do not involve innovation or other problem-solving actions by plant staff. Figures 2.1–2.4 (with Table 2.1) illustrate this hierarchical structure and its components. See more

on the history of automation and control in Chaps. 3 and 4; see further details on process industry automation in Chap. 31; on complex systems automation in Chap. 36; and on automation architecture for interoperability in Chap. 86.

Advances in Industrial Automation: Historical Perspectives

References

11

References 2.1

2.2

2.4

2.5 2.6

2.7

2.8 2.9

2.10

2.11

2.12 2.13

Workshop Industrial Computer Systems (Instrument Society of America, Pittsburgh 1989) T.J. Williams: The Use of Digital Computers in Process Control (Instrument Society of America, Pittsburgh 1984) p. 384 T.J. Williams: 20 years of computer control, Can. Control. Instrum. 16(12), 25 (1977) T.J. Williams: Two decades of change: a review of the 20-year history of computer control, Can. Control. Instrum. 16(9), 35–37 (1977) T.J. Williams: Trends in the development of process control computer systems, J. Qual. Technol. 8(2), 63– 73 (1976) T.J. Williams: Applied digital control – some comments on history, present status and foreseen trends for the future, Adv. Instrum., Proc. 25th Annual ISA Conf. (1970) p. 1 T.J. Williams: Computers and process control, Ind. Eng. Chem. 62(2), 28–40 (1970) T.J. Williams: The coming years... The era of computing control, Instrum. Technol. 17(1), 57–63 (1970)

Part A 2

2.3

T.J. Williams: The Purdue Enterprise Reference Architecture (Instrument Society of America, Pittsburgh 1992) H. Li, T.J. Williams: Interface design for the Purdue Enterprise Reference Architecture (PERA) and methodology in e-Work, Prod. Plan. Control 14(8), 704–719 (2003) G.A. Rathwell, T.J. Williams: Use of Purdue Reference Architecture and Methodology in Industry (the Fluor Daniel Example). In: Modeling and Methodologies for Enterprise Integration, ed. by P. Bernus, L. Nemes (Chapman Hall, London 1996) T.J. Williams, P. Bernus, J. Brosvic, D. Chen, G. Doumeingts, L. Nemes, J.L. Nevins, B. Vallespir, J. Vliestra, D. Zoetekouw: Architectures for integrating manufacturing activities and enterprises, Control Eng. Pract. 2(6), 939–960 (1994) T.J. Williams: One view of the future of industrial control, Eng. Pract. 1(3), 423–433 (1993) T.J. Williams: A reference model for computer integrated manufacturing (CIM). In: Int. Purdue

“This page left intentionally blank.”

13

Automation: 3. Automation: What It Means to Us Around the World

Shimon Y. Nof

3.1

3.2

The Meaning of Automation .................. 3.1.1 Definitions and Formalism ............ 3.1.2 Robotics and Automation .............. 3.1.3 Early Automation ......................... 3.1.4 Industrial Revolution .................... 3.1.5 Modern Automation ..................... 3.1.6 Domains of Automation ................

14 14 19 22 22 23 24

Brief History of Automation ................... 3.2.1 First Generation: Before Automatic Control (BAC).......

26 26

3.2.2 Second Generation: Before Computer Control (BCC)........ 3.2.3 Third Generation: Automatic Computer Control (ACC)... 3.3

3.4

3.5

Automation Cases ................................. 3.3.1 Case A: Steam Turbine Governor (Fig. 3.4) ... 3.3.2 Case B: Bioreactor (Fig. 3.5) ...................... 3.3.3 Case C: Digital Photo Processing (Fig. 3.6) ... 3.3.4 Case D: Robotic Painting (Fig. 3.7) .............. 3.3.5 Case E: Assembly Automation (Fig. 3.8) ...... 3.3.6 Case F: Computer-Integrated Elevator Production (Fig. 3.9) ......... 3.3.7 Case G: Water Treatment (Fig. 3.10) ............ 3.3.8 Case H: Digital Document Workflow (Fig. 3.11) ....................... 3.3.9 Case I: Ship Building Automation (Fig. 3.12) ................... 3.3.10 Case J: Energy Power Substation Automation (Fig. 3.13) ................... Flexibility, Degrees, and Levels of Automation...................... 3.4.1 Degree of Automation................... 3.4.2 Levels of Automation, Intelligence, and Human Variability .................. Worldwide Surveys: What Does Automation Mean to People? ................. 3.5.1 How Do We Define Automation? ..... 3.5.2 When and Where Did We Encounter Automation First in Our Life? ......... 3.5.3 What Do We Think Is the Major Impact/Contribution of Automation to Humankind?.......

26 27 28 28 28 29 29 31 31 31 31 32 33

39 39 41

43 45 47

47

Part A 3

The meaning of the term automation is reviewed through its definition and related definitions, historical evolution, technological progress, benefits and risks, and domains and levels of applications. A survey of 331 people around the world adds insights to the current meaning of automation to people, with regard to: What is your definition of automation? Where did you encounter automation first in your life? and What is the most important contribution of automation to society? The survey respondents include 12 main aspects of the definition in their responses; 62 main types of first automation encounter; and 37 types of impacts, mostly benefits but also two benefit–risks combinations: replacing humans, and humans’ inability to complete tasks by themselves. The most exciting contribution of automation found in the survey was to encourage/inspire creative work; inspire newer solutions. Minor variations were found in different regions of the world. Responses about the first automation encounter are somewhat related to the age of the respondent, e.g., pneumatic versus digital control, and to urban versus farming childhood environment. The chapter concludes with several emerging trends in bioinspired automation, collaborative control and automation, and risks to anticipate and eliminate.

14

Part A

Development and Impacts of Automation

3.6 Emerging Trends .................................. 3.6.1 Automation Trends of the 20th and 21st Centuries ........ 3.6.2 Bioautomation ............................ 3.6.3 Collaborative Control Theory and e-Collaboration ..................... 3.6.4 Risks of Automation .....................

47 48 48 49 50

3.7

3.6.5 Need for Dependability, Survivability, Security, and Continuity of Operation ..........

50

Conclusion ...........................................

51

3.8 Further Reading ...................................

51

References ..................................................

52

3.1 The Meaning of Automation Part A 3.1

What is the meaning of automation? When discussing this term and concept with many colleagues, leading experts in various aspects of automation, control theory, robotics engineering, and computer science during the development of this Handbook of Automation, many of them had different definitions; they even argued vehemently that in their language, or their region of the world, or their professional domain, automation has a unique meaning and we are not sure it is the same meaning for other experts. But there has been no doubt, no confusion, and no hesitation that automation is powerful; it has tremendous and amazing impact on civilization, on humanity, and it may carry risks. So what is automation? This chapter introduces the meaning and definition of automation, at an introductory, overview level. Specific details and more theoretical definitions are further explained and illustrated throughout the following parts and chapters of this handbook. A survey of 331 participants from around the world was conducted and is presented in Sect. 3.5.

3.1.1 Definitions and Formalism Automation, in general, implies operating or acting, or self-regulating, independently, without human inter-

Automation = Platform • • • • •

Machine Tool Device Installation System

Autonomy • • • • •

Organization Process control Automatic control Intelligence Collaboration

Process • Action • Operation • Function

Power source

Fig. 3.1 Automation formalism. Automation comprises four basic

elements. See representative illustrations of platforms, autonomy, process, and power source in Tables 3.1–3.2, 3.6, and the automation cases below, in Sect. 3.3

vention. The term evolves from automatos, in Greek, meaning acting by itself, or by its own will, or spontaneously. Automation involves machines, tools, devices, installations, and systems that are all platforms developed by humans to perform a given set of activities without human involvement during those activities. But there are many variations of this definition. For instance, before modern automation (specifically defined in the modern context since about 1950s), mechanization was a common version of automation. When automatic control was added to mechanization as an intelligence feature, the distinction and advantages of automation became clear. In this chapter, we review these related definitions and their evolvement, and survey how people around the world perceive automation. Examples of automation are described, including ancient to early examples in Table 3.1, examples from the Industrial Revolution in Table 3.3, and modern and emerging examples in Table 3.4. From the general definition of automation, the automation formalism is presented in Fig. 3.1 with four main elements: platform, autonomy, process, and power source. Automation platforms are illustrated in Table 3.2. This automation formalism can help us review some early examples that may also fall under the definition of automation (before the term automation was even coined), and differentiate from related terms, such as mechanization, cybernetics, artificial intelligence, and robotics. Automaton An automaton (plural: automata, or automatons) is an autonomous machine that contains its own power source and can perform without human intervention a complicated series of decisions and actions, in response to programs and external stimuli. Since the term automaton is used for a specific autonomous machine, tool or device, it usually does not include automation platforms such as automation infrastructure, automatic installations, or automation systems such as automation

Automation: What It Means to Us Around the World

3.1 The Meaning of Automation

15

Table 3.1 Automation examples: ancient to early history

Autonomous action/ function

Autonomy: control/ intelligence

Power source

Replacing

Process without human intervention

1.

Irrigation channels

Direct, regulate water flow

From-to, on-off gates, predetermined

Gravity

Manual watering

Water flow and directions

2.

Water supply by aqueducts over large distances

Direct, regulate water supply

From-to, on-off gates, predetermined

Gravity

Practically impossible

Water flow and directions

3.

Sundial clocks

Display current time

Predetermined timing

Sunlight

Impossible otherwise

Shadow indicating time

4.

Archytas’ flying pigeon (4th century BC); Chinese mechanical orchestra (3rd century BC) Heron’s mechanical chirping birds and moving dolls (1st century AD)

Flying; playing; chirping; moving

Predetermined sound and movements with some feedback

Heated air and steam (early hydraulics and pneumatics)

Real birds; human play

Mechanical bird or toy motions and sounds

5.

Ancient Greek temple automatic door opening

Open and close door

Preset states and positions with some feedback

Heated air, steam, water, gravity

Manual open and close

Door movements

6.

Windmills

Grinding grains

Predefined grinding

Winds

Animal and human power

Grinding process

Table 3.2 Automation platforms

Platform

Machine

Tool

Device

Installation

System

System of systems

Example

Mars lander

Sprinkler

Pacemaker

AS/RC (automated storage/ retrieval carousel)

ERP (enterprise resource planning)

Internet

software (even though some use the term software automaton to imply computing procedures). The scholar Al-Jazari from al-Jazira, Mesopotamia designed pio-

neering programmable automatons in 1206, as a set of dolls, or humanoid automata. Today, the most typical automatons are what we define as robots.

Part A 3.1

Machine/system

Part A 3.1

16 Part A

Autonomy: control/ intelligence

Power source

Replacing

Process without human intervention

1.

Windmills (17th century)

Flour milling

Feedback keeping blades always facing the wind

Winds

Nonfeedback windmills

Milling process

2.

Automatic pressure valve (Denis Papin, 1680)

Steam pressure in piston or engine

Feedback control of steam pressure

Steam

Practically impossible otherwise

Pressure regulation

3.

Automatic grist mill (Oliver Evans, 1784)

Continuous-flow flour production line

Conveyor speed control; milling process control

Water flow; steam

Human labor

Grains conveyance and milling process

4.

Flyball governor (James Watt, 1788)

Control of steam engine speed

Automatic feedback of centrifugal force for speed control

Steam

Human control

Speed regulation

5.

Steamboats, trains (18–19th century)

Transportation over very large distances

Basic speed and navigation controls

Steam

Practically impossible otherwise

Travel, freight hauling, conveyance

6.

Automatic loom (e.g., Joseph Jacquard, 1801)

Fabric weaving, including intricate patterns

Basic process control programs by interchangeable punched card

Steam

Human labor and supervision

Cloth weaving according to human design of fabric program

7.

Telegraph (Samuel Morse, 1837)

Fast delivery of text message over large distances

On-off, direction, and feedback

Electricity

Before telecommunication, practically impossible otherwise

Movement of text over wires

Development and Impacts of Automation

Autonomous action/function

Table 3.3 Automation examples: Industrial Revolution to 1920

Machine/system

Automation: What It Means to Us Around the World

Process without human intervention

Complex sequences of assembly operations

Manufacturing processes and part handling with better accuracy

Replacing

Human labor

Human labor and supervision

Electricity; compressed air through belts and pulleys

Electricity

Robot A robot is a mechanical device that can be programmed to perform a variety of tasks of manipulation and locomotion under automatic control. Thus, a robot could also be an automaton. But unlike an automaton, a robot is usually designed for highly variable and flexible, purposeful motions and activities, and for specific operation domains, e.g., surgical robot, service robot, welding robot, toy robot, etc. General Motors implemented the first industrial robot, called UNIMATE, in 1961 for die-casting at an automobile factory in New Jersey. By now, millions of robots are routinely employed and integrated throughout the world. Robotics The science and technology of designing, building, and applying robots, computer-controlled mechanical devices, such as automated tools and machines. Science

Automation

Parts, components, and products flow control, machining, and assembly process control

Connect/ disconnect; process control Assembly functions including positioning, drilling, tapping, screw insertion, pressing

3. Robotics • Factory robots • Soccer robot team • Medical nanorobots

1. a) Just computers; b) Automatic devices but no robots • Decision-support systems (a) • Enterprise planning (a) • Water and power supply (b) • Office automation (a+b) • Aviation administration (a+b) • Ship automation (a+b) • Smart building (a+b)

2. Automation including robotics

Chassis production

Autonomy: control/ intelligence Autonomous action/function

Examples:

• Safety protection automation able to activate fire-fighting robots when needed • Spaceship with robot arm

Automation

Semiautomatic assembly machines (Bodine Co., 1920)

Automatic automobilechassis plant (A.O. Smith Co., 1920)

8.

9.

Machine/system

1. Automation without robots/robotics 2. Automation also applying robotics 3. Robotics

Fig. 3.2 The relation between robotics and automation: The scope

of automation includes applications: (1a) with just computers, (1b) with various automation platforms and applications, but without robots; (2) automation including also some robotics; (3) automation with robotics

17

Part A 3.1

Power source

Table 3.3 (cont.)

3.1 The Meaning of Automation

Part A 3.1

18

Power source

Replacing

Process without human intervention

1.

Automatic door opener

Opening and closing of doors triggered by sensors

Automatic control

Compressed air or electric motor

Human effort

Doors of buses, trains, buildings open and close by themselves

2.

Elevators, cranes

Lifting, carrying

On-off; feedback; preprogrammed or interactive

Hydraulic pumps; electric motors

Human climbing, carrying

Speed and movements require minimal supervision

3.

Digital computers

Data processing and computing functions

Variety of automatic and interactive control and operating systems; intelligent control

Electricity

Calculations at speeds, complexity, and with amounts of data that are humanly impossible

Cognitive and decisionmaking functions

4.

Automatic pilot

Steering aircraft or boat

Same as (3)

Electrical motors

Human pilot

Navigation, operations, e.g., landing

5.

Automatic transmission

Switch gears of power transmission

Automatic control

Electricity; hydraulic pumps

Manual transmission control

Engaging/ disengaging rotating gears

6.

Office automation

Document processing, imaging, storage, printing

Same as (3)

Electricity

Some manual work; some is practically impossible

Specific office procedures

7.

Multirobot factories

Robot arms and automatic devices perform variety of manufacturing and production processes

Optimal, adaptive, distributed, robust, self-organizing, collaborative, and other intelligent control

Hydraulic pumps, pneumatics, and electric motors

Human labor and supervision

Complex operations and procedures, including quality assurance

Development and Impacts of Automation

Autonomy: control/ intelligence

Part A

Autonomous action/function

Table 3.4 Automation examples: modern and emerging

Machine/ system

Automation: What It Means to Us Around the World

Search for specific information over a vast amount of data over worldwide systems Human search, practically impossible Internet search engine 10.

Finding requested information

Optimal control; multiagent control

Electricity

Monitoring remote equipment functions, executing self-repairs Electricity Predictive control; interacting with radio frequency identification (RFID) and sensor networks Remote prognostics and automatic repair

Impossible otherwise

Wireless services

Power source

Electricity

9.

Common to both robotics and automation are use of automatic control, and evolution with computing and communication progress. As in automation, robotics also relies on four major components, including a platform, autonomy, process, and power source, but in robotics, a robot is often considered a machine, thus the platform is mostly a machine, a tool or device, or a system of tools and devices. While robotics is, in a major way, about automation of motion and mobility, automation beyond robotics includes major areas based on software, decision-making, planning and optimization, collaboration, process automation, office automation, enterprise resource planning automation, and e-Services. Nevertheless, there is clearly an overlap between automation and robotics; while to most people a robot means a machine with certain automation intelligence, to many an intelligent elevator, or highly

Automatic control; automatic virtual reality



Visualization of medical test results in real time



Medical diagnostics (e.g., computerized tomography (CT), magnetic resonance imaging (MRI))



8.



Autonomy: control/ intelligence



Infrastructure, e.g., water supply, irrigation, power supply, telecommunication Nonrobot devices, e.g., timers, locks, valves, and sensors Automatic and automated machines, e.g., flour mills, looms, lathes, drills, presses, vehicles, and printers Automatic inspection machines, measurement workstations, and testers Installations, e.g., elevators, conveyors, railways, satellites, and space stations Systems, e.g., computers, office automation, Internet, cellular phones, and software packages.

Autonomous action/function



Machine/ system

Robotics is an important subset of automation (Fig. 3.2). For instance, of the 25 automation examples in Tables 3.1, 3.3 and 3.4, examples 4 in Table 3.1 and 7 in Table 3.4 are about robots. Beyond robotics, automation includes:

Replacing

3.1.2 Robotics and Automation

Part A 3.1

Practically impossible otherwise

Noncontact testing and results presentation

Since physics and most of its subdivisions routinely have the “-ics” suffix, I assumed that robotics was the proper scientific term for the systematic study of robots, of their construction, maintenance, and behavior, and that it was used as such.

19

Table 3.4 (cont.)

Process without human intervention

fiction author and scientist Isaac Asimov coined the term robotics in 1941 to describe the technology of robots and predicted the rise of a significant robot industry, e.g., in his foreword to [3.1]:

3.1 The Meaning of Automation

20

Part A

Development and Impacts of Automation

Part A 3.1

automated machine tool, or even a computer may also imply a robot.

bernetics overlaps with control theory and systems theory.

Cybernetics Cybernetics is the scientific study of control and communication in organisms, organic processes, and mechanical and electronic systems. It evolves from kibernetes, in Greek, meaning pilot, or captain, or governor, and focuses on applying technology to replicate or imitate biological control systems, often called today bioinspired, or system biology. Cybernetics, a book by Norbert Wiener, who is attributed with coining this word, appeared in 1948 and influenced artificial intelligence research. Cy-

Cyber Cyber- is a prefix, as in cybernetic, cybernation, or cyborg. Recently, cyber has assumed a meaning as a noun, meaning computers and information systems, virtual reality, and the Internet. This meaning has emerged because of the increasing importance of these automation systems to society and daily life. Artificial Intelligence (AI) Artificial intelligence (AI) is the ability of a machine system to perceive anticipated or unanticipated new

Table 3.5 Definitions of automation (after [3.2])

Source

Definition of automation

1. John Diebold, President, John Diebold & Associates, Inc.

It is a means of organizing or controlling production processes to achieve optimum use of all production resources – mechanical, material, and human. Automation means optimization of our business and industrial activities. Automation is a new word, and to many people it has become a scare word. Yet it is not essentially different from the process of improving methods of production which has been going on throughout human history. When I speak of automation, I am referring to the use of mechanical and electronic devices, rather than human workers, to regulate and control the operation of machines. In that sense, automation represents something radically different from the mere extension of mechanization. Automation is a new technology. Arising from electronics and electrical engineering. We in the telephone industry have lived with mechanization and its successor automation for many years.

2. Marshall G. Nuance, VP, York Corp. 3. James B. Carey, President, International Union of Electrical Workers

4. Joseph A. Beirne, President, Communications Workers of America 5. Robert C. Tait, Senior VP, General Dynamics Corp.

6. Robert W. Burgess, Director, Census, Department of Commerce 7. D.J. Davis, VP Manufacturing, Ford Motor Co. 8. Don G. Mitchell, President, Sylvania Electric Products, Inc.

Automation is simply a phrase coined, I believe, by Del Harder of Ford Motor Co. in describing their recent supermechanization which represents an extension of technological progress beyond what has formerly been known as mechanization. Automation is a new word for a now familiar process of expanding the types of work in which machinery is used to do tasks faster, or better, or in greater quantity. The automatic handling of parts between progressive production processes. It is the result of better planning, improved tooling, and the application of more efficient manufacturing methods, which take full advantage of the progress made by the machine-tool and equipment industries. Automation is a more recent term for mechanization, which has been going on since the industrial revolution began. Automation comes in bits and pieces. First the automation of a simple process, and then gradually a tying together of several processes to get a group of subassembly complete.

Example

Accounting

Billing

Agriculture

Harvester

software

Banking

ATM

Chemical

Communi-

process

cation

Refinery

Print-press

Construction

Truck

Design

CAD

(automatic

(computer-

teller machine)

aided design)

Education

Energy

Engineering

Factory

Government

Healthcare

Home

Hospital

Television

Power

Simulation

AGV

Government

Body

Toaster

Drug delivery

(automated

web portals

scanner

Management

Manu-

Maritime

Military

Navigation

Intelligence

windmill

Table 3.6 Automation domains

Domain

guided vehicle) Leisure

Library

Logistics

facturing CRM

Movie

Database

RFID

Financial

Assembly robot

(customer

(radio-

analysis

relations

frequency

software

management)

identification)

satellite

Office

Post

Retail

Safety

Security

Service

Sports

Transportation

Copying

Mail sorter

e-Commerce

Fire alarm

Motion

Vending

Tread mill

Traffic light

detector

machine

3.1 The Meaning of Automation 21

Part A 3.1

machine

Automation: What It Means to Us Around the World

Hospitality

22

Part A

Development and Impacts of Automation

Part A 3.1

conditions, decide what actions must be performed under these conditions, and plan the actions accordingly. The main areas of AI study and application are knowledge-based systems, computer sensory systems, language processing systems, and machine learning. AI is an important part of automation, especially to characterize what is sometimes called intelligent automation (Sect. 3.4.2). It is important to note that AI is actually human intelligence that has been implemented on machines, mainly through computers and communication. Its significant advantages are that it can function automatically, i. e., without human intervention during its operation/function; it can combine intelligence from many humans, and improve its abilities by automatic learning and adaptation; it can be automatically distributed, duplicated, shared, inherited, and if necessary, restrained and even deleted. With the advent of these abilities, remarkable progress has been achieved. There is also, however, an increasing risk of running out of control (Sect. 3.6), which must be considered carefully as with harnessing any other technology.

3.1.3 Early Automation The creative human desire to develop automation, from ancient times, has been to recreate natural activities, either for enjoyment or for productivity with less human effort and hazard. It should be clear, however, that the following six imperatives have been proven about automation: 1. Automation has always been developed by people 2. Automation has been developed for the sake of people 3. The benefits of automation are tremendous 4. Often automation performs tasks that are impossible or impractical for humans 5. As with other technologies, care should be taken to prevent abuse of automation, and to eliminate the possibilities of unsafe automation 6. Automation is usually inspiring further creativity of the human mind. The main evolvement of automation has followed the development of mechanics and fluidics, civil infrastructure and machine design, and since the 20th century, of computers and communication. Examples of ancient automation that follow the formal definition (Table 3.1) include flying and chirping birds, sundial clocks, irrigation systems, and windmills. They all include the four basic automation elements, and

have a clear autonomous process without human intervention, although they are mostly predetermined or predefined in terms of their control program and organization. But not all these ancient examples replace previously used human effort: Some of them would be impractical or even impossible for humans, e.g., displaying time, or moving large quantities of water by aqueducts over large distances. This observation is important, since, as evident from the definition surveys (Sect. 3.5): 1. In defining automation, over one-quarter of those surveyed associate automation with replacing humans, hinting somber connotation that humans are losing certain advantages. Many resources erroneously define automation as replacement of human workers by technology. But the definition is not about replacing humans, as many automation examples involve activities people cannot practically perform, e.g., complex and fast computing, wireless telecommunication, microelectronics manufacturing, and satellite-based positioning. The definition is about the autonomy of a system or process from human involvement and intervention during the process (independent of whether humans could or could not perform it themselves). Furthermore, automation is rarely disengaged from people, who must maintain and improve it (or at least replace its batteries). 2. Humans are always involved with automation, to a certain degree, from its development, to, at certain points, supervising, maintaining, repairing, and issuing necessary commands, e.g., at which floor should this elevator stop for me? Describing automation, Buckingham [3.3] quotes Aristotle (384–322 BC): “When looms weave by themselves human’s slavery will end.” Indeed, the reliance on a process that can proceed successfully to completion autonomously, without human participation and intervention, is an essential characteristic of automation. But it took over 2000 years since Aristotle’s prediction till the automatic loom was developed during the Industrial Revolution.

3.1.4 Industrial Revolution Some scientists (e.g., Truxal [3.4]) define automation as applying machines or systems to execute tasks that involve more elaborate decision-making. Certain decisions were already involved in ancient automation, e.g., where to direct the irrigation water. More

Automation: What It Means to Us Around the World

control sophistication was indeed developed later, beginning during the Industrial Revolution (see examples in Table 3.3). During the Industrial Revolution, as shown in the examples, steam and later electricity became the main power sources of automation systems and machines, and autonomy of process and decision-making increasingly involved feedback control models.

3.1.5 Modern Automation

Mechanization Mechanization is defined as the application of machines to perform work. Machines can perform various tasks, at different levels of complexity. When mechanization is designed with cognitive and decision-making functions, such as process control and automatic control, the modern term automation becomes appropriate. Some machines can be rationalized by benefits of safety and convenience. Some machines, based on their power, compactness, and speed, can accomplish tasks that could never be performed by human labor, no matter how much labor or how effectively the operation could be organized and managed. With increased availability and sophistication of power sources and of automatic control, the level of autonomy of machines and mechanical system created a distinction between mechanization and the more autonomous form of mechanization, which is automation (Sect. 3.4). Process Continuity Process continuity is already evident in some of the ancient automation examples, and more so in the Industrial Revolution examples (Tables 3.1 and 3.3). For instance, windmills could provide relatively uninterrupted cycles of grain milling. The idea of continuity

23

is to increase productivity, the useful output per laborhour. Early in the 20th century, with the advent of mass production, it became possible to better organize workflow. Organization of production flow and assembly lines, and automatic or semiautomatic transfer lines increased productivity beyond mere mechanization. The emerging automobile industry in Europe and the USA in the early 1900s utilized the concept of moving work continuously, automatically or semiautomatically, to specialized machines and workstations. Interesting problems that emerged with flow automation included balancing the work allocation and regulating the flow. Automatic Control A key mechanism of automatic control is feedback, which is the regulation of a process according to its own output, so that the output meets the conditions of a predetermined, set objective. An example is the windmill that can adjust the orientation of it blades by feedback informing it of the changing direction of the current wind. Another example is the heating system that can stop and restart its heating or cooling process according to feedback from its thermostat. Watt’s flyball governor applied feedback from the position of the rotating balls as a function of their rotating speed to automatically regulate the speed of the steam engine. Charles Babbage analytical engine for calculations applied the feedback principle in 1840 (see more on the development of automatic control in Chap. 4). Automation Rationalization Rationalization means a logical and systematic analysis, understanding, and evaluation of the objectives and constraints of the automation solution. Automation is rationalized by considering the technological and engineering aspects in the context of economic, social, and managerial considerations, including also: human factors and usability, organizational issues, environmental constraints, conservation of resources and energy, and elimination of waste (Chaps. 40 and 41). Soon after automation enabled mass production in factories of the early 20th century and workers feared for the future of their jobs, the US Congress held hearings in which experts explained what automation means to them (Table 3.5). From our vantage point several generations later, it is interesting to read these definitions, while we already know about automation discoveries yet unknown at that time, e.g., laptop computers, robots, cellular telephones and personal digital assistants, the Internet, and more.

Part A 3.1

The term automation in its modern meaning was actually attributed in the early 1950s to D.S. Harder, a vice-president of the Ford Motor Company, who described it as a philosophy of manufacturing. Towards the 1950s, it became clear that automation could be viewed as substitution by mechanical, hydraulic, pneumatic, electric, and electronic devices for a combination of human efforts and decisions. Critics with humor referred to automation as substitution of human error by mechanical error. Automation can also be viewed as the combination of four fundamental principles: mechanization; process continuity; automatic control; and economic, social, and technological rationalization.

3.1 The Meaning of Automation

24

Part A

Development and Impacts of Automation

A relevant question is: Why automate? Several prominent motivations are the following, as has been indicated by the survey participants (Sect. 3.5):

Part A 3.1

1. Feasibility: Humans cannot handle certain operations and processes, either because of their scale, e.g., micro- and nanoparticles are too small, the amount of data is too vast, or the process happens too fast, for instance, missile guidance; microelectronics design, manufacturing and repair; and database search. 2. Productivity: Beyond feasibility, computers, automatic transfer machines, and other equipment can operate at such high speed and capacity that it would be practically impossible without automation, for instance, controlling consecutive, rapid chemical processes in food production; performing medicine tests by manipulating atoms or molecules; optimizing a digital image; and placing millions of colored dots on a color television (TV) screen. 3. Safety: Automation sensors and devices can operate well in environments that are unsafe for humans, for example, under extreme temperatures, nuclear radiation, or in poisonous gas. 4. Quality and economy: Automation can save significant costs on jobs performed without it, including consistency, accuracy, and quality of manufactured products and of services, and saving labor, safety, and maintenance costs. 5. Importance to individuals, to organizations, and to society: Beyond the above motivations, service- and knowledge-based automation reduces the need for middle managers and middle agents, thus reducing or eliminating the agency costs and removing layers of bureaucracy, for instance, Internet-based travel services and financial services, and direct communication between manufacturing managers and line operators, or cell robots. Remote supervision and telecollaboration change the nature, sophistication, skills and training requirements, and responsibility of workers and their managers. As automation gains intelligence and competencies, it takes over some employment skills and opens up new types of work, skills, and service requirements. 6. Accessibility: Automation enables better accessibility for all people, including disadvantaged and disabled people. Furthermore, automation opens up new types of employment for people with limitations, e.g., by integration of speech and vision recognition interfaces.

7. Additional motivations: Additional motivations are the competitive ability to integrate complex mechanization, advantages of modernization, convenience, and improvement in quality of life. To be automated, a system must follow the motivations listed above. The modern and emerging automation examples in Table 3.4 and the automation cases in Sect. 3.3 illustrate these motivations, and the mechanization, process continuity, and automatic control features. Certain limits and risks of automation need also be considered. Modern, computer-controlled automation must be programmable and conform to definable procedures, protocols, routines, and boundaries. The limits also follow the boundaries imposed by the four principles of automation. Can it be mechanized? Is there continuity in the process? Can automatic control be designed for it? Can it be rationalized? Theoretically, all continuous processes can be automatically controlled, but practically such automation must be rationalized first; for instance, jet engines may be continuously advanced on conveyors to assembly cells, but if the demand for these engines is low, there is no justification to automate their flow. Furthermore, all automation must be designed to operate within safe boundaries, so it does not pose hazards to humans and to the environment.

3.1.6 Domains of Automation Some unique meanings of automation are associated with the domain of automation. Several examples of well-known domains are listed here:

• •

Detroit automation – Automation of transfer lines and assembly lines adopted by the automotive industry [3.5]. Flexible automation – Manufacturing and service automation consisting of a group of processing stations and robots operating as an integrated system under computer control, able to process a variety of different tasks simultaneously, under automatic, adaptive control or learning control [3.5]. Also known as flexible manufacturing system (FMS), flexible assembly system, or robot cell, which are suitable for medium demand volume and medium variety of flexible tasks. Its purpose is to advance from mass production of products to more customer-oriented and customized supply. For higher flexibility with low demand volume, stand-

Automation: What It Means to Us Around the World

Multienterprise network level

25

tion ma uto na ma Hu

MEN

3.1 The Meaning of Automation

vic es

Part A 3.1

tor

ser

ERP Enterprise resource planning level

era Op

Au

MES

iers

ppl

– su

Control level

Communication layer

ts

ien

– cl

Machine and computer controllers

nts

Communication layer

age

Management/manufacturing execution system level

rs –

iso erv sup

Communication layer

s–

tom atio n

: nts

ipa

tic par

Communication layer

Device level Sensors, actuators, tools, machines, installations, infrastructure systems

Power source

Fig. 3.3 The automation pyramid: organizational layers



alone numerically controlled (NC) machines and robots are preferred. For high demand volume with low task variability, automatic transfer lines are designed. The opposite of flexible automation is fixed automation, such as process-specific machine tools and transfer lines, lacking task flexibility. For mass customization (mass production with some flexibility to respond to variable customer demands), transfer lines with flexibility can be designed (see more on automation flexibility in Sect. 3.4). Office automation – Computer and communication machinery and software used to improve office procedures by digitally creating, collecting, storing, manipulating, displaying, and transmitting office information needed for accomplishing office tasks

and functions [3.6, 7]. Office automation became popular in the 1970s and 1980s when the desktop computer and the personal computer emerged. Other examples of well-known domains of automation have been factory automation (e.g., [3.8]), healthcare automation (e.g., [3.9]), workflow automation (e.g., [3.10]), and service automation (e.g., [3.11]). More domain examples are illustrated in Table 3.6. Throughout these different domains, automation has been applied for various organization functions. Five hierarchical layers of automation are shown in the automation pyramid (Fig. 3.3), which is a common depiction of how to organize automation implementation.

26

Part A

Development and Impacts of Automation

3.2 Brief History of Automation Table 3.7 Brief history of automation events

Period

Automation inventions (examples)

Automation generation

Prehistory

Sterilization of food and water, cooking, ships and boats, irrigation, wheel and axle, flush toilet, alphabet, metal processing Optics, maps, water clock, water wheel, water mill, kite, clockwork, catapult Central heating, compass, woodblock printing, pen, glass and pottery factories, distillation, water purification, wind-powered gristmills, feedback control, automatic control, automatic musical instruments, self-feeding and self-trimming oil lamps, chemotherapy, diversion dam, water turbine, mechanical moving dolls and singing birds, navigational instruments, sundial Pendulum, camera, flywheel, printing press, rocket, clock automation, flow-control regulator, reciprocating piston engine, humanoid robot, programmable robot, automatic gate, water supply system, calibration, metal casting Pocket watch, Pascal calculator, machine gun, corn grinding machine Automatic calculator, pendulum clock, steam car, pressure cooker Typewriter, steam piston engine, Industrial Revolution early automation, steamboat, hot-air balloon, automatic flour mill Automatic loom, electric motor, passenger elevator, escalator, photography, electric telegraph, telephone, incandescent light, radio, x-ray machine, combine harvester, lead–acid battery, fire sprinkler system, player piano, electric street car, electric fan, automobile, motorcycle, dishwasher, ballpoint pen, automatic telephone exchange, sprinkler system, traffic lights, electric bread toaster Airplane, automatic manufacturing transfer line, conveyor belt-based assembly line, analog computer, air conditioning, television, movie, radar, copying machine, cruise missile, jet engine aircraft, helicopter, washing machine, parachute, flip–flop circuit

First generation: before automatic control (BAC)

Ancient history First millennium AD

Part A 3.2

11th–15th century

16th century 17th century 18th century 19th century

Early 20th century

Automation has evolved, as described in Table 3.7, along three automation generations.

3.2.1 First Generation: Before Automatic Control (BAC) Early automation is characterized by elements of process autonomy and basic decision-making autonomy, but without feedback, or with minimal feedback. The period is generally from prehistory till the 15th century. Some examples of basic automatic control can be found

Second generation: before computer control (BCC)

earlier than the 15th century, at least in conceptual design or mathematical definition. Automation examples of the first generation can also be found later, whenever automation solutions without automatic control could be rationalized.

3.2.2 Second Generation: Before Computer Control (BCC) Automation with advantages of automatic control, but before the introduction and implementation of the

Automation: What It Means to Us Around the World

3.2 Brief History of Automation

27

Table 3.7 (cont.)

Automation inventions (examples)

Automation generation

1940s

Digital computer, Assembler programming language, transistor, nuclear reactor, microwave oven, atomic clock, barcode Mass-produced digital computer, computer operating system, FORTRAN programming language, automatic sliding door, floppy disk, hard drive, power steering, optical fiber, communication satellite, computerized banking, integrated circuit, artificial satellite, medical ultrasonics, implantable pacemaker Laser, optical disk, microprocessor, industrial robot, automatic teller machine (ATM), computer mouse, computer-aided design, computer-aided manufacturing, random-access memory, video game console, barcode scanner, radiofrequency identification tags (RFID), permanent press fabric, wide-area packet switching network Food processor, word processor, Ethernet, laser printer, database management, computer-integrated manufacturing, mobile phone, personal computer, space station, digital camera, magnetic resonance imaging, computerized tomography (CT), e-Mail, spreadsheet, cellular phone Compact disk, scanning tunneling microscope, artificial heart, deoxyribonucleic acid DNA fingerprinting, Internet transmission control protocol/Internet protocol TCP/IP, camcorder World Wide Web, global positioning system, digital answering machine, smart pills, service robots, Java computer language, web search, Mars Pathfinder, web TV Artificial liver, Segway personal transporter, robotic vacuum cleaner, self-cleaning windows, iPod, softness-adjusting shoe, drug delivery by ultrasound, Mars Lander, disk-on-key, social robots

Third generation: automatic computer control (ACC)

1950s

1960s

1970s

1980s

1990s

2000s

computer, especially the digital computer, belongs to this generation. Automatic control emerging during this generation offered better stability and reliability, more complex decision-making, and in general better control and automation quality. The period is between the 15th century and the 1940s. It would be generally difficult to rationalize in the future any automation with automatic control and without computers; therefore, future examples of this generation will be rare.

3.2.3 Third Generation: Automatic Computer Control (ACC) The progress of computers and communication has significantly impacted the sophistication of automatic control and its effectiveness. This generation began in the 1940s and continues today. Further refinement of this generation can be found in Sect. 3.4, discussing the levels of automation. See also Table 3.8 for examples discovered or implemented during the three automation generations.

Part A 3.2

Period

28

Part A

Development and Impacts of Automation

Table 3.8 Automation generations

Generation

Example

BAC before automatic control prehistoric, ancient

Waterwheel

ABC automatic control before computers 16th century–1940

Automobile

Part A 3.3

CAC computer automatic control 1940–present

Hydraulic automation Pneumatic automation Electrical automation Electronic automation Micro automation Nano automation Mobile automation Remote automation

Hydraulic elevator Door open/shut Telegraph Microprocessor Digital camera Nanomemory Cellular phone Global positioning system (GPS)

3.3 Automation Cases Ten automation cases are illustrated in this section to demonstrate the meaning and scope of automation in different domains.

3.3.1 Case A: Steam Turbine Governor (Fig. 3.4) Source. Courtesy of Dresser-Rand Co., Houston

(http://www.dresser-rand.com/). Process. Operates a steam turbine used to drive a compressor or generator.

the system in run mode which opens the governor valve to the full position, then manually opens the T&T valve to idle speed, to warm up the unit. After warm-up, the operator manually opens the T&T valve to full position, and as the turbine’s speed approaches the rated (desirable) speed, the governor takes control with the governor valve. In semiautomatic and automatic modes, once the operator places the system in run mode, the governor takes over control.

3.3.2 Case B: Bioreactor (Fig. 3.5) Source. Courtesy of Applikon Biotechnology Co.,

Platform. Device, integrated as a system with pro-

grammable logic controller (PLC). Autonomy. Semiautomatic and automatic activation/deactivation and control of the turbine speed; critical speed-range avoidance; remote, auxiliary, and cascade speed control; loss of generator and loss of utility detection; hot standby ability; single and dual actuator control; programmable governor parameters via operator’s screen and interface, and mobile computer. A manual mode is also available: The operator places

Schiedam (http://www.pharmaceutical-technology.com/ contractors/process automation/applikon-technology/). Process. Microbial or cell culture applications that can be validated, conforming with standards for equipment used in life science and food industries, such as good automated manufacturing practice (GAMP). Platform. System or installation, including microreac-

tors, single-use reactors, autoclavable glass bioreactors, and stainless-steel bioreactors.

Automation: What It Means to Us Around the World

a)

b)

3.3 Automation Cases

29

PLC

Process & machinery Inputs and outputs

Operator interface

Speed

Steam turbine

Final driver

Governor valve T&T valve

Fig. 3.4 (a) Steam turbine generator. (b) Governor block diagram (PLC: programmable logic controller; T&T: trip and throttle). A turbine generator designed for on-site power and distributed energy ranging from 0.5 to 100 MW. Turbine generator sets produce power for pulp and paper mills, sugar, hydrocarbon, petrochemical and process industries; palm oil, ethanol, waste-to-energy, other biomass burning facilities, and other installations (with permission from DresserRand)

Autonomy. Bioreactor functions with complete mea-

surement and control strategies and supervisory control and data acquisition (SCADA), including sensors and a cell retention device.

3.3.3 Case C: Digital Photo Processing (Fig. 3.6) Source. Adobe Systems Incorporated San Jose, California (http://adobe.com). Process. Editing, enhancing, adding graphic features, removing stains, improving resolution, cropping and sizing, and other functions to process photo images. Platform. Software system. Autonomy. The software functions are fully automatic

once activated by a user. The software can execute them semiautomatically under user control, or action series can be automated too. Fig. 3.5 Bioreactor system configured for microbial or cell culture applications. Optimization studies and screening and testing of strains and cell lines are of high importance in industry and research and development (R&D) institutes. Large numbers of tests are required and they must be performed in as short a time as possible. Tests should be performed so that results can be validated and used for further process development and production (with permission from Applikon Biotechnology)

3.3.4 Case D: Robotic Painting (Fig. 3.7) Source. Courtesy of ABB Co., Zürich

(http://www.ABB.com). Process. Automatic painting under automatic control of car body movement, door opening and closing, paintpump functions, fast robot motions to optimize finish quality, and minimize paint waste.

Part A 3.3

Actuator

30

Part A

Development and Impacts of Automation

a)

a)

Part A 3.3

b) b)

c) c)

Fig. 3.6a–c Adobe Photoshop functions for digital image editing and processing: (a) automating functional actions,

Fig. 3.7a–c A robotic painting line: (a) the facility; (b) programmer using interface to plan offline or online,

such as shadow, frame, reflection, and other visual effects; (b) selecting brush and palette for graphic effects; (c) setting color and saturation values (with permission from Adobe Systems Inc., 2008)

experiment, optimize, and verify control programs for the line; (c) robotic painting facility design simulator (with permission from ABB)

Automation: What It Means to Us Around the World

3.3 Automation Cases

31

Platform. Automatic tools, machines, and robots, in-

cluding sensors, conveyors, spray-painting equipment, and integration with planning and programming software systems. Autonomy. Flexibility of motions; collision avoidance;

coordination of conveyor moves, robots’ motions, and paint pump operations; programmability of process and line operations.

3.3.5 Case E: Assembly Automation (Fig. 3.8) Fig. 3.8 Pharmaceutical pad-stick automatic assembly cell

Process. Hopper and two bowl feeders feed specimen sticks through a track to where they are picked and placed, two up, into a double nest on a 12-position indexed dial plate. Specimen pads are fed and placed on the sticks. Pads are fully seated and inspected. Rejected and good parts are separated into their respective chutes.

and material flow, and of management information flow.

Platform. System of automatic tools, machines, and

Process. Water treatment by reverse osmosis filtering system (Fig. 3.10a) and water treatment and disposal ((Fig. 3.10b). When preparing to filter impurities from the city water, the controllers activate the pumps, which in turn flush wells to clean water sufficiently before it flows through the filtering equipment (Fig. 3.10a) or activating complete system for removal of grit, sediments, and disposal of sludge to clean water supply (Fig. 3.10b).

robots. Autonomy. Automatic control through a solid-state pro-

grammable controller which operates the sequence of device operations with a control panel. Control programs include main power, emergency stop, manual, automatic, and individual operations controls.

3.3.6 Case F: Computer-Integrated Elevator Production (Fig. 3.9) Process. Fabrication and production execution and man-

agement.

3.3.7 Case G: Water Treatment (Fig. 3.10) Source. Rockwell Automation Co., Cleveland

(www.rockwellautomation.com).

Platform. Installation including water treatment plant

with a network of pumping stations, integrated with programmable and supervisory control and data acquisition (SCADA) control, remote communication software system, and human supervisory interfaces.

Platform. Automatic tools, machines, and robots,

integrated with system-of-systems, comprising a production/manufacturing installation, with automated material handling equipment, fabrication and finishing machines and processes, and software and communication systems for production planning and control, robotic manipulators, and cranes. Human operators and supervisors are also included.

Autonomy. Monitoring and tracking the entire water treatment and purification system.

Autonomy. Automatic control, including knowledge-

Process. On-demand customized processing, production, and delivery of print, email, and customized web sites.

based control of laser, press, buffing, and sanding machines/cells; automated control of material handling

3.3.8 Case H: Digital Document Workflow (Fig. 3.11) Source. Xerox Co., Norwalk (http://www.xerox.com).

Part A 3.3

Source. Courtesy of Adapt Automation Inc., Santa Ana, California (www.adaptautomation.com/Medical.html).

32

Part A

Development and Impacts of Automation

(1) CAD (2) DNC, bidirectional link from control computer

Receiving department

200 ton PressBreak

Monorail Controller

Controller

Laser Incoming queue for laser processing

Cart

Sheet metal crane

Part A 3.3

Laser operator* Laser operator* #1 #2

O2 N2 Outgoing queue for laser

Pallet for incoming returns

PressBreak operator #2

PressBreak operator #1

(3) MIS and CIM (accounts payable and shipping data) bidirectional link through computer to intranet

Microcomputer

Cart

Forklift

Pallet for outgoing returns

Cart

Pallet for incoming returns

Barcode wand

Engraver

Sanding station

Downstream department

Pallet for outgoing returns

Sanding operator*

Controller

Buffing/grinding station

Master buffer*

Pallet for incoming returns

Pallet for outgoing returns

Master engraver*

* Operator can be human and/or robot

Fig. 3.9 Elevator swing return computer-integrated production system: Three levels of automation systems are integrated, includ-

ing (1) link to computer-aided design (CAD) for individual customer elevator specifications and customized finish, (2) link to direct numerical control (DNC) of workcell machine and manufacturing activities, (3) link to management information system (MIS) and computer integrated manufacturing system (CIM) for accounting and shipping management (source: [3.12]) Platform. Network of devices, machines, robots, and software systems integrated within a system-of-systems with media technologies.

Process. Shipbuilding manufacturing process control and automation; shipbuilding production, logistics, and service management; ship operations management.

Autonomy. Integration of automatic workflow of docu-

Platform. Devices, tools, machines and multiple robots;

ment image capture, processing, enhancing, preparing, producing, and distributing.

system-of-software systems; system of systems. Autonomy. Automatic control of manufacturing pro-

3.3.9 Case I: Ship Building Automation (Fig. 3.12) Source. [3.13]; Korea Shipbuilder’s Association, Seoul (http://www.koshipa.or.kr); Hyundai Heavy Industries, Co., Ltd., Ulsan (http://www.hhi.co.kr).

cesses and quality assurance; automatic monitoring, planning and decision support software systems; integrated control and collaborative control for ship operations and bridge control of critical automatic functions of engine, power supply systems, and alarm systems.

Automation: What It Means to Us Around the World

3.3 Automation Cases

33

a)

Part A 3.3

b)

Fig. 3.10 (a) Municipal water treatment system in compliance with the Safe Drinking Water Federal Act (courtesy of City of Kewanee, IL; Engineered Fluid, Inc.; and Rockwell Automation Co.). (b) Wastewater treatment and disposal (courtesy of Rockwell

Automation Co.)

3.3.10 Case J: Energy Power Substation Automation (Fig. 3.13) Source. GE Energy Co., Atlanta (http://www.gepower. com/prod serv/products/substation automation/en/ downloads/po.pdf). Process.

Automatically monitoring and activating backup power supply in case of breakdown in the power

generation and distribution. Each substation automation platform has processing capacity to monitor and control thousands of input–output points and intelligent electronic devices over the network. Platform. Devices integrated with a network of system-

of-systems, including substation automation platforms, each communicating with and controlling thousands of power network devices.

34

Part A

Development and Impacts of Automation

a) preflight

The chaotic workflow

The freeflow workflow

Multiple steps and software

One streamlined solution

edit

Mono digital

join save

convert

impose

preflight

edit

join

color manage

convert

impose

preflight

edit

join

Color digital save

notify

prepress

manage

Part A 3.3

Offset save

color manage

notify

convert

notify

impose

b)

Fig. 3.11a,b Document imaging and color printing workflow: (a) streamlined workflow by FreeFlow. (b) Detail shows

document scanner workstation with automatic document feeder and image processing (courtesy of Xerox Co., Norwalk) Autonomy. Power generation, transmission, and distri-

bution automation, including automatic steady voltage control, based on user-defined targets and settings; local/remote control of distributed devices; adjustment of control set-points based on control requests or control input values; automatic reclosure of tripped circuit breakers following momentary faults; automatic transfer of load and restoration of power to nonfaulty sections if possible; automatically locating and isolating

faults to reduce customers’ outage times; monitoring a network of substations and moving load off overloaded transformers to other stations as required. These ten case studies cover a variety of automation domains. They also demonstrate different level of intelligence programmed into the automation application, different degrees of automation, and various types of automation flexibility. The meaning of these automation characteristics is explained in the next section.

Automation: What It Means to Us Around the World

a) FRM Finance resource management

MRP

SCM

Manufacturing resource planning

Supply chain management

ERP SYSTEM

HRM Human resource management

Fig. 3.12a–j Automation and control systems in shipbuilding: (a) production management through enterprise resource planning (ERP) systems. Manufacturing automation in shipbuilding examples: (b) overview; (c) automatic panel welding robots system; (d) sensor application in membrane tank fabrication; (e) propeller grinding process by robotic automation. Automatic ship operation systems examples: (f) overview; (g) alarm and monitoring system; (h) integrated bridge system; (i) power management system; (j) engine monitoring system (source: [3.13]) (with permission from Hyundai Heavy Industries)

b)

Welding robot automation

Sensing measurement automation

Hybrid welding automation

Manufacturing automation

Process monitoring automation

Grinding, deburring automation

Welding line automation

Fig. 3.12a–j (cont.)

35

Part A 3.3

CRM Customer relationship management

3.3 Automation Cases

36

Part A

Development and Impacts of Automation

Part A 3.3

c)

d)

e)

g)

f) Integrated bridge system

Engine monitoring system Power management system Alarm and monitoring system

Fig. 3.12a–j (cont.)

Automation: What It Means to Us Around the World

3.3 Automation Cases

37

h)

j)

Fig. 3.12a–j (cont.)

Part A 3.3

i)

38

Part A

Development and Impacts of Automation

a)

Voice & security

Power link advantage HMI

Communications equipment

Fiber loop to other sites

GE JungleMUX

Corp. LAN

High speed between relays

Ethernet LAN

Data concentration/ protocol conversion

Status control analogs

GE IP server GE D20

Part A 3.3

Ethernet relays Transformer monitor and control

D20 I/O modules

GE UR relay

GE D25

Bay monitor and control GE iBOX GE hydran GE multlin

GE tMEDIC

GE multlin

Legacy relays

Legacy relays

Radio to DA system

Fig. 3.13a,b Integrated power substation control system: (a) overview; (b) substation automation platform chassis (courtesy of GE Energy Co., Atlanta) (LAN – local area network, DA – data acquisition, UR – universal relay, MUX – multiplexor, HMI – human-machine interface)

b)

D20EME Ethernet memory expansion module

Up to four power supplies

Power switch/fuse panel

10.5 in 14 in

D20ME or D20ME II Main processors (up to seven)

Modem slots (seven if MIC installed, eight otherwise)

Media interface Card (MIC)

Fig. 3.13a,b (cont.)

19 in

Automation: What It Means to Us Around the World

3.4 Flexibility, Degrees, and Levels of Automation

39

3.4 Flexibility, Degrees, and Levels of Automation

1. The number of different states that can be assumed automatically 2. The length of time and amount of effort (setup process) necessary to respond and execute a change of state. The number of different possible states and the cost of changes required are linked with two interrelated measures of flexibility: application flexibility and adaptation flexibility (Fig. 3.14). Both measures are concerned with the possible situations of the system and its environment. Automation solutions may address only switching between undisturbed, standard operations and nominal, variable situations, or can also aspire to respond when operations encounter disruptions and transitions, such as errors and conflicts, or significant design changes. Application flexibility measures the number of different work states, scenarios, and conditions a system can handle. It can be defined as the probability that an arbitrary task, out of a given class of such tasks, can be carried out automatically. A relative comparison between the application flexibility of alternative designs is relevant mostly for the same domain of automation solutions. For instance, in Fig. 3.14 it is the domain of machining.

Adaptation flexibility is a measure of the time duration and the cost incurred for an automation device or system to transition from one given work state to another. Adaptation flexibility can also be measured only relatively, by comparing one automation device or system with another, and only for one defined change of state at a time. The change of state involves being in one possible state prior to the transition, and one possible state after it. A relative estimate of the two flexibility measures (dimensions) for several implementations of machine tools automation is illustrated in Fig. 3.14. For generality, both measures are calibrated between 0 and 1.

3.4.1 Degree of Automation Another dimension of automation, besides measures of its inherent flexibility, is the degree of automation. Automation can mean fully automatic or semiautomatic devices and systems, as exemplified in case A (Sect. 3.3.1), with the steam turbine speed governor, and in case E (Sect. 3.3.5), with a mix of robots and operators in elevator production. When a device or system is not fully automatic, meaning that some, or more frequent human intervention is required, they are conAdaptation flexibility (within application) 1 NC machining center with adaptive and optimal control Machining center with numerical control (NC) Milling machine with programmable control (NC)

Drilling machine with conventional control

0

“Universal” milling machine with conventional control

ty

ili

ib lex

F

1 Application flexibility (multiple applications)

Fig. 3.14 Application flexibility and adaptation flexibility in ma-

chining automation (after [3.14])

Part A 3.4

Increasingly, solutions of society’s problems cannot be satisfied by, and therefore cannot mean the automation of just a single, repeated process. By automating the networking and integration of devices and systems, they are able to perform different and variable tasks. Increasingly, this ability also requires cooperation (sharing of information and resources) and collaboration (sharing in the execution and responses) with other devices and systems. Thus, devices and systems have to be designed with inherent flexibility, which is motivated by the clients’ requirements. With growing demand for service and product variety, there is also an increase in the expectations by users and customers for greater reliability, responsiveness, and smooth interoperability. Thus, the meaning of automation also involves the aspects of its flexibility, degree, and levels. To enable design for flexibility, certain standards and measures have been and will continue to be established. Automation flexibility, often overlapping with the level of automation intelligence, depends on two main considerations:

40

Part A

Development and Impacts of Automation

Part A 3.4

sidered automated, or semiautomatic, equivalent terms implying partial automation. A measure of the degree of automation, between fully manual to fully automatic, has been used to guide the design rationalization and compare between alternative solutions. Progression in the degree of automation in machining is illustrated in Fig. 3.14. The increase of automated partial functions is evident when comparing the drilling machine with the more flexible machines that can also drill and, in addition, are able to perform other processes such as milling. The degree of automation can be defined as the fraction of automated functions out of the overall functions of an installation or system. It is calculated as the ratio between the number of automated operations, and the total number of operations that need to be performed, resulting in a value between 0 and 1. Thus, for a device or system with partial automation, where not all operations or functions are automatic, the degree of automation is less than 1. Practically, there are several methods to determine the degree of automation. The derived value requires a description of the method assumptions and steps. Typically, the degree of automation is associated with characteristics of: 1. Platform (device, system, etc.) 2. Group of platforms 3. Location, site 4. Plant, facility 5. Process and its scope 6. Process measures, e.g., operation cycle 7. Automatic control 8. Power source 9. Economic aspects 10. Environmental effects. In determining the degree of automation of a given application, whether the following functions are also supposed to be considered must also be specified: 1. 2. 3. 4. 5. 6. 7. 8.

Setup Organization, reorganization Control and communication Handling (of parts, components, etc.) Maintenance and repair Operation and process planning Construction Administration.

For example, suppose we consider the automation of a document processing system (case H, Sect. 3.3.8) which is limited to only the scanning process, thus omitting other workflow functions such as document

feeding, joining, virtual inspection, and failure recovery. Then if the scanning is automatic, the degree of automation would be 1. However, if the other functions are also considered and they are not automatic, then the value would be less than 1. Methods to determine the degree of automation divide into two categories:





Relative determination applying a graded scale, containing all the functions of a defined domain process, relative to a defined system and the corresponding degrees of automation. For any given device or system in this domain, the degree of automation is found through comparison with the graded scale. This procedure is similar to other graded scales, e.g., Mohs’ hardness scale, and Beaufort wind-speed scale. This method is illustrated in Fig. 3.15, which shows an example of the graded scale of mechanization and automation, following the scale developed by Bright [3.15]. Relative determination by a ratio between the autonomous and nonautonomous measures of reference. The most common measure of reference is the number of decisions made during the process under consideration (Table 3.9). Other useful measures of reference for this determination are the comparative ratios of: – – – – – –

Rate of service quality Human labor Time measures of effort Cycle time Number of mobility and motion functions Program steps.

To illustrate the method in reference to decisions made during the process, consider an example for case B (Sect. 3.3.2). Suppose in the bioreactor system process there is a total of seven decisions made automatically by the devices and five made by a human laboratory supervisor. Because these decisions are not similar, the degree of automation cannot be calculated simply as the ratio 7/(7 + 5) ≈ 0.58. The decisions must be weighted by their complexity, which is usually assessed by the number of control program commands or steps (Table 3.9). Hence, the degree of automation can be calculated as:   sum of decision steps made degree of automatically by devices = automation (total sum of decision steps made) = 82/(82 + 178) ≈ 0.32 .

Automation: What It Means to Us Around the World

From the worker

Through control-mechanism, testing determined work sequences

Variable

Fixed in the machine

3.4 Flexibility, Degrees, and Levels of Automation

Through variable influences in the environment

Origin of the check

Reacts to the execution React to signals

Manual

Selects from determined processes

Type of the machine reaction

Changes actions itself inside influences

Mechanical (not done by hand)

Part A 3.4

Steps of the automation

18 Prevents error and self-optimizes current execution

17 Foresees the necessary working tasks, adjusts the execution

16 Corrects execution while processing

15 Corrects execution after the processing

14 Identifies and selects operations

13 Segregates or rejects according to measurements

12 Changes speed, position change and direction according to the measured signal

11 Registers execution 10 Signals pre-selected values of measurement, includig error correction 9 Measures characteristics of the execution

8 Machine actuated by introduction of work-piece or material

7 Machine system with remote control

6 Powered tool, programmed control with a sequence of functions

5 Powered tool, fixed cycle, single function

4 Machine tools, manual controlled

3 Powered hand tools 2 Manual hand tools 1 Manual

Energy source Step-No.

Fig. 3.15 Automation scale: scale for comparing grades of automation (after [3.15])

Now designers can compare this automation design against relatively more and less elaborate options. Rationalization will have to assess the costs, benefits, risks, and acceptability of the degree of automation for each alternative design. Whenever the degree of automation is determined by a method, the following conditions must be followed: 1. The method is able to reproduce the same procedure consistently.

2. Comparison is only done between objective measures. 3. Degree values should be calibrated between 0 and 1 to simplify calculations and relative comparisons.

3.4.2 Levels of Automation, Intelligence, and Human Variability There is obviously an inherent relation between the level of automation flexibility, the degree of automation, and the level of intelligence of a given automation ap-

Table 3.9 Degree of automation: calculation by the ratio of decision types Automatic decisions Decision number Complexity (number of program steps)

1 10

2 12

3 14

4 9

Human decisions 5 14

6 11

7 12

Sum 82

41

8 21

9 3

10 82

Total 11 45

12 27

Sum 178

260

42

Part A

Development and Impacts of Automation

Table 3.10 Levels of automation (updated and expanded after [3.16])

Part A 3.4

Level

Automation

Automated human attribute

Examples

A0

Hand-tool; manual machine

None

Knife; scissors; wheelbarrow

A1

Powered machine tools (non-NC)

Energy, muscles

Electric hand drill; electric food processor; paint sprayer

A2

Single-cycle automatics and hand-feeding machines

Dexterity

Pipe threading machine; machine tools (non-NC)

A3

Automatics; repeated cycles

Diligence

Engine production line; automatic copying lathe; automatic packaging; NC machine; pick-and-place robot

A4

Self-measuring and adjusting; feedback

Judgment

Feedback about product: dynamic balancing; weight control. Feedback about position: pattern-tracing flame cutter; servo-assisted follower control; selfcorrecting NC machines; spray-painting robot

A5

Computer control; automatic cognition

Evaluation

Rate of feed cutting; maintaining pH; error compensation; turbine fuel control; interpolator

A6

Limited self-programming

Learning

Sophisticated elevator dispatching; telephone call switching systems; artificial neural network models

A7

Relating cause from effects

Reasoning

Sales prediction; weather forecasting; lamp failure anticipation; actuarial analysis; maintenance prognostics; computer chess playing

A8

Unmanned mobile machines

Guided mobility

Autonomous vehicles and planes; nano-flying exploration monitors

A9

Collaborative networks

Collaboration

Collaborative supply networks; Internet; collaborative sensor networks

A10

Originality

Creativity

Computer systems to compose music; design fabric patterns; formulate new drugs; play with automation, e.g., virtual-reality games

A11

Human-needs and animal-needs support

Compassion

Bioinspired robotic seals (aquatic mammal) to help emotionally challenged individuals; social robotic pets

A12

Interactive companions

Humor

Humorous gadgets, e.g., sneezing tissue dispenser; automatic systems to create/share jokes; interactive comedian robot

NC: numerically controlled; non-NC: manually controlled

Automation: What It Means to Us Around the World

plication. While there are no absolute measures of any of them, their meaning is useful and intriguing to inventors, designers, users, and clients of automation. Levels of automation are shown in Table 3.10 based on the intelligent human ability they represent. It is interesting to note that the progression in our ability to develop and implement higher lev-

3.5 Worldwide Surveys: What Does Automation Mean to People?

43

els of automation follows the progress in our understanding of relatively more complex platforms; more elaborate control, communication, and solutions of computational complexity; process and operation programmability; and our ability to generate renewable, sustainable, and mobile power sources.

3.5 Worldwide Surveys: What Does Automation Mean to People?



I’ll have to ask my grandson to program the video recorder. I hate my cell-phone. I can’t imagine my life without a cell-phone. Those dumb computers. Sorry, I do not use elevators, I’ll climb the six floors and meet you there!

• • • • etc.

In an effort to explore the meaning of automation to people around the world, a random, nonscientific survey was conducted during 2007–2008 by the author, with the help of the following colleagues: Carlos Pereira (Brazil), Jose Ceroni (Chile), Alexandre Dolgui (France), Sigal Berman, Yael Edan, and Amit Gil (Israel), Kazayuhi Ishii, Masayuki Matsui, Jing Son, and Tetsuo Yamada (Japan), Jeong Wootae (Korea), Luis Basañez and Raúl Suárez Feijóo (Spain), ChinYin Huang (Taiwan), and Xin W. Chen (USA). Since the majority of the survey participants are students, undergraduate and graduate, and since they migrate globally, the respondents actually originate from all continents. In other words, while it is not a scientific survey, it carries a worldwide meaning.

Table 3.11 How do you define automation (do not use a dictionary)? Definition

Asia– Pacific (%)

Europe + Israel (%)

North America (%)

South America (%)

Partially or fully replace human work a 6 43 18 5 Use machines/computers/robots to execute or help execute 25 17 35 32 physical operations, computational commands or tasks 3. Work without or with little human participation 33 20 17 47 4. Improve work/system in terms of labor, time, money, 9 9 22 5 quality, productivity, etc. 5. Functions and actions that assist humans 4 3 2 0 6. Integrated system of sensors, actuators, and controllers 6 2 2 0 7. Help do things humans cannot do 3 2 2 0 8. Promote human value 6 1 0 0 9. The mechanism of automatic machines 5 1 0 5 10. Information-technology-based organizational change 0 0 3 0 11. Machines with intelligence that works and knows what to do 1 1 0 5 12. Enable humans to perform multiple actions 0 1 0 0 a Note: This definition is inaccurate; most automation accomplishes work that humans cannot do, or cannot do effectively ∗ Respondents: 318 (244 undergraduates, 64 graduates, 10 others) 1. 2.

Worldwide (%) 27 24 24 11 3 3 2 2 2 1 1 0

Part A 3.5

With known human variability, we are all concerned about automation, enjoy its benefits, and wonder about its risks. But all individuals do not share our attitude towards automation equally, and it does not mean the same to everyone. We often hear people say:

44

Part A

Development and Impacts of Automation

Table 3.12 When and where did you encounter and recognize automation first in your life (probably as a child)? First encounter

1. 2. 3. 4. 5. 6.

Part A 3.5

7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42.

Automated manufacturing machine, factory Vending machine: snacks, candy, drink, tickets Car, truck, motorcycle, and components Automatic door (pneumatic; electric) Toy Computer (software), e.g., Microsoft Office, e-Mail, programming language Elevator/escalator Movie, TV Robot Washing machine Automatic teller machine (ATM) Dishwasher Game machine Microwave Air conditioner Amusement park Automatic check-in at airports Automatic light Barcode scanner Calculator Clock/watch Agricultural combine Fruit classification machine Garbage truck Home automation Kitchen mixer Lego (with automation) Medical equipment in the birth-delivery room Milking machine Oven/toaster Pneumatic door of the school bus Tape recorder, player Telephone/answering machine Train (unmanned) X-ray machine Automated grinding machine for sharpening knives Automatic car wash Automatic bottle filling (with soda or wine) Automatic toll collection Bread machine Centrifuge Coffee machine

Asia– Pacific (%)

Europe + Israel (%)

North America (%)

South America (%)

Worldwide (%)

12 14 8 14 4 1

9 10 14 2 7 3

30 11 2 2 2 13

32 5 0 5 5 5

16 11 9 5 5 4

8 7 1 1 1 0 4 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 3 0 1 0 0 0 0 0 0

3 2 4 6 1 4 1 3 1 1 0 1 0 1 1 1 1 1 0 1 1 1 2 2 1 1 1 0 2 0 1 0 0 1 0 1

2 0 3 0 3 0 2 2 2 0 3 2 5 2 2 0 0 0 3 0 0 0 0 0 2 0 3 0 0 0 0 2 2 0 2 0

0 5 11 0 5 0 0 0 5 0 0 5 0 0 0 0 0 0 5 0 0 0 0 0 0 5 5 0 0 0 0 0 0 0 0 0

3 3 3 3 2 2 2 2 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0

Automation: What It Means to Us Around the World

3.5 Worldwide Surveys: What Does Automation Mean to People?

45

Table 3.12 (cont.) Asia Pacific (%)

Europe + Israel (%)

North America (%)

South America (%)

Worldwide (%)

43. 44. 45. 46. 47. 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62.

0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0

1 1 1 1 1 0 1 1 1 1 1 0 1 1 1 1 0 1 1 1

0 0 0 0 0 0 0 0 0 0 0 2 0 0 0 0 2 0 0 0

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0



Conveyor (deliver food to chickens) Electric shaver Food processor Fuse/breaker Kettle Library Light by electricity Luggage/baggage sorting machine Oxygen device Pulse recorder Radio Self-checkout machine Sprinkler Switch (power; light) Thermometer Traffic light Treadmill Ultrasound machine Video cassette recorder (VCR) Water delivery

Respondents: 316 (249 undergraduates, 57 graduates, 10 others)

The survey population includes 331 respondents, from three general categories: 1. Undergraduate students of engineering, science, management, and medical sciences (251) 2. Graduate students from the same disciplines (70) 3. Nonstudents, experts, and novices in automation (10). Three questions were posed in this survey:

• • •

How do you define automation (do not use a dictionary)? When and where did you encounter and recognize automation first in your life (probably as a child)? What do you think is the major impact/contribution of automation to humankind (only one)? The answers are summarized in Tables 3.11–3.13.

3.5.1 How Do We Define Automation? The key answer to this was question was (Table 3.11, no. 3): operate without or with little human participation

(24%). This answer reflects a meaning that corresponds well with the definition in the beginning of this chapter. It was the most popular response in Asia–Pacific and South America. Overall, the 12 types of definition meanings follow three main themes: How automation works (answer nos. 2, 6, 9, 11, total 30%); automation replaces humans, works without them, or augments their functions (answer nos. 1, 3, 7, 10, total 54%); automation improves (answer nos. 4, 5, 8, 12, total 16%). Interestingly, the overall most popular answer (answer no. 1; 27%) is a partially wrong answer. (It was actually the significantly most popular response only in Europe and Israel.) Replacing human work may represent legacy fear of automation. This answer lacks the recognition that most automation applications are performing tasks humans cannot accomplish. The latter is also a partial, yet positive, meaning of automation and is addressed by answer no. 7 (2%). Answer nos. 2, 6, 9, and 11 (total 29%) represent a factual meaning of how automation is implemented. Answer 10, found only in North America responses, addresses a meaning of automation that narrows it down to

Part A 3.5

First encounter

46

Part A

Development and Impacts of Automation

Table 3.13 What do you think is the major impact/contribution of automation to humankind (only one)? Major impact or contribution

1. 2.

Part A 3.5

3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. 32. 33. 34. 35. 36. 37. ∗

Save time, increase productivity/efficiency; 24/7 operations Advance everyday life/improve quality of life/ convenience/ease of life/work Save labor Encourage/inspire creative work; inspire newer solutions Mass production and service Increase consistency/improve quality Prevent people from dangerous activities Detect errors in healthcare, flights, factories/ reduce (human) errors Medicine/medical equipment/medical system/ biotechnology/healthcare Save cost Computer Improve security and safety Assist/work for people Car Do things that humans cannot do Replace people; people lose jobs Save lives Transportation (e.g., train, traffic lights) Change/improvement in (global) economy Communication (devices) Deliver products/service to more people Extend life expectancy Foundation of industry/growth of industry Globalization and spread of culture and knowledge Help aged/handicapped people Manufacturing (machines) Robot; industrial robot Agriculture improvement Banking system Construction Flexibility in manufacturing Identify bottlenecks in production Industrial revolution Loom People lose abilities to complete tasks Save resources Weather prediction

Respondents: 330 (251 undergraduate, 70 graduate, 9 others)

Asia– Pacific (%)

Europe + Israel (%)

North America (%)

South America (%)

Worldwide (%)

26

19

17

42

22

10

11

0

0

8

17 5 0 5 7 8

5 5 5 5 2 2

3 7 17 2 7 2

0 11 5 16 5 5

8 6 6 5 5 4

0

8

2

0

4

7 0 0 3 3 1 2 0 1 0 0 1 0 0 0 3 1 0 0 0 0 0 0 0 0 0 0 0

2 5 7 3 1 2 2 4 2 1 1 1 1 2 0 1 1 0 1 0 0 1 0 1 0 1 1 0

5 3 2 0 2 3 2 0 2 3 5 0 0 0 3 0 2 5 0 2 2 0 2 0 2 0 0 2

0 0 0 5 0 5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

4 3 3 2 2 2 2 2 2 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0

Automation: What It Means to Us Around the World

3.5.2 When and Where Did We Encounter Automation First in Our Life? The key answer to this question was: automated manufacturing machine or factory (16%), which was the top answer in North and South America, but only the third response in Asia–Pacific and in Europe and Israel. The top answer in Asia–Pacific is shared by vending machine and automatic door (14% each), and in Europe and Israel the top answer was car, truck, motorcycle, and components (14%). Overall, the 62 types of responses to this question represent a wide range of what automation means to us. There are variations in responses between regions, with only two answers – no. 1, automated manufacturing machine, factory, and no. 2, vending machine: snacks, candy, drink, tickets – shared by at least three of the four regions surveyed. Automatic door is in the top three only in Asia–Pacific; car, truck, motorcycle, and components is in the top three only in Europe and Israel; computer is in the top three only in North

America; and robot is in the top three only in South America. Of the 62 response types, almost 40 appear in only one region (or are negligible in other regions), and it is interesting to relate the regional context of the first encounter with automation. For instance:

• • •

Answers no. 34, train (unmanned) and no. 36, automated grinding machine for sharpening knives, appear as responses mostly in Asia–Pacific (3%) Answers no. 12, dishwasher (4%); no. 35, x-ray machine (2%); and no. 58, traffic light (1%) appear as responses mostly in Europe and Israel Answers no. 19, barcode scanner (4%); no. 38, automatic bottle filling (2%); no. 39, automatic toll collection (2%); and no. 59, treadmill, (2%) appear as responses mostly in North America.

3.5.3 What Do We Think Is the Major Impact/Contribution of Automation to Humankind? Thirty-seven types of impacts or benefits were found in the survey responses overall. The most inspiring impact and benefit of automation found is answer no. 4, encourage/inspire creative work; inspire newer solutions (6%). The most popular response was: save time, increase productivity/efficiency; 24/7 operations (22%). The key risk identified, answer no. 16, was: replace people; people lose jobs (2%, but interestingly, this was not found in South America). Another risky impact identified is answer no. 35, people lose abilities to complete tasks, (1%, only in Europe and Israel). Nevertheless, the majority (98%) identified 35 types of positive impacts and benefits.

3.6 Emerging Trends Many of us perceive the meaning of the automatic and automated factories and gadgets of the 20th and 21st century as outstanding examples of the human spirit and human ingenuity, no less than art; their disciplined organization and synchronized complex of carefully programmed functions and services mean to us harmonious expression, similar to good music (when they work). Clearly, there is a mixture of emotions towards automation: Some of us are dismayed that humans cannot

47

usually be as accurate, or as fast, or as responsive, attentive, and indefatigable as automation systems and installations. On the other hand, we sometimes hear the word automaton or robot describing a person or an organization that lacks consideration and compassion, let alone passion. Let us recall that automation is made by people and for the people. But can it run away by its own autonomy and become undesirable? Future automation will advance in micro- and nanosystems and systems-of-systems. Bioinspired automation

Part A 3.6

information technology. Answer nos. 4, 5, and 12 (total 14%) imply that automation means improvements and assistance. Finally, answer no. 8, promote human value (2%, but found only in Asia–Pacific, and Europe and Israel) may reflect cultural meaning more than a definition. In addition to the regional response variations mentioned above, it turns out that the first four answers in Table 3.11 comprise the majority of meaning in each region: 73% for Asia–Pacific; 89% for Europe and Israel and for South America; and 92% for North America (86% worldwide). In Asia–Pacific, four other answer types each comprised 4–6% of the 12 answer types.

3.6 Emerging Trends

48

Part A

Development and Impacts of Automation

and bioinspired collaborative control theory will significantly improve artificial intelligence, and the quality of robotics and automation, as well as the engineering of their safety and security. In this context, it is interesting to examine the role of automation in the 20th and 21st centuries.

tury. These challenges are listed in Table 3.15 with the anticipated and emerging role of automation in each. Again, automation is relevant to all of them and essential to most of them. Some of the main trends in automation are described next.

3.6.1 Automation Trends of the 20th and 21st Centuries

3.6.2 Bioautomation

Part A 3.6

The US National Academy of Engineering, which includes US and worldwide experts, compiled the list shown in Table 3.14 as the top 20 achievements that have shaped a century and changed the world [3.17]. The table adds columns indicating the role that automation has played in each achievement, and clearly, automation has been relevant in all of them and essential to most of them. The US National Academy of Engineers has also compiled a list of the grand challenges for the 21st cen-

Bioinspired automation, also known as bioautomation or evolutionary automation, is emerging based on the trend of bioinspired computing, control, and AI. They influence traditional automation and artificial intelligence in the methods they offer for evolutionary machine learning, as opposed to what can be described as generative methods (sometimes called creationist methods) used in traditional programming and learning. In traditional methods, intelligence is typically programmed from top down: Automation engineers and programmers create and implement the automa-

Table 3.14 Top engineering achievements in the 20th century [3.17] and the role of automation

Achievement

1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20.

Electrification Automobile Airplane Water supply and distribution Electronics Radio and television Agricultural mechanization Computers Telephone Air conditioning and refrigeration Highways Spacecraft Internet Imaging Household appliances Health technologies Petroleum and petrochemical technologies Laser and fiber optics Nuclear technologies High-performance materials

Role of automation Relevant Irrelevant Essential Supportive × × × × × × × × × × × × × × × × × × × ×

Automation: What It Means to Us Around the World

systems. Mechanisms of self-organization, parallelism, fault tolerance, recovery, backup, and redundancy are being developed and researched for future automation, in areas such as neuro-fuzzy techniques, biorobotics, digital organisms, artificial cognitive models and architectures, artificial life, bionics, and bioinformatics. See related topics in many following handbook chapters, particularly, 29, 75 and 76.

3.6.3 Collaborative Control Theory and e-Collaboration Collaboration of humans, and its advantages and challenges are well known from prehistory and throughout history, but have received increased attention with the advent of communication technology. Significantly better enabled and potentially streamlined and even optimized through e-Collaboration (based on communication via electronic means), it is emerging as one of the most powerful trends in automation, with telecommunication, computer communication, and wireless communication influencing education and research, engineering and business, healthcare and service industries, and global society in general. Those developments, in turn, motivate and propel further applications and theoretical investigations into this highly intelligent level of automation (Table 3.10, level A9 and higher).

Table 3.15 Grand engineering challenges for the 21st century [3.17] and the role of automation

Achievement

Anticipated and emerging role of automation Relevant Irrelevant Essential Supportive

1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14.

× × × × ×

Make solar energy economical Provide energy from fusion Develop carbon sequestration methods Manage the nitrogen cycle Provide access to clean water Restore and improve urban infrastructure Advance health informatics Engineer better medicines Reverse-engineer the brain Prevent nuclear terror Secure cyberspace Enhance virtual reality Advance personalized learning Engineer the tools of scientific discovery

49

× × × × × × × × ×

Part A 3.6

tion logic, and define the scope, functions, and limits of its intelligence. Bioinspired automation, on the other hand, is also created and implemented by automation engineers and programmers, but follows a bottomup decentralized and distributed approach. Bioinspired techniques often involve a method of specifying a set of simple rules, followed and iteratively applied by a set of simple and autonomous manmade organisms. After several generations of rule repetition, initially manmade mechanisms of self-learning, self-repair, and self-organization enable self-evolution towards more complex behaviors. Complexity can result in unexpected behaviors, which may be robust and more reliable; can be counterintuitive compared with the original design; but can potentially become undesirable, out-ofcontrol, and unsafe behaviors. This subject has been under intense research and examination in recent years. Natural evolution and system biology (biologyinspired automation mechanisms for systems engineering) are the driving analogies of this trend: concurrent steps and rules of responsive selection, interdependent recombination, reproduction, mutation, reformation, adaptation, and death-and-birth can be defined, similar to how complex organisms function and evolve in nature. Similar automation techniques are used in genetic algorithms, artificial neural networks, swarm algorithms, and other emerging evolutionary automation

3.6 Emerging Trends

50

Part A

Development and Impacts of Automation

Part A 3.6

Interesting examples of the e-Collaboration trend include wikis, which since the early 2000s have been increasingly adopted by enterprises as collaborative software, enriching static intranets and the Internet. Examples of e-Collaborative applications that emerged in the 1990s include project communication for coplanning, sharing the creation and editing of design documents as codesign and codocumentation, and mutual inspiration for collaborative innovation and invention through cobrainstorming. Beyond human–human automation-supported collaboration through better and more powerful communication technology, there is a well-known but not yet fully understood trend for collaborative e-Work. Associated with this field is collaborative control theory (CCT), which is under development. Collaborative e-Work is motivated by significantly improved performance of humans leveraging their collaborative automatic agents. The latter, from software automata (e.g., constructive bots as opposed to spam and other destructive bots) to automation devices, multisensors, multiagents, and multirobots can operate in a parallel, autonomous cyberspace, thus multiplying our productivity and increasing our ability to design sustainable systems and operations. A related important trend is the emergence of active middleware for collaboration support of device networks and of human team networks and enterprises. More about this subject area can be found in several chapters of this handbook, particularly in Chaps. 12, 14, 26, and 88.

3.6.4 Risks of Automation As civilization increasingly depends on automation and looks for automation to support solutions of its serious problems, the risks associated with automation must be understood and eliminated. Failures of automation on a very large scale are most risky. Just a few examples of disasters caused by automation failures are nuclear accidents; power supply disruptions and blackout; Federal Aviation Administration control systems failures causing air transportation delays and shutdowns; cellular communication network failures; and water supply failures. The impacts of severe natural and manmade disasters on automated infrastructure are therefore the target of intense research and development. In addition, automation experts are challenged to apply automation to enable sustainability and better mitigate and eliminate natural and manmade disasters, such as security, safety, and health calamities.

3.6.5 Need for Dependability, Survivability, Security, and Continuity of Operation Emerging efforts are addressing better automation dependability and security by structured backup and recovery of information and communication systems. For instance, with service orientation that is able to survive, automation can enable gradual and degraded services by sustaining critical continuity of operations until the repair, recovery, and resumption of full services. Automation continues to be designed with the goal of preventing and eliminating any conceivable errors, failures, and conflicts, within economic constraints. In addition, the trend of collaborative flexibility being designed into automation frameworks encourages reconfiguration tools that redirect available, safe resources to support the most critical functions, rather that designing absolutely failure-proof system. With the trend towards collaborative, networked automation systems, dependability, survivability, security, and continuity of operations are increasingly being enabled by autonomous self-activities, such as:

• • • • • • •

Self-awareness and situation awareness Self-configuration Self-explaining and self-rationalizing Self-healing and self-repair Self-optimization Self-organization Self-protection for security.

Other dimensions of emerging automation risks involve privacy invasion, electronic surveillance, accuracy and integrity concerns, intellectual and physical property protection and security, accessibility issues, confidentiality, etc. Increasingly, people ask about the meaning of automation, how can we benefit from it, yet find a way to contain its risks and powers. At the extreme of this concern is the automation singularity [3.18]. Automation singularity follows the evident acceleration of technological developments and discoveries. At some point, people ask, is it possible that superhuman machines can take over the human race? If we build them too autonomous, with collaborative ability to self-improve and self-sustain, would they not eventually be able to exceed human intelligence? In other words, superintelligent machines may autonomously, automatically, produce discoveries that are too complex for humans to comprehend; they may even act in ways that we consider out of control, chaotic, and even aimed

Automation: What It Means to Us Around the World

at damaging and overpowering people. This emerging trend of thought will no doubt energize future research on how to prevent automation from running on its own

3.8 Further Reading

51

without limits. In a way, this is the 21st century human challenge of never play with fire.

3.7 Conclusion 1. How can automation be improved and become more useful and dependable? 2. How can we limit automation from being too risky when it fails? 3. How can we develop better automation that is more autonomous and performs better, yet does not take over our humanity? These topics are discussed in detail in the chapters of this handbook.

3.8 Further Reading • • •

• • •

• • • •

U. Alon: An Introduction to Systems Biology: Design Principles of Biological Circuits (Chapman Hall, New York 2006) R.C. Asfahl: Robots and Manufacturing Automation, 2nd edn. (Wiley, New York 1992) M.V. Butz, O. Sigaud, G. Pezzulo, G. Baldassarre (Eds.): Anticipatory Behavior in Adaptive Learning Systems: From Brains to Individual and Social Behavior (Springer, Berlin Heidelberg 2007) Center for Chemical Process Safety (CCPS): Guidelines for Safe and Reliable Instrumented Protective Systems (Wiley, New York 2007) K. Collins: PLC Programming For Industrial Automation (Exposure, Goodyear 2007) C.H. Dagli, O. Ersoy, A.L. Buczak, D.L. Enke, M. Embrechts: Intelligent Engineering Systems Through Artificial Neural Networks: Smart Systems Engineering, Comput. Intell. Architect. Complex Eng. Syst., Vol. 17 (ASME, New York 2007) R.C. Dorf, R.H. Bishop: Modern Control Systems (Pearson, Upper Saddle River 2007) R.C. Dorf, S.Y. Nof (Eds.): International Encyclopedia of Robotics and Automation (Wiley, New York 1988) K. Elleithy (ed.): Innovations and Advanced Techniques in Systems, Computing Sciences and Software Engineering (Springer, Berlin Heidelberg 2008) K. Evans: Programming of CNC Machines, 3rd edn. (Industrial Press, New York 2007)

• • • • • • • • • •

M. Fewster, D. Graham: Software Test Automation: Effective Use of Test Execution Tools (AddisonWesley, Reading 2000) P.G. Friedmann: Automation and Control Systems Economics, 2nd edn. (ISA, Research Triangle Park 2006) C.Y. Huang, S.Y. Nof: Automation technology. In: Handbook of Industrial Engineering, ed. by G. Salvendy (Wiley, New York 2001), 3rd edn., Chap. 5 D.C. Jacobs, J.S. Yudken: The Internet, Organizational Change and Labor: The Challenge of Virtualization (Routledge, London 2003) S. Jaderstrom, J. Miller (Eds.): Complete Office Handbook: The Definitive Reference for Today’s Electronic Office, 3rd edn. (Random House, New York 2002) T.R. Kochtanek, J.R. Matthews: Library Information Systems: From Library Automation to Distributed Information Access Solutions (Libraries Unlimited, Santa Barbara 2002) E.M. Marszal, E.W. Scharpf: Safety Integrity Level Selection: Systematic Methods Including Layer of Protection Analysis (ISA, Research Triangle Park 2002) A.P. Mathur: Foundations of Software Testing (Addison-Wesley, Reading 2008) D.F. Noble: Forces of Production: A Social History of Industrial Automation (Oxford Univ. Press, Cambridge 1986) R. Parasuraman, T.B. Sheridan, C.D. Wickens: A model for types and levels of human interac-

Part A 3.8

This chapter explores the meaning of automation to people around the world. After review of the evolution of automation and its influence on civilization, its main contributions and attributes, a survey is used to summarize highlights of the meaning of automation according to people around the world. Finally, emerging trends in automation and concerns about automation are also described. They can be summarized as addressing three general questions:

52

Part A

Development and Impacts of Automation

• • • •

tion with automation, IEEE Trans. Syst. Man Cyber. 30(3), 286–197 (2000) G.D. Putnik, M.M. Cunha (Eds.): Encyclopedia of Networked and Virtual Organizations (Information Science Reference, 2008) R.K. Rainer, E. Turban: Introduction to Information Systems, 2nd edn. (Wiley, New York 2009) R.L. Shell, E.L. Hall (Eds.): Handbook of Industrial Automation (CRC, Boca Raton 2000) T.B. Sheridan: Humans and Automation: System Design and Research Issues (Wiley, New York 2002)

• • • •

V. Trevathan: A Guide to the Automation Body of Knowledge, 2nd edn. (ISA, Research Triangle Park 2006) L. Wang, K.C. Tan: Modern Industrial Automation Software Design (Wiley-IEEE Press, New York 2006) N. Wiener: Cybernetics, or the Control and Communication in the Animal and the Machine, 2nd edn. (MIT Press, Cambridge 1965) T.J. Williams, S.Y. Nof: Control models. In: Handbook of Indus trial Engineering, ed. by G. Salvendy (Wiley, New York 1992), 2nd edn., Chap. 9

Part A 3

References 3.1

3.2

3.3

3.4

3.5

3.6 3.7 3.8

3.9

I. Asimov: Foreword. In: Handbook of Industrial Robotics, ed. by S.Y. Nof (Wiley, New York 1999), 2nd edn. Hearings on automation and technological change, Subcommittee on Economic Stabilization of the Joint Committee on the Economic Report, US Congress, October 14–28 (1955) W. Buckingham: Automation: Its Impact on Business and People (The New American Library, New York 1961) J.G. Truxal: Control Engineers’ Handbook: Servomechanisms, Regulators, and Automatic Feedback Control Systems (McGraw Hill, New York 1958) M.P. Groover: Automation, Production Systems, and Computer-Integrated Manufacturing, 3rd edn. (Prentice Hall, Englewood Cliffs 2007) D. Tapping, T. Shuker: Value Stream Management for the Lean Office (Productivity, Florence 2003) S. Burton, N. Shelton: Procedures for the Automated Office, 6th edn. (Prentice Hall, Englewood Cliffs 2004) A. Dolgui, G. Morel, C.E. Pereira (Eds.): INCOM’06, information control problems in manufacturing, Proc. 12th IFAC Symp., St. Etienne (2006) R. Felder, M. Alwan, M. Zhang: Systems Engineering Approach to Medical Automation (Artech House, London 2008)

3.10

3.11

3.12

3.13

3.14

3.15 3.16 3.17 3.18

A. Cichocki, A.S. Helal, M. Rusinkiewicz, D. Woelk: Workflow and Process Automation: Concepts and Technology (Kluwer, Boston 1998) B. Karakostas, Y. Zorgios: Engineering Service Oriented Systems: A Model Driven Approach (IGI Global, Hershey 2008) G.M. Lenart, S.Y. Nof: Object-oriented integration of design and manufacturing in a laser processing cell, Int. J. Comput. Integr. Manuf. 10(1–4), 29–50 (1997), special issue on design and implementation of CIM systems K.-S. Min: Automation and control systems technology in korean shipbuilding industry: the state of the art and the future perspectives, Proc. 17th World Congr. IFAC, Seoul (2008) S.Y. Nof, W.E. Wilhelm, H.J. Warnecke: Industrial Assembly (Chapman Hall, New York 1997) J.R. Bright: Automation and Management (Harvard Univ. Press, Boston 1958) G.H. Amber, P.S. Amber: Anatomy of Automation (Prentice Hall, Englewood Cliffs 1964) NAE: US National Academy of Engineering, Washington (2008). http://www.engineeringchallenges.org/ Special Report: The singularity, IEEE Spectrum 45(6) (2008)

53

A History of A 4. A History of Automatic Control

Christopher Bissell

4.1

Antiquity and the Early Modern Period ...

53

4.2

Stability Analysis in the 19th Century ......

56

4.3

Ship, Aircraft and Industrial Control Before WWII .........................................

57

4.4 Electronics, Feedback and Mathematical Analysis ....................

59

4.5 WWII and Classical Control: Infrastructure

60

4.6 WWII and Classical Control: Theory .........

62

4.7

The Emergence of Modern Control Theory

63

4.8 The Digital Computer ............................

64

4.9 The Socio-Technological Context Since 1945............................................

65

4.10 Conclusion and Emerging Trends ...........

66

4.11 Further Reading ...................................

67

References ..................................................

67

Information was gradually disseminated, and state-space or modern control techniques, fuelled by Cold War demands for missile control systems, rapidly developed in both East and West. The immediate post-war period was marked by great claims for automation, but also great fears, while the digital computer opened new possibilities for automatic control.

4.1 Antiquity and the Early Modern Period Feedback control can be said to have originated with the float valve regulators of the Hellenic and Arab worlds [4.1]. They were used by the Greeks and Arabs to control such devices as water clocks, oil lamps and wine dispensers, as well as the level of water in tanks. The precise construction of such systems is still not

entirely clear, since the descriptions in the original Greek or Arabic are often vague, and lack illustrations. The best known Greek names are Ktsebios and Philon (third century BC) and Heron (first century AD) who were active in the eastern Mediterranean (Alexandria, Byzantium). The water clock tradition was continued in

Part A 4

Automatic control, particularly the application of feedback, has been fundamental to the development of automation. Its origins lie in the level control, water clocks, and pneumatics/hydraulics of the ancient world. From the 17th century onwards, systems were designed for temperature control, the mechanical control of mills, and the regulation of steam engines. During the 19th century it became increasingly clear that feedback systems were prone to instability. A stability criterion was derived independently towards the end of the century by Routh in England and Hurwitz in Switzerland. The 19th century, too, saw the development of servomechanisms, first for ship steering and later for stabilization and autopilots. The invention of aircraft added (literally) a new dimension to the problem. Minorsky’s theoretical analysis of ship control in the 1920s clarified the nature of three-term control, also being used for process applications by the 1930s. Based on servo and communications engineering developments of the 1930s, and driven by the need for high-performance gun control systems, the coherent body of theory known as classical control emerged during and just after WWII in the US, UK and elsewhere, as did cybernetics ideas. Meanwhile, an alternative approach to dynamic modeling had been developed in the USSR based on the approaches of Poincaré and Lyapunov.

54

Part A

Development and Impacts of Automation

the Arab world as described in books by writers such as Al-Jazari (1203) and Ibn al-Sa-ati (1206), greatly influenced by the anonymous Arab author known as Pseudo-Archimedes of the ninth–tenth century AD, who makes specific reference to the Greek work of Heron and Philon. Float regulators in the tradition of Heron were also constructed by the three brothers Banu Musa in Baghdad in the ninth century AD. The float valve level regulator does not appear to have spread to medieval Europe, even though translations existed of some of the classical texts by the above writers. It seems rather to have been reinvented during the industrial revolution, appearing in England, for

example, in the 18th century. The first independent European feedback system was the temperature regulator of Cornelius Drebbel (1572–1633). Drebbel spent most of his professional career at the courts of James I and Charles I of England and Rudolf II in Prague. Drebbel himself left no written records, but a number of contemporary descriptions survive of his invention. Essentially an alcohol (or other) thermometer was used to operate a valve controlling a furnace flue, and hence the temperature of an enclosure [4.2]. The device included screws to alter what we would now call the set point. If level and temperature regulation were two of the major precursors of modern control systems, then

29 30

30

Part A 4.1

30

30

30

30 46

31

46

32 31

45

45 28

B

44

44 27 38

37

33

35

A

40

26 45

34 38

37

35

41

39 42

38 C 43 39

42

38

39

42

Fig. 4.1 Mead’s speed regulator (af-

ter [4.1])

A History of Automatic Control

4.1 Antiquity and the Early Modern Period

55

perhaps the most important were Thomas Mead’s devices [4.3], which used a centrifugal pendulum to sense the speed and – in some applications – also to provide feedback, hence pointing the way to the centrifugal governor (Fig. 4.1). The first steam engines were the reciprocating engines developed for driving water pumps; James Watt’s rotary engines were sold only from the early 1780s. But it took until the end of the decade for the centrifugal governor to be applied to the machine, following a visit by Watt’s collaborator, Matthew Boulton, to

a number of devices designed for use with windmills pointed the way towards more sophisticated devices. During the 18th century the mill fantail was developed both to keep the mill sails directed into the wind and to automatically vary the angle of attack, so as to avoid excessive speeds in high winds. Another important device was the lift-tenter. Millstones have a tendency to separate as the speed of rotation increases, thus impairing the quality of flour. A number of techniques were developed to sense the speed and hence produce a restoring force to press the millstones closer together. Of these,

Part A 4.1

0 0

1

2 0.5

3

4

5

6

1

Fig. 4.2 Boulton & Watt steam engine with centrifugal governor (after [4.1])

7 2

8

9

10 3

11

12 feet 4m

56

Part A

Development and Impacts of Automation

the Albion Mill in London where he saw a lift-tenter in action under the control of a centrifugal pendulum (Fig. 4.2). Boulton and Watt did not attempt to patent the device (which, as noted above, had essen-

tially already been patented by Mead) but they did try unsuccessfully to keep it secret. It was first copied in 1793 and spread throughout England over the next ten years [4.4].

4.2 Stability Analysis in the 19th Century

Part A 4.2

With the spread of the centrifugal governor in the early 19th century a number of major problems became apparent. First, because of the absence of integral action, the governor could not remove offset: in the terminology of the time it could not regulate but only moderate. Second, its response to a change in load was slow. And thirdly, (nonlinear) frictional forces in the mechanism could lead to hunting (limit cycling). A number of attempts were made to overcome these problems: for example, the Siemens chronometric governor effectively introduced integral action through differential gearing, as well as mechanical amplification. Other approaches to the design of an isochronous governor (one with no offset) were based on ingenious mechanical constructions, but often encountered problems of stability. Nevertheless the 19th century saw steady progress in the development of practical governors for steam engines and hydraulic turbines, including spring-loaded designs (which could be made much smaller, and operate at higher speeds) and relay (indirect-acting) governors [4.6]. By the end of the century governors of various sizes and designs were available for effective regulation in a range of applications, and a number of graphical techniques existed for steady-state design. Few engineers were concerned with the analysis of the dynamics of a feedback system. In parallel with the developments in the engineering sector a number of eminent British scientists became interested in governors in order to keep a telescope directed at a particular star as the Earth rotated. A formal analysis of the dynamics of such a system by George Bidell Airy, Astronomer Royal, in 1840 [4.7] clearly demonstrated the propensity of such a feedback system to become unstable. In 1868 James Clerk Maxwell analyzed governor dynamics, prompted by an electrical experiment in which the speed of rotation of a coil had to be held constant. His resulting classic paper On governors [4.8] was received by the Royal Society on 20 February. Maxwell derived a third-order linear model and the correct conditions for stability in terms of the coefficients of the characteristic equation. Un-

able to derive a solution for higher-order models, he expressed the hope that the question would gain the attention of mathematicians. In 1875 the subject for the Cambridge University Adams Prize in mathematics was set as The criterion of dynamical stability. One of the examiners was Maxwell himself (prizewinner in 1857) and the 1875 prize (awarded in 1877) was won by Edward James Routh. Routh had been interested in dynamical stability for several years, and had already obtained a solution for a fifth-order system. In the published paper [4.9] we find derived the Routh version of the renowned Routh–Hurwitz stability criterion. Related, independent work was being carried out in continental Europe at about the same time [4.5]. A summary of the work of I.A. Vyshnegradskii in St. Petersburg appeared in the French Comptes Rendus de l’Academie des Sciences in 1876, with the full version appearing in Russian and German in 1877, and in French in 1878/79. Vyshnegradskii (generally transliterated at the time as Wischnegradski) transformed a third-order differential equation model of a steam eny

G L

D

N

F

E M H x

Fig. 4.3 Vyshnegradskii’s stability diagram with modern

pole positions (after [4.5])

A History of Automatic Control

gine with governor into a standard form

57

alistic model, however, was seventh-order, and Stodola posed the general problem to a mathematician colleague Adolf Hurwitz, who very soon came up with his version of the Routh–Hurwitz criterion [4.10]. The two versions were shown to be identical by Enrico Bompiani in 1911 [4.11]. At the beginning of the 20th century the first general textbooks on the regulation of prime movers appeared in a number of European languages [4.12, 13]. One of the most influential was Tolle’s Regelung der Kraftmaschine, which went through three editions between 1905 and 1922 [4.14]. The later editions included the Hurwitz stability criterion.

ϕ + xϕ + yϕ + 1 = 0 , 3

4.3 Ship, Aircraft and Industrial Control Before WWII

2

where x and y became known as the Vyshnegradskii parameters. He then showed that a point in the x–y plane defined the nature of the system transient response. Figure 4.3 shows the diagram drawn by Vyshnegradskii, to which typical pole constellations for various regions in the plane have been added. In 1893 Aurel Boreslav Stodola at the Federal Polytechnic, Zurich, studied the dynamics of a high-pressure hydraulic turbine, and used Vyshnegradskii’s method to assess the stability of a third-order model. A more re-

4.3 Ship, Aircraft and Industrial Control Before WWII During the first decades of the 20th century gyroscopes were increasingly used for ship stabilization and autopilots. Elmer Sperry pioneered the active stabilizer, the gyrocompass, and the gyroscope autopilot, filing various patents over the period 1907–1914. Sperry’s autopilot was a sophisticated device: an inner loop controlled an electric motor which operated the steering engine, while an outer loop used a gyrocompass to sense the heading. Sperry also designed an anticipator to replicate the way in which an experienced helmsman would meet the helm (to prevent oversteering); the anticipator was, in fact, a type of adaptive control [4.16].

M

m

o m'

g

f

a

k i j

u

l d

r

s

v e p

y x

z w

h

q

b

n

c

t

Fig. 4.4 Torpedo servomotor as fitted to Whitehead torpedoes around 1900 (after [4.15])

Part A 4.3

The first ship steering engines incorporating feedback appeared in the middle of the 19th century. In 1873 Jean Joseph Léon Farcot published a book on servomotors in which he not only described the various designs developed in the family firm, but also gave an account of the general principles of position control. Another important maritime application of feedback control was in gun turret operation, and hydraulics were also extensively developed for transmission systems. Torpedoes, too, used increasingly sophisticated feedback systems for depth control – including, by the end of the century, gyroscopic action (Fig. 4.4).

58

Part A

Development and Impacts of Automation

was normally adjusted to give an approximately deadbeat response to a step disturbance. The incorporation of derivative action [. . . ] was based on Sperry’s intuitive understanding of the behaviour of the system, not on any theoretical foundations. The system was also adaptive [. . . ] adjusting the gain to match the speed of the aircraft.

Sperry and his son Lawrence also designed aircraft autostabilizers over the same period, with the added complexity of three-dimensional control. Bennett describes the system used in an acclaimed demonstration in Paris in 1914 [4.17]: For this system the Sperrys used four gyroscopes mounted to form a stabilized reference platform; a train of electrical, mechanical and pneumatic components detected the position of the aircraft relative to the platform and applied correction signals to the aircraft control surfaces. The stabilizer operated for both pitch and roll [. . . ] The system

Significant technological advances in both ship and aircraft stabilization took place over the next two decades, and by the mid 1930s a number of airlines were using Sperry autopilots for long-distance flights. However, apart from the stability analyses discussed

47

49

Part A 4.3

45

15 33 51

35 41 31 b a

53

39

43

55

23

21 3 37

17

13 18

29

11 9

27 25

5

7

Fig. 4.5 The Stabilog, a pneumatic controller providing proportional and integral action [4.18]

A History of Automatic Control

Important technological developments were also being made in other sectors during the first few decades of the 20th century, although again there was little theoretical underpinning. The electric power industry brought demands for voltage and frequency regulation; many processes using driven rollers required accurate speed control; and considerable work was carried out in a number of countries on systems for the accurate pointing of guns for naval and anti-aircraft gunnery. In the process industries, measuring instruments and pneumatic controllers of increasing sophistication were developed. Mason’s Stabilog (Fig. 4.5), patented in 1933, included integral as well as proportional action, and by the end of the decade three-term controllers were available that also included preact or derivative control. Theoretical progress was slow, however, until the advances made in electronics and telecommunications in the 1920s and 30s were translated into the control field during WWII.

4.4 Electronics, Feedback and Mathematical Analysis The rapid spread of telegraphy and then telephony from the mid 19th century onwards prompted a great deal of theoretical investigation into the behaviour of electric circuits. Oliver Heaviside published papers on his operational calculus over a number of years from 1888 onwards [4.20], but although his techniques produced valid results for the transient response of electrical networks, he was fiercely criticized by contemporary mathematicians for his lack of rigour, and ultimately he was blackballed by the establishment. It was not until the second decade of the 20th century that Bromwich, Carson and others made the link between Heaviside’s operational calculus and Fourier methods, and thus proved the validity of Heaviside’s techniques [4.21]. The first three decades of the 20th century saw important analyses of circuit and filter design, particularly in the USA and Germany. Harry Nyquist and Karl Küpfmüller were two of the first to consider the problem of the maximum transmission rate of telegraph signals, as well as the notion of information in telecommunications, and both went on to analyze the general stability problem of a feedback circuit [4.22]. In 1928 Küpfmüller analyzed the dynamics of an automatic gain control electronic circuit using feedback. He appreciated the dynamics of the feedback system, but his integral equation approach resulted only in a approximations and design diagrams, rather than a rig-

orous stability criterion. At about the same time in the USA, Harold Black was designing feedback amplifiers for transcontinental telephony (Fig. 4.6). In a famous epiphany on the Hudson River ferry in August 1927 he realized that negative feedback could reduce distortion at the cost of reducing overall gain. Black passed on the problem of the stability of such a feedback loop to his Bell Labs colleague Harry Nyquist, who published his celebrated frequency-domain encirclement criterion in 1932 [4.23]. Nyquist demonstrated, using results derived by Cauchy, that the key to stability is whether or not the open loop frequency response locus in the complex plane encircles (in Nyquist’s original convention) the point 1 + i0. One of the great advantages of this approach is that no analytical form of the open loop frequency response is required: a set of measured data points can be plotted without the need for a mathematical model. Another advantage is that, unlike the Routh–Hurwitz criterion, an assessment of the transient response can be made directly from the Nyquist plot in terms of gain and phase margins (how close the locus approaches the critical point). Black’s 1934 paper reporting his contribution to the development of the negative feedback amplifier included what was to become the standard closed-loop analysis in the frequency domain [4.24].

59

Part A 4.4

in Sect. 4.2 above, which were not widely known at this time, there was little theoretical investigation of such feedback control systems. One of the earliest significant studies was carried out by Nicholas Minorsky, published in 1922 [4.19]. Minorsky was born in Russia in 1885 (his knowledge of Russian proved to be important to the West much later). During service with the Russian Navy he studied the ship steering problem and, following his emigration to the USA in 1918, he made the first theoretical analysis of automatic ship steering. This study clearly identified the way that control action should be employed: although Minorsky did not use the terms in the modern sense, he recommended an appropriate combination of proportional, derivative and integral action. Minorsky’s work was not widely disseminated, however. Although he gave a good theoretical basis for closed loop control, he was writing in an age of heroic invention, when intuition and practical experience were much more important for engineering practice than theoretical analysis.

4.4 Electronics, Feedback and Mathematical Analysis

60

Part A

Development and Impacts of Automation

μe + n + d(E) e β (E + N + D)

Amplifier circuit μ

E+N+D

µβ (E + N + D)

Feedback circuit β

Fig. 4.6 Black’s feedback amplifier (after [4.24])

Part A 4.5

The third key contributor to the analysis of feedback in electronic systems at Bell Labs was Hendrik Bode who worked on equalizers from the mid 1930s, and who demonstrated that attenuation and phase shift were related in any realizable circuit [4.25]. The dream of telephone engineers to build circuits with fast cutoff and low phase shift was indeed only a dream. It was Bode who introduced the notions of gain and phase margins, and redrew the Nyquist plot in its now conventional form with the critical point at −1 + i0. He also introduced the famous straight-line approximations to frequency response curves of linear systems plotted on log–log axes. Bode presented his methods in a classic text published immediately after the war [4.26]. If the work of the communications engineers was one major precursor of classical control, then the other

was the development of high-performance servos in the 1930s. The need for such servos was generated by the increasing use of analogue simulators, such as network analysers for the electrical power industry and differential analysers for a wide range of problems. By the early 1930s six-integrator differential analysers were in operation at various locations in the USA and the UK. A major center of innovation was MIT, where Vannevar Bush, Norbert Wiener and Harold Hazen had all contributed to design. In 1934 Hazen summarized the developments of the previous years in The theory of servomechanisms [4.27]. He adopted normalized curves, and parameters such as time constant and damping factor, to characterize servo-response, but he did not given any stability analysis: although he appears to have been aware of Nyquists’s work, he (like almost all his contemporaries) does not appear to have appreciated the close relationship between a feedback servomechanism and a feedback amplifier. The 1930s American work gradually became known elsewhere. There is ample evidence from prewar USSR, Germany and France that, for example, Nyquist’s results were known – if not widely disseminated. In 1940, for example, Leonhard published a book on automatic control in which he introduced the inverse Nyquist plot [4.28], and in the same year a conference was held in Moscow during which a number of Western results in automatic control were presented and discussed [4.29]. Also in Russia, a great deal of work was being carried out on nonlinear dynamics, using an approach developed from the methods of Poincaré and Lyapunov at the turn of the century [4.30]. Such approaches, however, were not widely known outside Russia until after the war.

4.5 WWII and Classical Control: Infrastructure Notwithstanding the major strides identified in the previous subsections, it was during WWII that a discipline of feedback control began to emerge, using a range of design and analysis techniques to implement highperformance systems, especially those for the control of anti-aircraft weapons. In particular, WWII saw the coming together of engineers from a range of disciplines – electrical and electronic engineering, mechanical engineering, mathematics – and the subsequent realisation that a common framework could be applied to all the various elements of a complex control system in order to achieve the desired result [4.18, 31].

The so-called fire control problem was one of the major issues in military research and development at the end of the 1930s. While not a new problem, the increasing importance of aerial warfare meant that the control of anti-aircraft weapons took on a new significance. Under manual control, aircraft were detected by radar, range was measured, prediction of the aircraft position at the arrival of the shell was computed, guns were aimed and fired. A typical system could involve up to 14 operators. Clearly, automation of the process was highly desirable, and achieving this was to require detailed research into such matters as the dynamics of

A History of Automatic Control

British Thomson–Houston, and others. Nevertheless, it is true to say that overall coordination was not as effective as in the USA. A body that contributed significantly to the dissemination of theoretical developments and other research into feedback control systems in the UK was the so called Servo-Panel. Originally established informally in 1942 as the result of an initiative of Solomon (head of a special radar group at Malvern), it acted rather as a learned society with approximately monthly meetings from May 1942 to August 1945. Towards the end of the war meetings included contributions from the US. Germany developed successful control systems for civil and military applications both before and during the war (torpedo and flight control, for example). The period 1938–1941 was particularly important for the development of missile guidance systems. The test and development center at Peenemünde on the Baltic coast had been set up in early 1936, and work on guidance and control saw the involvement of industry, the government and universities. However, there does not appear to have been any significant national coordination of R&D in the control field in Germany, and little development of high-performance servos as there was in the US and the UK. When we turn to the German situation outside the military context, however, we find a rather remarkable awareness of control and even cybernetics. In 1939 the Verein Deutscher Ingenieure, one of the two major German engineers’ associations, set up a specialist committee on control engineering. As early as October 1940 the chair of this body Herman Schmidt gave a talk covering control engineering and its relationship with economics, social sciences and cultural aspects [4.33]. Rather remarkably, this committee continued to meet during the war years, and issued a report in 1944 concerning primarily control concepts and terminology, but also considering many of the fundamental issues of the emerging discipline. The Soviet Union saw a great deal of prewar interest in control, mainly for industrial applications in the context of five-year plans for the Soviet command economy. Developments in the USSR have received little attention in English-language accounts of the history of the discipline apart from a few isolated papers. It is noteworthy that the Kommissiya Telemekhaniki i Avtomatiki (KTA) was founded in 1934, and the Institut Avtomatiki i Telemekhaniki (IAT) in 1939 (both under the auspices of the Soviet Academy of Sciences, which controlled scientific research through its network of institutes). The KTA corresponded with numerous western manufacturers of control equipment in the mid

61

Part A 4.5

the servomechanisms driving the gun aiming, the design of controllers, and the statistics of tracking aircraft possibly taking evasive action. Government, industry and academia collaborated closely in the US, and three research laboratories were of prime importance. The Servomechanisms Laboratory at MIT brought together Brown, Hall, Forrester and others in projects that developed frequency-domain methods for control loop design for high-performance servos. Particularly close links were maintained with Sperry, a company with a strong track record in guidance systems, as indicated above. Meanwhile, at MIT’s Radiation Laboratory – best known, perhaps, for its work on radar and long-distance navigation – researchers such as James, Nichols and Phillips worked on the further development of design techniques for auto-track radar for AA gun control. And the third institution of seminal importance for fire-control development was Bell Labs, where great names such as Bode, Shannon and Weaver – in collaboration with Wiener and Bigelow at MIT – attacked a number of outstanding problems, including the theory of smoothing and prediction for gun aiming. By the end of the war, most of the techniques of what came to be called classical control had been elaborated in these laboratories, and a whole series of papers and textbooks appeared in the late 1940s presenting this new discipline to the wider engineering community [4.32]. Support for control systems development in the United States has been well documented [4.18, 31]. The National Defence Research Committee (NDRC) was established in 1940 and incorporated into the Office of Scientific Research and Development (O.R.) the following year. Under the directorship of Vannevar Bush the new bodies tackled anti-aircraft measures, and thus the servo problem, as a major priority. Section D of the NDRC, devoted to Detection, Controls and Instruments was the most important for the development of feedback control. Following the establishment of the O.R. the NDRC was reorganised into divisions, and Division 7, Fire Control, under the overall direction of Harold Hazen, covered the subdivisions: groundbased anti-aircraft fire control; airborne fire control systems; servomechanisms and data transmission; optical rangefinders; fire control analysis; and navy fire control with radar. Turning to the United Kingdom, by the outbreak of WWII various military research stations were highly active in such areas as radar and gun laying, and there were also close links between government bodies and industrial companies such as Metropolitan–Vickers,

4.5 WWII and Classical Control: Infrastructure

62

Part A

Development and Impacts of Automation

1930s and translated a number articles from western journals. The early days of the IAT were marred, however, by the Shchipanov affair, a classic Soviet attack on a researcher for pseudo-science, which detracted from technical work for a considerable period of time [4.34]. The other major Russian center of research related to control theory in the 1930s and 1940s (if not for practical applications) was the University of Gorkii (now Nizhnii Novgorod), where Aleksandr Andronov and colleagues had established a center for the study of nonlinear dynamics during the 1930s [4.35]. Andronov was

in regular contact with Moscow during the 1940s, and presented the emerging control theory there – both the nonlinear research at Gorkii and developments in the UK and USA. Nevertheless, there appears to have been no coordinated wartime work on control engineering in the USSR, and the IAT in Moscow was evacuated when the capital came under threat. However, there does seem to have been an emerging control community in Moscow, Nizhnii Novgorod and Leningrad, and Russian workers were extremely well-informed about the open literature in the West.

4.6 WWII and Classical Control: Theory

Part A 4.6

Design techniques for servomechanisms began to be developed in the USA from the late 1930s onwards. In 1940 Gordon S. Brown and colleagues at MIT analyzed the transient response of a closed loop system in detail, introducing the system operator 1/(1 + open loop) as functions of the Heaviside differential operator p. By the end of 1940 contracts were being drawn up between Imaginary axis

KG (iω) Plane M2 Center of = – 2 circles M –1 M Radii of = circles M 2–1

M = 1.1

M = 1.3 M = 0.75 M = 1.5 M=2 3 –3

–2

+1

–1 2

2

3

1

2

0.5 1 cps K= 0.5

0.5 cps

1

K=1 K=2

Fig. 4.7 Hall’s M-circles (after [4.36])

+2

Real axis

the NDRC and MIT for a range of servo projects. One of the most significant contributors was Albert Hall, who developed classic frequency-response methods as part of his doctoral thesis, presented in 1943 and published initially as a confidential document [4.37] and then in the open literature after the war [4.36]. Hall derived the frequency response of a unity feedback servo as KG(iω)/[1 + KG(iω)], applied the Nyquist criterion, and introduced a new way of plotting system response that he called M-circles (Fig. 4.7), which were later to inspire the Nichols Chart. As Bennett describes it [4.38]: Hall was trying to design servosystems which were stable, had a high natural frequency, and high damping. [. . . ] He needed a method of determining, from the transfer locus, the value of K that would give the desired amplitude ratio. As an aid to finding the value of K he superimposed on the polar plot curves of constant magnitude of the amplitude ratio. These curves turned out to be circles. . . By plotting the response locus on transparent paper, or by using an overlay of M-circles printed on transparent paper, the need to draw M-circles was obviated. . . A second MIT group, known as the Radiation Laboratory (or RadLab) was working on auto-track radar systems. Work in this group was described after the war in [4.39]; one of the major innovations was the introduction of the Nichols chart (Fig. 4.8), similar to Hall’s M-circles, but using the more convenient decibel measure of amplitude ratio that turned the circles into a rather different geometrical form. The third US group consisted of those looking at smoothing and prediction for anti-aircraft weapons – most notably Wiener and Bigelow at MIT together with

A History of Automatic Control

Loop gain (dB) –28

0

–0.5

+0.5

–24 –20

+1

–1–0.2

–16 –12

–2 +2

–3

–8 – 4 –4 0

400 +3

–0.4

–6

+4

–12 –0.6

+4 ω +8

+5

200

+6

ω

–0.8

100

1.0

+9 60

+12 +16

+12 40

1.5 +18

+20

2 20

+24 +28 –180 –160 –140 –120 –100

4 10

–80

6 8 +24

–60 –40 –20 0 Loop phase angle (deg)

Fig. 4.8 Nichols Chart (after [4.38])

enabled plots of changing pole position as a function of loop gain to be easily sketched [4.44]. But a radically different approach was already waiting in the wings.

4.7 The Emergence of Modern Control Theory The modern or state space approach to control was ultimately derived from original work by Poincaré and Lyapunov at the end of the 19th century. As noted above, Russians had continued developments along these lines, particularly during the 1920s and 1930s in centers of excellence in Moscow and Gorkii (now Nizhnii Novgorod). Russian work of the 1930s filtered slowly through to the West [4.45], but it was only in the post war period, and particularly with the introduction of cover-to-cover translations of the major Soviet journals, that researchers in the USA and elsewhere became familiar with Soviet work. But phase plane approaches had already been adopted by Western control engineers.

63

One of the first was Leroy MacColl in his early textbook [4.46]. The cold war requirements of control engineering centered on the control of ballistic objects for aerospace applications. Detailed and accurate mathematical models, both linear and nonlinear, could be obtained, and the classical techniques of frequency response and root locus – essentially approximations – were increasingly replaced by methods designed to optimize some measure of performance such as minimizing trajectory time or fuel consumption. Higher-order models were expressed as a set of first order equations in terms of the state variables. The state variables allowed for a more

Part A 4.7

others, including Bode and Shannon, at Bell Labs. This work involved the application of correlation techniques to the statistics of aircraft motion. Although the prototype Wiener predictor was unsuccessful in attempts at practical application in the early 1940s, the general approach proved to be seminal for later developments. Formal techniques in the United Kingdom were not so advanced. Arnold Tustin at Metropolitan–Vickers (Metro–Vick) worked on gun control from the late 1930s, but engineers had little appreciation of dynamics. Although they used harmonic response plots they appeared to have been unaware of the Nyquist criterion until well into the 1940s [4.40]. Other key researchers in the UK included Whitely, who proposed using the inverse Nyquist diagram as early as 1942, and introduced his standard forms for the design of various categories of servosystem [4.41]. In Germany, Winfried Oppelt, Hans Sartorius and Rudolf Oldenbourg were also coming to related conclusions about closed-loop design independently of allied research [4.42, 43]. The basics of sampled-data control were also developed independently during the war in several countries. The z-transform in all but name was described in a chapter by Hurewizc in [4.39]. Tustin in the UK developed the bilinear transformation for time series models, while Oldenbourg and Sartorius also used difference equations to model such systems. From 1944 onwards the design techniques developed during the hostilities were made widely available in an explosion of research papers and text books – not only from the USA and the UK, but also from Germany and the USSR. Towards the end of the decade perhaps the final element in the classical control toolbox was added – Evans’ root locus technique, which

4.7 The Emergence of Modern Control Theory

64

Part A

Development and Impacts of Automation

sophisticated representation of dynamic behaviour than the classical single-input single-output system modelled by a differential equation, and were suitable for multivariable problems. In general, we have in matrix form x = Ax + Bu , y = Cx ,

Part A 4.8

where x are the state variables, u the inputs and y the outputs. Automatic control developments in the late 1940s and 1950s were greatly assisted by changes in the engineering professional bodies and a series of international conferences [4.47]. In the USA both the American Society of Mechanical Engineers and the American Institute of Electrical Engineers made various changes to their structure to reflect the growing importance of servomechanisms and feedback control. In the UK similar changes took place in the British professional bodies, most notably the Institution of Electrical Engineers, but also the Institute of Measurement and Control and the mechanical and chemical engineering bodies. The first

conferences on the subject appeared in the late 1940s in London and New York, but the first truly international conference was held in Cranfield, UK in 1951. This was followed by a number of others, the most influential of which was the Heidelberg event of September 1956, organized by the joint control committee of the two major German engineering bodies, the VDE and VDI. The establishment of the International Federation of Automatic Control followed in 1957 with its first conference in Moscow in 1960 [4.48]. The Moscow conference was perhaps most remarkable for Kalman’s paper On the general theory of control systems which identified the duality between multivariable feedback control and multivariable feedback filtering and which was seminal for the development of optimal control. The late 1950s and early 1960s saw the publication of a number of other important works on dynamic programming and optimal control, of which can be singled out those by Bellman [4.49], Kalman [4.50–52] and Pontryagin and colleagues [4.53]. A more thorough discussion of control theory is provided in Chaps. 9, 11 and 10.

4.8 The Digital Computer The introduction of digital technologies in the late 1950s brought enormous changes to automatic control. Control engineering had long been associated with computing devices – as noted above, a driving force for the development of servos was for applications in analogue computing. But the great change with the introduction of digital computers was that ultimately the approximate methods of frequency response or root locus design, developed explicitly to avoid computation, could be replaced by techniques in which accurate computation played a vital role. There is some debate about the first application of digital computers to process control, but certainly the introduction of computer control at the Texaco Port Arthur (Texas) refinery in 1959 and the Monsanto ammonia plant at Luling (Louisiana) the following year are two of the earliest [4.54]. The earliest systems were supervisory systems, in which individual loops were controlled by conventional electrical, pneumatic or hydraulic controllers, but monitored and optimized by computer. Specialized process control computers followed in the second half of the 1960s, offering direct digital control (DDC) as well as supervisory control. In DDC the computer itself implements a discrete form of a control algorithm such as three-term control or other

procedure. Such systems were expensive, however, and also suffered many problems with programming, and were soon superseded by the much cheaper minicomputers of the early 1970s, most notably the Digital Equipment Corporation PDP series. But, as in so many other areas, it was the microprocessor that had the greatest effect. Microprocessor-based digital controllers were soon developed that were compact, reliable, included a wide selection of control algorithms, had good communications with supervisory computers, and comparatively easy to use programming and diagnostic tools via an effective operator interface. Microprocessors could also easily be built into specific pieces of equipment, such as robot arms, to provide dedicated position control, for example. A development often neglected in the history of automatic control is the programmable logic controller (PLC). PLCs were developed to replace individual relays used for sequential (and combinational) logic control in various industrial sectors. Early plugboard devices appeared in the mid 1960s, but the first PLC proper was probably the Modicon, developed for General Motors to replace electromechanical relays in automotive component production. Modern PLCs offer a wide range of control options, including conventional

A History of Automatic Control

4.9 The Socio-Technological Context Since 1945

65

adaptive control the algorithm is modified according to circumstances. Adaptive control has a long history: so called gain scheduling, for example, when the gain of a controller is varied according to some measured parameter, was used well before the digital computer. (The classic example is in flight control, where the altitude affects aircraft dynamics, and needs therefore to be taken into account when setting gain.) Digital adaptive control, however, offers much greater possibilities for: 1. Identification of relevant system parameters 2. Making decisions about the required modifications to the control algorithm 3. Implementing the changes.

IF the speed is “high” AND the distance to final stop is “short” THEN apply brakes “firmly”. Fig. 4.9 The Modicon 084 PLC (after [4.55])

closed loop control algorithms such as PID as well as the logic functions. In spite of the rise of the ruggedized PCs in many industrial applications, PLCs are still widely used owing to their reliability and familiarity (Fig. 4.9). Digital computers also made it possible to implement the more advanced control techniques that were being developed in the 1960s and 1970s [4.56]. In

The fuzzy variables high, short and firmly can be translated by means of an appropriate computer program into effective control for, in this case, a train. Related techniques include learning control and knowledge-based control. In the former, the control system can learn about its environment using artificial intelligence techniques (AI) and modify its behaviour accordingly. In the latter, a range of AI techniques are applied to reasoning about the situation so as to provide appropriate control action.

4.9 The Socio-Technological Context Since 1945 This short survey of the history of automatic control has concentrated on technological and, to some extent, institutional developments. A full social history of automatic

control has yet to be written, although there are detailed studies of certain aspects. Here I shall merely indicate some major trends since WWII.

Part A 4.9

Optimal and robust techniques too, were developed, the most celebrated perhaps being the linear-quadraticGaussian (LQG) and H∞ approaches from the 1960s onwards. Without digital computers these techniques, that attempt to optimize system rejection of disturbances (according to some measure of behaviour) while at the same time being resistant to errors in the model, would simply be mathematical curiosities [4.57]. A very different approach to control rendered possible by modern computers is to move away from purely mathematic models of system behaviour and controller algorithms. In fuzzy control, for example, control action is based on a set of rules expressed in terms of fuzzy variables. For example

66

Part A

Development and Impacts of Automation

The wartime developments, both in engineering and in areas such as operations research, pointed the way towards the design and management af largescale, complex, projects. Some of those involved in the wartime research were already thinking on a much larger scale. As early as 1949, in some rather prescient remarks at an ASME meeting in the fall of that year, Brown and Campbell said [4.58–60]:

Part A 4.10

We have in mind more a philosophic evaluation of systems which might lead to the improvement of product quality, to better coordination of plant operation, to a clarification of the economics related to new plant design, and to the safe operation of plants in our composite social-industrial community. [. . . ] The conservation of raw materials used in a process often prompts reconsideration of control. The expenditure of power or energy in product manufacture is another important factor related to control. The protection of health of the population adjacent to large industrial areas against atmospheric poisoning and water-stream pollution is a sufficiently serious problem to keep us constantly alert for advances in the study and technique of automatic control, not only because of the human aspect, but because of the economy aspect.

industrial revolution, so the skilled scientist and the skilled administrator may survive the second. However, taking the second revolution as accomplished, the average human of mediocre attainments or less has nothing to sell that it is worth anyone’s money to buy. It is remarkable how many of the wartime engineers involved in control systems development went on to look at social, economic or biological systems. In addition to Wiener’s work on cybernetics, Arnold Tustin wrote a book on the application to economics of control ideas, and both Winfried Oppelt and Karl Küpfmüller investigated biological systems in the postwar period. One of the more controversial applications of control and automation was the introduction of the computer numerical control (CNC) of machine tools from the late 1950s onwards. Arguments about increased productivity were contested by those who feared widespread unemployment. We still debate such issues today, and will continue to do so. Noble, in his critique of automation, particularly CNC, remarks [4.62]: [. . . ] when technological development is seen as politics, as it should be, then the very notion of progress becomes ambiguous: What kind of progress? Progress for whom? Progress for what? And the awareness of this ambiguity, this indeterminacy, reduces the powerful hold that technology has had upon our consciousness and imagination [. . . ] Such awareness awakens us not only to the full range of technological possibilities and political potential but also to a broader and older notion of progress, in which a struggle for human fulfillment and social equality replaces a simple faith in technological deliverance. . . .

Many saw the new technologies, and the prospects of automation, as bringing great benefits to society; others were more negative. Wiener, for example, wrote [4.61]: The modern industrial revolution is [. . . ] bound to devalue the human brain at least in its simpler and more routine decisions. Of course, just as the skilled carpenter, the skilled mechanic, the skilled dressmaker have in some degree survived the first

4.10 Conclusion and Emerging Trends Technology is part of human activity, and cannot be divorced from politics, economics and society. There is no doubt that automatic control, at the core of automation, has brought enormous benefits, enabling modern production techniques, power and water supply, environmental control, information and communication technologies, and so on. At the same time automatic control has called into question the way we organize our societies, and how we run modern technological enter-

prises. Automated processes require much less human intervention, and there have been periods in the recent past when automation has been problematic in those parts of industrialized society that have traditionally relied on a large workforce for carrying out tasks that were subsequently automated. It seems unlikely that these socio-technological questions will be settled as we move towards the next generation of automatic control systems, such as the transformation of work through

A History of Automatic Control

the use of information and communication technology ICT and the application of control ideas to this emerging field [4.63]. Future developments in automatic control are likely to exploit ever more sophisticated mathematical models for those applications amenable to exact technological modeling, plus a greater emphasis on human–machine

References

67

systems, and further development of human behaviour modeling, including decision support and cognitive engineering systems [4.64]. As safety aspects of largescale automated systems become ever more important, large scale integration, and novel ways of communicating between humans and machines, are likely to take on even greater significance.

4.11 Further Reading • • •

• • • • •

• • • • • • • • •

O. Mayr: Authority, Liberty and Automatic Machinery in Early Modern Europe (Johns Hopkins Univ. Press, Baltimore 1986) W. Oppelt: A historical review of autopilot development, research and theory in Germany, Trans ASME J. Dyn. Syst. Meas. Control 98, 213–223 (1976) W. Oppelt: On the early growth of conceptual thinking in control theory – the German role up to 1945, IEEE Control Syst. Mag. 4, 16–22 (1984) B. Porter: Stability Criteria for Linear Dynamical Systems (Oliver Boyd, Edinburgh, London 1967) P. Remaud: Histoire de l’automatique en France 1850–1950 (Hermes Lavoisier, Paris 2007), in French K. Rörentrop: Entwicklung der modernen Regelungstechnik (Oldenbourg, Munich 1971), in German Scientific American: Automatic Control (Simon Shuster, New York 1955) J.S. Small: The Analogue Alternative (Routledge, London, New York 2001) G.J. Thaler (Ed.): Automatic Control: Classical Linear Theory (Dowden, Stroudsburg 1974)

References 4.1 4.2 4.3 4.4 4.5

O. Mayr: The Origins of Feedback Control (MIT, Cambridge 1970) F.W. Gibbs: The furnaces and thermometers of Cornelius Drebbel, Ann. Sci. 6, 32–43 (1948) T. Mead: Regulators for wind and other mills, British Patent (Old Series) 1628 (1787) H.W. Dickinson, R. Jenkins: James Watt and the Steam Engine (Clarendon Press, Oxford 1927) C.C. Bissell: Stodola, Hurwitz and the genesis of the stability criterion, Int. J. Control 50(6), 2313–2332 (1989)

4.6 4.7

4.8 4.9 4.10

S. Bennett: A History of Control Engineering 1800– 1930 (Peregrinus, Stevenage 1979) G.B. Airy: On the regulator of the clock-work for effecting uniform movement of equatorials, Mem. R. Astron. Soc. 11, 249–267 (1840) J.C. Maxwell: On governors, Proc. R. Soc. 16, 270–283 (1867) E.J. Routh: A Treatise on the Stability of a Given State of Motion (Macmillan, London, 1877) A. Hurwitz: Über die Bedingungen, unter welchen eine Gleichung nur Wurzeln mit negativen reellen

Part A 4



R. Bellman (Ed.): Selected Papers on Mathematical Trends in Control Engineering (Dover, New York 1964) C.C. Bissell: http://ict.open.ac.uk/classics (electronic resource) M.S. Fagen (Ed.): A History of Engineering and Science in the Bell System: The Early Years (1875– 1925) (Bell Telephone Laboratories, Murray Hill 1975) M.S. Fagen (Ed.): A History of Engineering and Science in the Bell System: National Service in War and Peace (1925–1975) (Bell Telephone Laboratories, Murray Hill 1979) A.T. Fuller: Stability of Motion, ed. by E.J. Routh, reprinted with additional material (Taylor Francis, London 1975) A.T. Fuller: The early development of control theory, Trans. ASME J. Dyn. Syst. Meas. Control 98, 109–118 (1976) A.T. Fuller: Lyapunov centenary issue, Int. J. Control 55, 521–527 (1992) L.E. Harris: The Two Netherlanders, Humphrey Bradley and Cornelis Drebbel (Cambridge Univ. Press, Cambridge 1961) B. Marsden: Watt’s Perfect Engine (Columbia Univ. Press, New York 2002)

68

Part A

Development and Impacts of Automation

4.11

4.12 4.13 4.14 4.15 4.16 4.17 4.18 4.19

Part A 4

4.20 4.21 4.22

4.23 4.24 4.25

4.26 4.27 4.28 4.29

4.30

4.31 4.32 4.33

Teilen besitzt, Math. Ann. 46, 273–280 (1895), in German E. Bompiani: Sulle condizione sotto le quali un equazione a coefficienti reale ammette solo radici con parte reale negative, G. Mat. 49, 33–39 (1911), in Italian C.C. Bissell: The classics revisited – Part I, Meas. Control 32, 139–144 (1999) C.C. Bissell: The classics revisited – Part II, Meas. Control 32, 169–173 (1999) M. Tolle: Die Regelung der Kraftmaschinen, 3rd edn. (Springer, Berlin 1922), in German O. Mayr: Feedback Mechanisms (Smithsonian Institution Press, Washington 1971) T.P. Hughes: Elmer Sperry: Inventor and Engineer (Johns Hopkins Univ. Press, Baltimore 1971) S. Bennett: A History of Control Engineering 1800– 1930 (Peregrinus, Stevenage 1979) p. 137 S. Bennett: A History of Control Engineering 1930– 1955 (Peregrinus, Stevenage 1993) N. Minorsky: Directional stability of automatically steered bodies, Trans. Inst. Nav. Archit. 87, 123–159 (1922) O. Heaviside: Electrical Papers (Chelsea, New York 1970), reprint of the 2nd edn. S. Bennett: A History of Control Engineering 1800– 1930 (Peregrinus, Stevenage 1979), Chap. 6 C.C. Bissell: Karl Küpfmüller: a German contributor to the early development of linear systems theory, Int. J. Control 44, 977–89 (1986) H. Nyquist: Regeneration theory, Bell Syst. Tech. J. 11, 126–47 (1932) H.S. Black: Stabilized feedback amplifiers, Bell Syst. Tech. J. 13, 1–18 (1934) H.W. Bode: Relations between amplitude and phase in feedback amplifier design, Bell Syst. Tech. J. 19, 421–54 (1940) H.W. Bode: Network Analysis and Feedback Amplifier Design (Van Nostrand, Princeton 1945) H.L. Hazen: Theory of servomechanisms, J. Frankl. Inst. 218, 283–331 (1934) A. Leonhard: Die Selbsttätige Regelung in der Elektrotechnik (Springer, Berlin 1940), in German C.C. Bissell: The First All-Union Conference on Automatic Control, Moscow, 1940, IEEE Control Syst. Mag. 22, 15–21 (2002) C.C. Bissell: A.A. Andronov and the development of Soviet control engineering, IEEE Control Syst. Mag. 18, 56–62 (1998) D. Mindell: Between Human and Machine (Johns Hopkins Univ. Press, Baltimore 2002) C.C. Bissell: Textbooks and subtexts, IEEE Control Syst. Mag. 16, 71–78 (1996) H. Schmidt: Regelungstechnik – die technische Aufgabe und ihre wissenschaftliche, sozialpolitische und kulturpolitische Auswirkung, Z. VDI 4, 81–88 (1941), in German

4.34

4.35

4.36

4.37

4.38 4.39

4.40

4.41

4.42 4.43 4.44 4.45

4.46 4.47

4.48 4.49 4.50

4.51

4.52

4.53

4.54

C.C. Bissell: Control Engineering in the former USSR: some ideological aspects of the early years, IEEE Control Syst. Mag. 19, 111–117 (1999) A.D. Dalmedico: Early developments of nonlinear science in Soviet Russia: the Andronov school at Gorky, Sci. Context 1/2, 235–265 (2004) A.C. Hall: Application of circuit theory to the design of servomechanisms, J. Frankl. Inst. 242, 279–307 (1946) A.C. Hall: The Analysis and Synthesis of Linear Servomechanisms (Restricted Circulation) (The Technology Press, Cambridge 1943) S. Bennett: A History of Control Engineering 1930– 1955 (Peregrinus, Stevenage 1993) p. 142 H.J. James, N.B. Nichols, R.S. Phillips: Theory of Servomechanisms, Radiation Laboratory, Vol. 25 (McGraw-Hill, New York 1947) C.C. Bissell: Pioneers of control: an interview with Arnold Tustin, IEE Rev. 38, 223–226 (1992) A.L. Whiteley: Theory of servo systems with particular reference to stabilization, J. Inst. Electr. Eng. 93, 353–372 (1946) C.C. Bissell: Six decades in control: an interview with Winfried Oppelt, IEE Rev. 38, 17–21 (1992) C.C. Bissell: An interview with Hans Sartorius, IEEE Control Syst. Mag. 27, 110–112 (2007) W.R. Evans: Control system synthesis by root locus method, Trans. AIEE 69, 1–4 (1950) A.A. Andronov, S.E. Khaikin: Theory of Oscillators (Princeton Univ. Press, Princeton 1949), translated and adapted by S. Lefschetz from Russian 1937 publication L.A. MacColl: Fundamental Theory of Servomechanisms (Van Nostrand, Princeton 1945) S. Bennett: The emergence of a discipline: automatic control 1940–1960, Automatica 12, 113–121 (1976) E.A. Feigenbaum: Soviet cybernetics and computer sciences, 1960, Commun. ACM 4(12), 566–579 (1961) R. Bellman: Dynamic Programming (Princeton Univ. Press, Princeton 1957) R.E. Kalman: Contributions to the theory of optimal control, Bol. Soc. Mat. Mex. 5, 102–119 (1960) R.E. Kalman: A new approach to linear filtering and prediction problems, Trans. ASME J. Basic Eng. 82, 34–45 (1960) R.E. Kalman, R.S. Bucy: New results in linear filtering and prediction theory, Trans. ASME J. Basic Eng. 83, 95–108 (1961) L.S. Pontryagin, V.G. Boltyansky, R.V. Gamkrelidze, E.F. Mishchenko: The Mathematical Theory of Optimal Processes (Wiley, New York 1962) T.J. Williams: Computer control technology – past, present, and probable future, Trans. Inst. Meas. Control 5, 7–19 (1983)

A History of Automatic Control

4.55 4.56

4.57

4.58

4.59

C.A. Davis: Industrial Electronics: Design and Application (Merrill, Columbus 1973) p. 458 T. Williams, S.Y. Nof: Control models. In: Handbook of Industrial Engineering, 2nd edn., ed. by G. Salvendy (Wiley, New York 1992) pp. 211–238 J.C. Willems: In control, almost from the beginning until the day after tomorrow, Eur. J. Control 13, 71–81 (2007) G.S. Brown, D.P. Campbell: Instrument engineering: its growth and promise in process-control problems, Mech. Eng. 72, 124–127 (1950) G.S. Brown, D.P. Campbell: Instrument engineering: its growth and promise in process-control problems, Mech. Eng. 72, 136 (1950)

4.60

4.61

4.62 4.63

4.64

References

69

G.S. Brown, D.P. Campbell: Instrument engineering: its growth and promise in process-control problems, Mech. Eng. 72, 587–589 (1950), discussion N. Wiener: Cybernetics: Or Control and Communication in the Animal and the Machine (Wiley, New York 1948) D.F. Noble: Forces of Production. A Social History of Industrial Automation (Knopf, New York 1984) S.Y. Nof: Collaborative control theory for e-Work, e-Production and e-Service, Annu. Rev. Control 31, 281–292 (2007) G. Johannesen: From control to cognition: historical views on human engineering, Stud. Inf. Control 16(4), 379–392 (2007 )

Part A 4

“This page left intentionally blank.”

71

Social, Organ

5. Social, Organizational, and Individual Impacts of Automation Tibor Vámos

Automation and closely related information systems are, naturally, innate and integrated ingredients of all kinds of objects, systems, and social relations of the present reality. This is the reason why this chapter treats the phenomena and problems of this automated/information society in a historical and structural framework much broader than any chapter on technology details. This process transforms traditional human work, offers freedom from burdensome physical and mental constraints and free-

5.1

Scope of Discussion: Long and Short Range of Man–Machine Systems ............

72

5.2

Short History ........................................

74

5.3

Channels of Human Impact ...................

75

5.4 Change in Human Values.......................

76

5.5 Social Stratification, Increased Gaps .......

78

5.6 Production, Economy Structures, and Adaptation ....................................

81

5.7

Education ............................................

86

5.8 Cultural Aspects ....................................

88

5.9 Legal Aspects, Ethics, Standards, and Patents ......................................... 5.9.1 Privacy........................................ 5.9.2 Free Access, Licence, Patent, Copyright, Royalty, and Piracy .......

88 88 90

5.10 Different Media and Applications of Information Automation ...................

90

5.11 Social Philosophy and Globalization .......

91

5.12 Further Reading ...................................

91

References ..................................................

92

dom for individuals and previously subjugated social layers, and creates new gaps and tensions. After detailed documentation of these phenomena, problems of education and culture are treated as main vehicles to adaptation. Legal aspects related to privacy, security, copyright, and patents are referred to, and then social philosophy, impacts of globalization, and new characteristics of information technology– society relations provide a conclusion of future prospects.

Part A 5

Society and information from the evolutionary early beginnings. The revolutionary novelties of our age: the possibility for the end of a human being in the role of draught animal and the symbolic representation of the individual and of his/her property by electronic means, free of distance and time constraints. As a consequence, changing human roles in production, services, organizations and innovation; changing society stratifications, human values, requirements in skills, individual conscience. New relations: centralization and decentralization, less hierarchies, discipline and autonomy, new employment relations, less job security, more free lance, working home, structural unemployment, losers and winners, according to age, gender, skills, social background. Education and training, levels, life long learning, changing methods of education. Role of memory and associative abilities. Changes reflected in linguistic relations, multilingual global society, developments and decays of regional and social vernaculars. The social-political arena, human rights, social philosophies, problems and perspectives of democracy. The global agora and global media rule. More equal or more divided society. Some typical society patterns: US, Europe, Far East, India, Latin America, Africa.

72

Part A

Development and Impacts of Automation

5.1 Scope of Discussion: Long and Short Range of Man–Machine Systems Regarding the social effects of automation, a review of concepts is needed in the context of the purpose of this chapter. Automation, in general, and especially in our times, means all kinds of activity perfected by machines and not by the intervention of direct human control. This definition involves the use of some energy resources operating without human or livestock physical effort and with some kind of information system communicating the purpose of automated activity desired by humans and the automatic execution of the activity, i. e., its control. This definition entails a widely extended view of automation, its relation to information, knowledge control systems, as well as the knowledge and practice of the related human factor. The human factor involves, practically, all areas of science and practice with reAbout 375 BC About 275 About 60

Part A 5.1

About 1280 AD 1285–90 1328 1421 1475 1485–1519 1486 1500 1510 1590 1593 1608 1609 1614 1606–28 1620 1624 1625 1629 1636 1637 1642 1643 1654 1650 1657

First automaton (Archytas dove, Syracuse) Archimedes Steampower, Heron Hodometer, Vitruvius Mechanical clocks Windmills First sawmill Hoisting gear Printing press Leonardo’s technical designs Copyright (Venice) Flush toilet Pocket watch, Henlein Compound microscope, Janssen Water thermometer (Galileo) Refracting telescope Kinematics of Galileo; Planetary motion, Kepler Logarithms, Napier Blood circulation, Harvey Human powered submarine Slide rule, Oughtred Blood transfusion, Denys Steam turbine, Branca Micrometer, Gascoigne Analytic geometry, Descartes Adding machine, Pascal Mercury barometer, Torricelli Probability, Fermat, Pascal, Huygens, J. Bernoulli Air pump, Guericke Pendulum clock, Huygens

spect to human beings: education, health, physical and mental abilities, instruments and virtues of cooperation (i. e., language and sociability), environmental conditions, short- and long-range ways of thinking, ethics, legal systems, various aspects of private life, and entertainment. One of the major theses of this definitional and relational philosophy is the man–machine paradox: the human role in all kinds of automation is a continuously emerging constituent of man–machine symbiosis, with feedback to the same. From this perspective, the inclusion of a discussion of early historical developments is not surprising. This evolution is of twin importance. First, due to the contradictory speeds of human and machine evolution, despite the fascinating results of machine technology, in most 1662 1663–68 1665 1666 1673 1676 1679 1690 1689 1698 1712 1718 1722 1745 1758 1764 1769 1770 1774 1775 1780 1784 1785 1790 1792 1794 1799 1800

Fig. 5.1 Timeline of science and technology in Western civilization

Elements of thermodynamics, Boyle Reflecting telescope, Gregory, Newton Infinitesimal calculus, Newton, Leibniz Gravitation, Newton Calculator, Leibniz Universal joint, Hooke Pressure cooker, Papin Light-wave theory, Huygens Knitting machine, Lee Steam pump, Savery Steam engine, Newcomen Mercury thermometer, Fahrenheit Fire extinguisher, Hopffer Leyden jar, capacitor, Kleist Chromatic lens, Dolland Spinning jenny, Hargreaves Steam engine, controlled by a centrifugal governor, Watt Talking machine and robot mechanisms, Kempelen Electrical telegraph, Lesage Flush toilet, Cummings Bi-focal eyeglass, Franklin Threshing machine, Meikle Power loom, Cartwright; Torsion balance, Coulomb Contact electricity, Galvani; Harmonic analysis, Fourier Gas lighting, Murdoch Ball bearings, Vaughan Battery, Volta; Ohm’s law, Volta, Cavendish, Ohm Loom, Jacquard

Social, Organizational, and Individual Impacts of Automation

applications the very slow progress of the user is critical. Incredibly sophisticated instruments are, and will be, used by creatures not too different from their ancestors of 1000 years ago. This contradiction appears not only in the significant abuse of automated equipment against other people but also in human user reasoning, which is sometimes hysterical and confused in its thinking. The second significance of this paradox is in our perception of various changes: its reflection in problem solving, and in understanding the relevance of continuity and change, which is sometimes exaggerated, while other times it remains unrecognized in terms of its further effects. These are the reasons why this chapter treats automation in a context that is wider and somehow deeper than usual, which appears to be necessary in certain application problems. The references relate to the twin 1807 1814

1830 1831 1836 1837 1839

1841 1842 1843 1845–51 1850–86 1852 1854 1856 1857 1861 1867

Fig. 5.1 (cont.)

73

peaks of the Industrial Revolution: the first, the classic period starting in the late 18th century with machine power; and the second, after World War II (WWII), in the information revolution. Practically all measures of social change due to automation are hidden in the general indices of technology effects and, within those, in the effects of automation- and communication-related technology. Human response is, generally, a steady process, apart from dramatic events such as wars, social revolutions, and crises in the economy. Some special acceleration phenomena can be observed in periods of inflation and price changes, especially in terms of decreases in product prices for high technology, and changes in the composition of general price indices and in the spread of high-technology commodities; television (TV), color TV, mobile telephony, and Internet access are typical examples. These spearhead technologies rad1868

1869 1873 1876 1877 1878 1879 1880 1881 1884

1885 1886 1887

1888 1891 1892 1893 1894 1895 1897–1916 1898

The first paper on control theory, Maxwell; Air brakes, Westinghouse; Traffic light, Knight Periodic system, Mendeleev Theory of electromagnetism, Maxwell 4-Cycle gas engine, Otto Phonograph, Edison Lightbulb, Swan Electrical locomotive, Siemens; Concept notation, Frege Toilet paper; Seismograph, Milne Metal detector, Bell; Roll film for cameras, Houston Paper strip photo film, Eastman; Rayon, Chardonnay; Fountain pen, Waterman; Steam turbine, Parsons; Cash register, Ritty Automobile, Benz Motorcycle, Daimler Radar, Hertz; Gramaphone, Berliner; Contact lens, Flick AC motor, Transformer, Tesla; Pneu, Dunlop Escalator, Reno Diesel motor, Diesel Zipper, Judson Motion picture, Lumiere X-ray, Röntgen Wireless, Marconi Radium, Curie

Part A 5.1

1815 1819 1820 1825 1827 1829

Steam ship, Fulton; Electric arc lamp, Davy Spectroskopy, Frauenhofer; Photography, Niépce Miners lamp, Davy Stethoscope, Laënnec Electromagnetism, Oersted Electromagnet, Sturgeon Microphone, Wheatstone Locomotive, Stephenson; Typewriter, Burt Sewing machine, Thimmonier Electrical induction, Faraday Analytical engine, Babbage Telegraph, Morse Rubber vulcanization, Goodyear; Photography, Daguerre; Bicycle, Niépce, MacMillan; Hydrogen fuel cell, Grove Stapler, Slocum Programming Lady Lovelace, Ada Byron; Grain elevator, Dart Facsimile, Bain Sewing machine, Howe, Singer; Vulcanized pneu, Thomson Dishwasher, Houghton, Cochran Gyroscope, Foucault Fiber optics, Tyndall Pasteurization, Pasteur Sleeping car, Pullman Telephone, Bell; Safe elevator, Otis Practical typewriter, Scholes

Scope of Human–Machine Systems

74

Part A

Development and Impacts of Automation

1899–1901 1901 1902 1903 1904 1905 1906 1907 1908

1911 1913 1915 1916 1918 1919

Part A 5.2

1920 1922 1923–29 1924 1925 1927

Vacuum cleaner, Thurman, Booth Safety razor, Gilette; Radio receiver Air conditioner, Carrier; Neon light, Claude Airplane, Wright; Radioactivity, Rutherford Teabag, Sullivan; Vacuum tube, Fleming Special relativity, Einstein Amplifier, audion, De Forest Bakelite, Baekeland; Color photography, Lumiere Model T, Ford; Geiger counter, Geiger, Müller; Artificial nitrates, Haber; Gyrocompass, Sperry Engine electrical ignition, Kettering; Helicopter, Cornu Atom model, Bohr General relativity, Einstein Radio tuner Superheterodyne radio, Armstrong Short-wave radio; Flip-flop circuit; Arc welder Robot concept, Capek Insulin, Banting; 3-D movie TV, Zworikin Dynamic loudspeaker, Rice, Kellog Quantum mechanics, Heisenberg Quartz clock; Technicolor

1928

1930 1931 1932

1933 1934 1935 1936/37 1938

1939 1940–49 1941 1942

1944

Foundations of game theory, Neumann; Penicillin, Fleming; Electric shaver, Schick Analog computer, Bush; Jet engine, Whittle, von Ohain Electron microscope, Knott, Ruska; Undecidability theory, Gödel Neutrons, positrons, Chadwick; Polaroid photo, Land; Zoom lens; Light meter; Radio telescope, Jansky Frequency modulation, Armstrong; Stereo recording Magnetic recording, Begun Nylon, DuPont Labs; Radar, Watson-Watt Theoretical foundations of computer science, Turing Nuclear fission, Hahn, Straßmann; Foundations of information Theory, Shannon; Ballpoint pen, Biro; Teflon, Plunkett; First working turboprop; Xerography, Carlson; Nescafe First operational helicopter, Sikorsky; Electron microscope Wiener filter, cybernetics, Wiener Computer, Zuse Computer, Atanasoff and Berry; Turboprop; Nuclear reactor, Fermi Kidney dialysis, Kolff

Fig. 5.1 (cont.)

ically change lifestyles and social values but impact less slowly on the development of human motivations and, as a consequence, on several characteristics of in-

dividual and social behavior. The differing speeds of advancement of technology and society will be reflected on later.

5.2 Short History The history of automation is a lesson in the bilateral conditions of technology and society. In our times wider and deeper attention is focused on the impact of automation on social relations. However, the progress of automation is arguably rather the result of social conditions. It is generally known that automation was also present in antiquity; ingenious mechanisms operated impressive idols of deities: their gestures, winks, and opening the

doors of their sanctuaries. Water-driven clocks applied the feedback principle for the correction of water-level effects. Sophisticated gearing, pumping, and elevating mechanisms helped the development of both humanand water-driven devices for construction, irrigation, traffic, and warfare. Water power was ubiquitous, wind power less so, and the invention of steam power more than 2000 years ago was not used for the obvious purpose of replacing human power and brute strength.

Social, Organizational, and Individual Impacts of Automation

surpassed, basically, only in the past century. This social and secondary technological environment created the overall conditions for the Industrial Revolution in power resources (Fig. 5.1) [5.3–8]. This timeline is composed from several sources of data available on the Internet and in textbooks on the history of science and technology. It deliberately contains many disparate items to show the historical density foci, connections with everyday life comfort, and basic mathematical and physical sciences. Issues related to automation per se are sparse, due to the high level of embeddedness of the subject in the general context of progress. Some data are inconsistent. This is due to uncertainties in historical documents; data on first publications, patents, and first applications; and first acceptable and practically feasible demonstrations. However, the figure intends to give an overall picture of the scene and these uncertainties do not confuse the lessons it provides. The timeline reflects the course of Western civilization. The great achievements of other, especially Chinese, Indian, and Persian, civilizations had to be omitted, since these require another deep analysis in terms of their fundamental impact on the origins of Western science and the reasons for their interruption. Current automation and information technology is the direct offspring of the Western timeline, which may serve as an apology for these omissions. The whole process, until present times, has been closely connected with the increasing costs of manpower, competence, and education. Human requirements, welfare, technology, automation, general human values, and social conditions form an unbroken circle of multiloop feedback.

5.3 Channels of Human Impact Automation and its related control technology have emerged as a partly hidden, natural ingredient of everyday life. This is the reason why it is very difficult to separate the progress of the technology concerned from general trends and usage. In the household of an average family, several hundred built-in processors are active but remain unobserved by the user. They are not easily distinguishable and countable, due to the rapid spread of multicore chips, multiprocessor controls, and communication equipment. The relevance of all of these developments is really expressed by their vegetative-like operation, similar to

the breathing function or blood circulation in the body. An estimate of the effects in question can be given based on the automotive and aerospace industry. Recent medium-category cars contain about 50 electronic control units, high-class cars more than 70. Modern aircrafts are nearly fully automated; about 70% of all their functions are related to automatic operations and in several aerospace equipment even more. The limit is related to humans rather than to technology. Traffic control systems accounts for 30–35% of investment but provide a proportionally much larger return in terms

75

Part A 5.3

Historians contemplate the reasons why these given elements were not put together to create a more modern world based on the replacement of human and animal power. The hypothesis of the French Annales School of historians (named after their periodical, opened in 1929, and characterized by a new emphasis on geographical, economic, and social motifs of history, and less on events related to personal and empirical data) looks for social conditions: manpower acquired by slavery, especially following military operations, was economically the optimal energy resource. Even brute strength was, for a long time, more expensive, and for this reason was used for luxury and warfare more than for any other end, including agriculture. The application of the more efficient yoke for animal traction came into being only in the Middle Ages. Much later, arguments spoke for the better effect of human digging compared with an animal-driven plough [5.1–3]. Fire for heating and for other purposes was fed with wood, the universal material from which most objects were made, and that was used in industry for metalproducing furnaces. Coal was known but not generally used until the development of transport facilitated the joining of easily accessible coal mines with both industry centers and geographic points of high consumption. This high consumption and the accumulated wealth through commerce and population concentration were born in cities based on trade and manufacturing, creating a need for mass production of textiles. Hence, the first industrial application field of automation flourished with the invention of weaving machines and their punch-card control. In the meantime, Middle Age and especially Renaissance mechanisms reached a level of sophistication

5.3 Channels of Human Impact

76

Part A

Development and Impacts of Automation

of safety. These data change rapidly because proliferation decreases prices dramatically, as experienced in the cases of watches, mobile phones, and many other gadgets. On the one hand, the sophistication of the systems and by increasing the prices due to more comfort and luxury, on the other. The silent intrusion of control and undetectable information technology into science and related transforming devices, systems, and methods of life can be observed in the past few decades in the great discov-

eries in biology and material science. The examples of three-dimensional (3-D) transparency technologies, ultrafast microanalysis, and nanotechnology observation into the nanometer, atomic world and picosecond temporal processes are partly listed on the timeline. These achievements of the past half-century have changed all aspects of human-related sciences, e.g., psychology, linguistics, and social studies, but above all life expectancy, life values, and social conditions.

5.4 Change in Human Values

Part A 5.4

The most important, and all-determinant, effect of mechanization–automatization processes is the change of human roles [5.10]. This change influences social stratification and human qualities. The key problem is realizing freedom from hard, wearisome work, first as exhaustive physical effort and later as boring, dull activity. The first historical division of work created a class of clerical and administrative people in antiquity, a comparatively small and only relatively free group of people who were given spare energy for thinking. The real revolutions in terms of mental freedom run parallel with the periods of the Industrial Revolution, and subsequently, the information–automation society. The latter is far from being complete, even in the most advanced parts of the world. This is the reason why no authentic predictions can be found regarding the possible consequences in terms of human history. Slavery started to be banned by the time of the first Industrial Revolution, in England in 1772 [5.11, 12], in France in 1794, in the British Empire in 1834, and in the USA in 1865, serfdom in Russia in 1861, and worldwide abolition by consecutive resolutions in 1948, 1956, and 1965, mostly in the order of the development of mechanization in each country. The same trend can be observed in prohibiting childhood work and ensuring equal rights for women. The minimum age for children to be allowed to work in various working conditions was first agreed on by a 1921 ILO (International Labour Organization) convention and was gradually refined until 1999, with increasingly restrictive, humanistic definitions. Childhood work under the age of 14 or 15 years and less rigorously under 16–18 years, was, practically, abolished in Europe, except for some regions in the Balkans.

In the USA, Massachusetts was the first state to regulate child labor; federal law came into place only in 1938 with the Federal Labor Standards Act, which has been modified many times since. The eradication of child labor slavery is a consequence of a radical change in human values and in the easy replacement of slave work by more efficient and reliable automation. The general need for higher education changed the status of children both in the family and society. This reason together with those mentioned above decreased the number of children dying in advanced countries; human life becomes much more precious after the defeat of infant mortality and the high costs of the required education period. The elevation of human values is a strong argument against all kinds of nostalgia back to the times before our automation–machinery world. Also, legal regulations protecting women in work started in the 19th century with maternity- and healthTable 5.1 Women in public life (due to elections and other

changes of position the data are informative only) after [5.9] Country

Members of national parliament 2005 (%)

Government ministers 2005/2006

Finland France Germany Greece Italy Poland Slovakia Spain Sweden

38 12 30 14 12 20 17 36 45

8 6 6 3 2 1 0 8 8

Social, Organizational, and Individual Impacts of Automation

82 81 80 79 78 77 76 75 74 73 72

Singapore Hong Kong Australia Sweden Switzerland Iceland

Italy France Spain Israel Norway Greece Austria Netherlands Belgium

New Zealand Germany Finland UK Denmark US Ireland Portugal Cuba S. Korea

Czech Rep. Slovenia Argentina

Poland Croatia Slovakia Venezuela Lithuania

80 75 70 65 60 55 50 45 40 35

Developed countries

5.4 Change in Human Values

77

Black-African countries

Fig. 5.2 Life expectancy and life conditions (after [5.14])

80 75 70 65 60 55 50

All White Black

1930 1940 1950 1960 1970 1980 1990 2000 2004

Fig. 5.3 Life expectancy at birth by race in the US (after [5.14])

situation was traditionally enforced by male physical superiority. Child care is much more a common bigender duty now, and all kinds of related burdens are supported by mass production and general services, based on automation. The doubled and active lifespan permits historically unparalleled multiplicity in life foci. Another proof of the higher status of human values is the issue of safety at work [5.11,12]. The ILO and the US Department of Labor issue deep analyses of injuries related to work, temporal change, and social workrelated details. The figures show great improvement in high-technology workplaces and better-educated workforces and the typical problems of low educated people, partly unemployed, partly employed under uncertain, dubious conditions. The drive for these values was the bilateral result of automatic equipment for production with automatic safety installations and stronger requirements for the human workforce. All these and further measures followed the progress of technology and the consequent increase in the wealth of nations and regions. Life expectancy, clean water supplies, more free time, and opportunities for leisure, culture, and sport are clearly reflected in the figures of technology levels, automation, and wealth [5.15] (Figs. 5.2 and 5.3) [5.14, 16]. Life expectancy before the Industrial Revolution had been around 30 years for centuries. The social gap

Part A 5.4

related laws and conventions. The progress of equal rights followed WWI and WWII, due to the need for female workforce during the wars and the advancement of technology replacing hard physical work. The correlation between gender equality and economic and society–cultural relations is well proven by the statistics of women in political power (Table 5.1) [5.9, 13]. The most important effect is a direct consequence of the statement that the human being is not a draught animal anymore, and this is represented in the role of physical power. Even in societies where women were sometimes forced to work harder than men, this

78

Part A

Development and Impacts of Automation

Table 5.2 Relations of health and literacy (after [5.15]) Country

Approx. year

Life expectancy at birth (years)

Infant mortality to age 5 years per 1000 live births

Adult literacy (%)

Access to safe water (%)

Argentina

1960 1980 2001 1960 1980 2001 1960 1980 2001 1960 1980 2001 1960 1980 2001

65.2 69.6 74.1 54.9 62.7 68.3 57.3 66.8 73.4 56.5 64.7 70.6 39.2 60.0 69.2

72 38 19 177 80 36 134 74 29 154 79 34 198 82 44

91.0 94.4 96.9 61.0 74.5 87.3 65.0 82.2 91.4 74.0 79.9 89.2 n.a. 68.8 86.8

51 58 94 32 56 87 38 50 88 35 53 86 n.a. n.a. 76

Brazil

Mexico

Latin America

East Asia

in life expectancy within one country’s lowest and highest deciles, according to recent data from Hungary, is

19 years. The marked joint effects of progress are presented in Table 5.2 [5.17].

Part A 5.5

5.5 Social Stratification, Increased Gaps Each change was followed, on the one hand, by mass tragedies for individuals, those who were not able to adapt, and by new gaps and tensions in societies, and on the other hand, by great opportunities in terms of social welfare and cultural progress, with new qualities of human values related to greater solidarity and personal freedom. In each dynamic period of history, social gaps increase both within and among nations. Table 5.3 and Fig. 5.4 indicate this trend – in the figure markedly both with and without China – representing a promising future for all mankind, especially for long lagging developing countries, not only for a nation with a population of about one-sixth of the world [5.18]. This picture demonstrates the role of the Industrial Revolution and technological innovation in different parts of the world and also the very reasons why the only recipe for lagging regions is accelerated adaptation to the economic–social characteristics of successful historical choices. The essential change is due to the two Industrial Revolutions, in relation to agriculture, industry, and ser-

vices, and consequently to the change in professional and social distributions [5.16, 19]. The dramatic picture of the former is best described in the novels of Dickens, Balzac, and Stendhal, the transition to the second in Steinbeck and others. Recent social uncertainty dominates American literature of the past two decades. This great change can be felt by the decease of distance. The troops of Napoleon moved at about the same speed as those of Julius Caesar [5.20], but mainland communication was accelerated in the USA between 1800 and 1850 by a factor of eight, and the usual 2 week passage time between the USA and Europe of the mid 19th century has decreased now by 50-fold. Similar figures can be quoted for numbers of traveling people and for prices related to automated mass production, especially for those items of high-technology consumer goods which are produced in their entirety by these technologies. On the other hand, regarding the prices of all items and services related to the human workforce, the opposite is true. Compensation in professions demanding higher education is through relative increase of salaries.

Social, Organizational, and Individual Impacts of Automation

Proportion of world population 0.6 0.5 0.4 0.3

2 1960 1980 2001 Ratio of GDP per capita to world GDP per capita (including China)

Proportion of world population 0.6 0.5 0.4 0.3

2

Fig. 5.4 World income inequality changes in relations of population and per capita income in proportions of the world distribution (after [5.21] and UN/DESA)

Due to these changed relations in distance and communication, cooperative and administrative relations have undergone a general transformation in the same sense, with more emphasis on the individual, more freedom from earlier limitations, and therefore, more personal contacts, less according to need and mandatory organization rather than current interests. The most Population in % 1–2 15

Income in 1000 US$/y 200 and more 200 –60

30

60–30

30

30–10

22–23

10 and less

important phenomena are the emerging multinational production and service organizations. The increasing relevance of supranational and international political, scientific, and service organizations, international standards, guidelines, and fashions as driving forces of consumption attitudes, is a direct consequence of the changing technological background. Figure 5.5 [5.22–24] shows current wages versus social strata and educational requirement distribution of the USA. Under the striking figures of large company CEOs (chief executive officers) and successful capitalists, who amount to about 1–1.5% of the population, the distribution of income correlates rather well with required education level, related responsibility, and adaptation to the needs of a continuously technologically advancing society. The US statistics are based on taxrefund data and reflect a rather growing disparity in incomes. Other countries with advanced economies show less unequal societies but the trend in terms of social gap for the time being seems to be similar. The disparity in jobs requiring higher education reflects a disparity in social opportunity on the one hand, but also probably a realistic picture of requirements on the other. Figure 5.6 shows a more detailed and informative picture of the present American middle-class cross section. A rough estimate of social breakdown before the automation–information revolution is composed of several different sources, as shown in Table 5.4 [5.25]. These dynamics are driven by finance and management, and this is the realistic reason for overvaluations in these professions. The entrepreneur now plays the role of the condottiere, pirate captain, discoverer, and adventurer of the Renaissance and later. These roles, in a longer retrospective, appear to be necessary in periods of great change and expansion, and will be consolidated in the new, emerging social order. The worse phenomena of these turbulent periods are the

Class distribution

Education

Capitalists, CEO, politicians, celebritics, etc. Upper middle Professors managers class Professional sales, and Middle class support Lower middle Clerical, service, blue collar class Part time, unemployed Poor underclass

? Graduate Bachelor deg. significant skill Some college High school or less

Fig. 5.5 A rough picture of the US

society (after [5.22–24])

79

Part A 5.5

1960 1980 2001 Ratio of GDP per capita to world GDP per capita (excluding China)

5.5 Social Stratification, Increased Gaps

80

Part A

Development and Impacts of Automation

Table 5.3 The big divergence: developing countries versus developed ones, 1820–2001, (after [5.26] and United Nations

Development of Economic and Social Affairs (UN/DESA)) GDP per capita (1990 international Geary–Khamis dollars) 1820 1913 1950 1973

1980

2001

1204 683

3989 1695

6298 2111

13 376 4988

15 257 5786

22 825 6027

Former USSR

688

1488

2841

6059

6426

4626

Latin America Asia

692 584

1481 883

2506 918

4504 2049

5412 2486

5811 3998

China India

600 533

552 673

439 619

839 853

1067 938

3583 1957

Japan

669

1387

1921

11 434

13 428

20 683

Africa

420

637

894

1410

1536

1489

Developed world Eastern Europe

Ratio of GDP per capita to that of the developed world

Part A 5.5

1820

1913

1950

1973

1980

2001

Developed world













Eastern Europe

0.57

0.42

0.34

0.37

0.38

0.26

Former USSR Latin America

0.57 0.58

0.37 0.37

0.45 0.40

0.45 0.34

0.422 0.35

0.20 0.25

Asia

0.48

0.22

0.15

0.15

0.16

0.18

China India

0.50 0.44

0.14 0.17

0.07 0.10

0.06 0.06

0.07 0.06

0.16 0.09

Japan Africa

0.56 0.35

0.35 0.16

0.30 0.14

0.85 0.11

0.88 0.10

0.91 0.07

Table 5.4 Social breakdown between the two world wars (rough, rounded estimations) Country

Agriculture

Industry

Commerce

Civil servant and freelance

Domestic servant

Others

Finland France UK Sweden US

64 36 6 36 22

15 34 46 32 32

4 13 19 11 18

4 7 10 5 9

2 4 10 7 7

11 6 9 9 2

political adventurers, the dictators. The consequences of these new imbalances are important warnings in the directions of increased value of human and social relations. The most important features of the illustrated changes are due to the transition from an agriculturebased society with remnants of feudalist burdens to an industrial one with a bourgeois–worker class, and now to an information society with a significantly different and mobile strata structure. The structural change in our age is clearly illustrated in Fig. 5.7 and in the investment policy of a typical country rapidly joining the welfare world, North Korea, in Fig. 5.8 [5.18].

Most organizations are applying less hierarchy. This is one effect of the general trend towards overall control modernization and local adaptation as leading principles of optimal control in complex systems. The concentration of overall control is a result of advanced, real-time information and measurement technology and related control theories, harmonizing with the local traditions and social relations. The principles developed in the control of industrial processes could find general validity in all kinds of complex systems, societies included. The change of social strata and technology strongly affects organizational structures. The most characteris-

Social, Organizational, and Individual Impacts of Automation

5.6 Production, Economy Structures, and Adaptation

81

For every 1000 working people, there are ... Cashiers

27 US$ 16 260

Registered nurses

18 US$ 54 670

Waiters and waitresses Janitors and cleaners Truck drivers

17 US$ 14 200 16 US$ 19 390 12 US$ 34 280

Elemantary school teachers Carpenters Fast-food cooks Lawyers Bartenders Computer programmers

11 US$ 444 040 7 US$ 35 580 Telemarketers eters 5 US$ 15 080 4 US$ 98 930

3 US$20 US$ 20 360 Firefighters

2 US$ 39 090

4 US$ 15 850 3 US$ 63 420

Median salary

Butchers

1 US$ 26 590 Parking-lot attendants

1 US$ 16 930

tic phenomenon is the individualization and localization of previous social entities on the one hand, and centralization and globalization on the other. Globalization has an usual meaning related to the entire globe, a general trend in very aspect of unlimited expansion, extension and proliferation in every other, more general dimensions. The great change works in private relations as well. The great multigenerational family home model is

over. The rapid change of lifestyles, entertainment technology, and semi-automated services, higher income standards, and longer and healthier lives provoke and allow the changing habits of family homes. The development of home service robots will soon significantly enhance the individual life of handicapped persons and old-age care. This change may also place greater emphasis on human relations, with more freedom from burdensome and humiliating duties.

5.6 Production, Economy Structures, and Adaptation Two important remarks should be made at this point. Firstly, the main effect of automation and information technology is not in the direct realization of these special goods but in a more relevant general elevation of any products and services in terms of improved qualities and advanced production processes. The computer and information services exports of India and Israel account for about 4% of their gross domestic product (GDP).

These very different countries have the highest figures of direct exports in these items [5.18]. The other remark concerns the effect on employment. Long-range statistics prove that this is more influenced by the general trends of the economy and by the adaptation abilities of societies. Old professions are replaced by new working opportunities, as demonstrated in Figs. 5.9 and 5.10 [5.22, 27, 28].

Part A 5.6

Fig. 5.6 A characteristic picture of the modern society (after [5.22])

82

Part A

Development and Impacts of Automation

Industrial sector (percentage) Change in share of output 1.2 China

1 0.8 0.6

South-East Asia Sub-Saharan Africa

0.4 0.2

South Asia First-tier newly Industrialized economies

Low- to middle-income Latin America

0

Central America and the Caribbean Semi-Industrialized countries Central and Eastern Europe Middle East and Northern Africa

CIS

– 0.2 (1990–2003) – 0.4 –3

–2

–1

0

1

2

3

4 5 6 7 8 Annual growth rate of GDP per capita

Public utilities and services sector (percentage) Change in share of output 0.3 0.25

China

South Asia

0.2 Middle East and Northern Africa

0.15

Central America and the Caribbean Semi-Industrialized countries South-East Asia First-tier newly Central and Eastern Europe Industrialized economies

0.1 CIS (1990–2003)

0.05

Part A 5.6

0

Sub-Saharan Africa

– 0.05

Low- to middle-income Latin America

– 0.1 –3

–2

–1

0

1

2

3

4 5 6 7 8 Annual growth rate of GDP per capita

Agricultural sector (percentage) Change in share of output 20 0

Low- to middle-income Latin America Middle East and Northern Africa CIS (1990–2003) Semi-Industrialized countries

–20 Sub-Saharan Africa

Central and Eastern Europe Central America and the Caribbean South Asia South-East Asia

– 40 – 60

China

–80 –100 –3

First-tier newly Industrialized economies

–2

–1

0

1

2

3

4 5 6 7 8 Annual growth rate of GDP per capita

Fig. 5.7 Structural change and economic growth (after [5.18] and UN/DESA, based on United Nations Statistics Division, National Accounts Main Aggregates database. Structural change and economic growth)

Social, Organizational, and Individual Impacts of Automation

1970 Education and health (9)

Public administration (17)

5.6 Production, Economy Structures, and Adaptation

Top five US occupations projected to decline or grow the most by 2014, ranked by the total number of job Farmers and ranchers

Agriculture (14)

Postsecondary teachers 524 000

–155 000

Stock clerks and order fillers

Home health aides 350 000

–115 000

Sewing-machine operators

Computer-software engineers 222 000

–93 000 Manufacturing and mining (16) Financial intermediation, real estate and business (36)

File clerks

202 000

Computer operators

Preschool teachers

– 49 000

143 000

Construction (1)

Jobs projected to decline or grow the most by 2014, ranked by percentage

2003 Public administration (14)

Medical assistants

–93 000

Electricity and gas (5) Transportation (2)

83

– 56% – 45% – 41% – 37%

Education and health (10) Agriculture (2)

Textile weaving Meter readers Credit checkers Mail clerks

Manufacturing and mining (24)

Home health aides Network analysts Medical assistants Computer-software engineers

56% 55% 52% 48%

Fig. 5.9 Growing and shrinking job sectors (after [5.22])

Electricity and gas (5) Construction (1)

Transportation (12)

Fig. 5.8 Sector investment change in North Korea (after UN/DESA based on data from National Statistical Office, Republic of Korea Structural change and economic growth)

One aspect of changing working conditions is the evolution of teleworking and outsourcing, especially in digitally transferable services. Figure 5.11 shows the results of a statistical project closed in 2003 [5.29]. Due to the automation of production and servicing techniques, investment costs have been completely transformed from production to research, development, design, experimentation, marketing, and maintenance support activities. Also production sites have started to become mobile, due to the fast turnaround of production technologies. The main fixed property is knowhow and related talent [5.30, 31]. See also Chap. 6 on the economic costs of automation. The open question regarding this irresistible process is the adaptation potential of mankind, which is closely related to the directions of adaptation. How can the

Part A 5.6

Financial intermediation, real estate and business (32)

majority of the population elevate its intellectual level to the new requirements from those of earlier animal and quasi-animal work? What will be the directions of adaptation to the new freedoms in terms of time, consumption, and choice of use, and misuse of possibilities given by the proliferation of science and technology? These questions generate further questions: Should the process of adaptation, problem solving, be controlled or not? And, if so, by what means or organizations? And, not least, in what directions? What should be the control values? And who should decide about those, and how? Although questions like these have arisen in all historical societies, in the future, giving the % 45 40

37

35 30

27.5

25 20.5

20 15

12.8

10 5 0

2.8

4.7

5.2

29

38.1

30.9

22.3

13.9

7.1

1860 1870 1880 1900 1910 1920 1940 1950 1960 1970 1980 1990 2000

Fig. 5.10 White-collar workers in the USA (after [5.28])

84

Part A

Development and Impacts of Automation

Percentage of working population 30 25 20 15 10 5 0

NL FIN DK S UK D

A EU- EE EL IRL B 15 * Excludes mobile teleworkers

I LT* SI PL LV F BG L NAS- E CZ SK HU P RO CH US 9

Fig. 5.11 Teleworking, percentage of working population at distance from office or workshop (after [5.29]) (countries,

abbreviations according car identification, EU – European Union, NAS – newly associated countries of the EU) Table 5.5 Coherence indices (after [5.29])

Part A 5.6

Gross national income (GNI)/capitaa Corruptionb e-Readinessc (current thousand US$) (score, max. 10 [squeaky clean]) (score, max. 10) Canada 32.6 8.5 8.0 China 1.8 – 4.0 Denmark 47.4 9.5 8.0 Finland 37.5 9.6 8.0 France 34.8 7.4 7.7 Germany 34.6 8.0 7.9 Poland 7.1 3.7 5.5 Rumania 3.8 3.1d 4.0d Russia 4.5 3.5 3.8 Spain 25.4 6.8 7.5 Sweden 41.0 9.2 8.0 Switzerland 54.9 9.1 7.9 UK 37.6 8.6 8.0 a according to the World Development Indicators of the World Bank, 2006, b The 2006 Transparency, International Corruption Perceptions Index according to the Transparency International Survey, c Economic Intelligence Unit [5.32], d Other estimates

immensely greater freedom in terms of time and opportunities, the answers to these questions will be decisive for human existence. Societies may be ranked nowadays by national product per capita, by levels of digital literacy, by estimates of corruption, by e-Readiness, and several other indicators. Not surprisingly, these show a rather strong coherence. Table 5.5 provide a small comparison, based on several credible estimates [5.29, 30, 33].

A recent compound comparison by the Economist Intelligence Unit (EIU) (Table 5.6) reflects the EIU e-Readiness rankings for 2007, ranking 69 countries in terms of six criteria. In order of importance, these are: consumer and business adoption; connectivity and technology infrastructure; business environment; social and cultural environment; government policy and vision; and legal and policy environment.

Social, Organizational, and Individual Impacts of Automation

5.6 Production, Economy Structures, and Adaptation

85

Table 5.6 The 2007 e-Readiness ranking Economist Intelligence Unit e-Readiness rankings, 2007 2007

2006

e-Readi-

rank

Country

2007

2006

2007

2006

e-Readi-

score

e-Readi-

rank

Country

2007

2006

e-Readi-

score

ness

ness

ness

ness

rank

score

rank

score

(of 69)

(of 10)

(of 69)

(of 10)

1

1

Denmark

8.88

9.00

36

37

Malaysia

5.97

5.60

2 (tie)

2

US

8.85

8.88

37

39

Latvia

5.88

5.30

4

2 (tie)

Sweden

8.85

8.74

38

39

Mexico

5.86

5.30

4

10

Hong Kong

8.72

8.36

39

36

Slovakia

5.84

5.65

5

3

Switzerland

8.61

8.81

40

34

Poland

5.80

5.76

6

13

Singapore

8.60

8.24

41

38

Lithuania

5.78

5.45

7

5

UK

8.59

8.64

42

45

Turkey

5.61

4.77

8

6

Netherlands

8.50

8.60

43

41

Brazil

5.45

5.29

8

Australia

8.46

8.50

44

42

Argentina

5.40

5.27

7

Finland

8.43

8.55

45

49

Romania

5.32

4.44

11

14

Austria

8.39

8.19

46 (tie)

43

Jamaica

5.05

4.67

12

11

Norway

8.35

8.35

46 (tie)

46

Saudi Arabia

5.05

5.03

13

9

Canada

8.30

8.37

48

44

Bulgaria

5.01

4.86

14

14

New Zealand

8.19

8.19

49

47

Thailand

4.91

4.63

15

20

Bermuda

8.15

7.81

50

48

Venezuela

4.89

4.47

16

18

South Korea

8.08

7.90

51

49

Peru

4.83

4.44

17

23

Taiwan

8.05

7.51

52

54

Jordan

4.77

4.22

18

21

Japan

8.01

7.77

53

51

Colombia

4.69

4.25

19

12

Germany

8.00

8.34

54 (tie)

53

India

4.66

4.04

20

17

Belgium

7.90

7.99

54 (tie)

56

Philippines

4.66

4.41

21

16

Ireland

7.86

8.09

56

57

China

4.43

4.02

22

19

France

7.77

7.86

57

52

Russia

4.27

4.14

23

22

Israel

7.58

7.59

58

55

Egypt

4.26

4.30

24



Maltaa

7.56



59

58

Equador

4.12

3.88

25

25

Italy

7.45

7.14

60

61

Ukraine

4.02

3.62

26

24

Spain

7.29

7.34

61

59

Sri Lanka

3.93

3.75

27

26

Portugal

7.14

7.07

62

60

Nigeria

3.92

3.69

28

27

Estonia

6.84

6.71

63

67

Pakistan

3.79

3.03

29

28

Slovenia

6.66

6.43

64

64

Kazakhstan

3.78

3.22

30

31

Chile

6.47

6.19

65

66

Vietnam

3.73

3.12

31

32

Czech Rep.

6.32

6.14

66

63

Algeria

3.63

3.32

32

29

Greece

6.31

6.42

67

62

Indonesia

3.39

3.39

33

30

UAE

6.22

6.32

68

68

Azerbaijan

3.26

2.92

34

32

Hungary

6.16

6.14

69

65

Iran

3.08

3.15

35

35

South Africa

6.10

5.74

a

New to the annual rankings in 2007 (after EIU)

Part A 5.6

9 10

86

Part A

Development and Impacts of Automation

5.7 Education Radical change of education is enforced by the dramatic changes of requirements. The main directions are as follows:

• • •

Population and generations to be educated Knowledge and skills to be learnt Methods and philosophy of education.

Part A 5.7

General education of the entire population was introduced with the Industrial Revolution and the rise of nation states, i. e., from the 18th to the end of the 19th centuries, starting with royal decrees and laws expressing a will and trend and concluding in enforced, pedagogically standardized, secular systems [5.35]. The related social structures and workplaces required a basic knowledge of reading and writing, first of names, simple sentences for professional and civil communication, and elements of arithmetic. The present requirement is much higher, defined (PISA, Program for International Student Assessment of the OECD) by understanding regular texts from the news, regulations, working and user instructions, elements of measurement, dimensions, and statistics. Progress in education can be followed also as a consequence of technology sophistication, starting with four to six mandatory years of classes and continued by mandatory education from 6 to 18 years. The same is reflected in the figures of higher education beyond the mandatory education period [5.16, 30, 34]. For each 100 adults of tertiary-education age, 69 are enrolled in tertiary education programs in North America and Europe, compared with only 5 in sub-Saharan Africa and 10 in South and West Asia. Six countries host 67% of the world’s foreign or mobile students: with 23% studying in the USA, followed by the UK (12%), Germany (11%), France (10%), Australia (7%), and Japan (5%). An essential novelty lies in the rapid change of required knowledge content, due to the lifecycles of technology, see timeline of Fig. 5.1. The landmarks of technology hint at basic differences in the chemistry, physics, and mathematics of the components and, based

on the relevant new necessities in terms of basic and higher-level knowledge, are reflected in application demands. The same demand is mirrored in work-related training provided by employers. The necessary knowledge of all citizens is also defined by the systems of democracy, and modern democracy is tied to market economy systems. This defines an elementary understanding of the constitutional–legal system, and the basic principles and practice of legal institutions. The concept of constitutional awareness is not bound to the existence of a canonized national constitution; it can be a consciousness, accord on fundamental social principles. As stated, general education is a double requirement for historical development: professional knowledge for producers and users of technology, and services and civil culture as necessary conditions for democracy. These two should be unified to some extent in each person and generation. This provides another hint at the change from education of children and adolescents towards a well-designed, pedagogically renewed, socially regulated lifelong education schedule with mandatory basic requirements. There should also be mandatory requirements for each profession with greater responsibility and a wide spectrum of free opportunities, including in terms of retirement age (Table 5.7). In advanced democracies this change strongly affects the principle of equal opportunities, and creates a probably unsolvable contradiction between increasing knowledge requirements, the available amount of different kinds of knowledge, maintenance and strengthening of the cultural–social coherence of societies, and the unavoidable leverage of education. Educating the social–cultural–professional elite and the masses of a democratic society, with given backgrounds in terms of talent, family, and social grouping, is the main concern of all responsible government policies. The US Ivy League universities, British Oxbridge, and the French Grand Écoles represent elite schools, mostly for a limited circle of young people coming from more highly educated, upper society layers.

Table 5.7 Lifelong learning. Percentage of the adult population aged 25–64 years participating in education and training

(mostly estimated or reported values), after [5.34] EU (25 countries) EU (15 countries) Euro area

1995

1996

1997

1998

1999

2000

2001

2002

2003

2004

2005

– – 4.5

– – 5.1

– – 5.1

– – –

– 8.2 5.6

7.5 8.0 5.4

7.5 8.0 5.2

7.6 8.1 5.3

9.0 9.8 6.5

9.9 10.7 7.3

10.2 11.2 8.1

Social, Organizational, and Individual Impacts of Automation

moving pictures, multimedia, all kinds of visual and auditive aids, animation, 3-D representation, questionanswering automatic methods of teaching, freedom of learning schedules, mass use of interactive whiteboards, personal computers as a requisite for each student and school desk, and Internet-based support of remote learning. See Chap. 44 on Education and Qualification and Chap. 85 on Automation in Education/Learning Systems for additional information. A special requirement is an international standard for automatic control, system science, and information technology. The International Federation of Automatic Control (IFAC), through its special interest committee and regular symposia, took the first steps in this direction from its start, 50 years ago [5.36]. Basic requirements could be set regarding different professional levels in studies of mathematics, algorithmics, control dynamics, networks, fundamentals of computing architecture and software, components (especially semiconductors), physics, telecommunication transmission and code theory, main directions of applications in system design, decision support and mechanical and sensory elements of complex automation, their fusion, and consideration of social impact. All these disciplines change in their context and relevance during the lifetime of a professional generation, surviving at least three generations of their subject. This means greater emphasis on disciplinary basics and on the particular skill of adopting these for practical innovative applications, and furthermore on the disciplinary and quality ethics of work. A major lesson of the current decade is not only the hidden spread of these techniques in every product, production process, and system but also the same spread of specialists in all kinds of professional activities. All these phenomena and experiments display the double face of education in terms of an automated, communication-linked society. One of the surprising facts from the past few decades is the unexpected increase in higher-education enrolment for humanities, psychology, sociology, and similar curricula, and the decline in engineering- and science-related subjects. This orientation is somehow balanced by the burst of management education, though the latter has a trend to deepen knowledge in human aspects outweighing the previous, overwhelming organizational, structural knowledge.

87

Part A 5.7

The structures of education are also defined by the capabilities of private and public institutions: their regulation according to mandatory knowledge, subsidies depending on certain conditions, the ban on discrimination based on race or religion, and freedom of access for talented but poor people. The structural variants of education depend on necessary and lengthening periods of professional education, and on the distribution of professional education between school and workplace. Open problems are the selection principles of educational quotas (if any), and the question of whether these should depend on government policy and/or be the responsibility of the individual, the family or educational institutions. In the Modern Age, education and pedagogy have advanced from being a kind of affective, classical psychology-like quality to a science in the strong sense, not losing but strengthening the related human virtues. This new, science-type progression is strongly related to brain research, extended and advanced statistics and worldwide professional comparisons of different experiments. Brain research together with psychology provides a more reliable picture of development during different age periods. More is known on how conceptual, analogous, and logical thinking, memory, and processing of knowledge operate; what the coherences of special and general abilities are; what is genetically determined and definable; and what the possibilities of special training are. The problem is greater if there are deterministic features related to gender or other inherited conditions. Though these problems are particularly delicate issues, research is not excluded, nor should it be, although the results need to be scrutinized under very severe conditions of scientific validity. The essential issue is the question of the necessary knowledge for citizens of today and tomorrow. A radical change has occurred in the valuation of traditional cultural values: memorizing texts and poems of acknowledged key authors from the past; the proportion of science- versus human-related subjects; and the role of physical culture and sport in education. The means of social discipline change within the class as a preparation for ethical and collegial cooperation, just like the abiding laws of the community. The use of modern and developing instruments of education are overall useful innovations but no solution for the basic problems of individual and societal development. These new educational instruments include

5.7 Education

88

Part A

Development and Impacts of Automation

5.8 Cultural Aspects

Part A 5.9

The above contradictory, but socially relatively controlled, trend is a proof of the initial thesis: in the period of increasing automation, the human role is emerging more than ever before. This has a relevant linguistic meaning, too. Not only is knowledge of foreign languages (especially that of the modern lingua franca, English) gaining in importance, but so is the need for linguistic and metalinguistic instruments as well, i. e., a syncretistic approach to the development of sensitive communication facilities [5.37, 38]. The resulted plethora of these commodities is represented in the variations of goods, their usage, and in the spectra of quality. The abundance of supply is in accordance not only with material goods but also the mental market. The end of the book was proclaimed about a decade ago. In the meantime the publication of books has mostly grown by a modest, few percentage points each year in most countries, in spite of the immense reading material available on the Internet. Recent global statistics indicate a growth of about 3–4% per year in the past period in juvenile books, the most sensitive category for future generations. The rapid change of electronic entertainment media from cassettes to CD-DVD and MP3 semiconductor memories and the uncertainties around copyright problems made the market uncertain and confused. In the

past 10 years the prices of video-cassettes have fallen by about 50%; the same happened to DVDs in the past 2 years. All these issues initiate cultural programs for each age, each technological and cultural environment, and each kind of individual and social need, both maintaining some continuity and inducing continuous change. On the other hand, the market has absorbed the share in the entertainment business with a rapidly changing focus on fashion-driven music, forgotten classics, professional tutoring, and great performances. The lesson is a naturally increasing demand together with more free time, greater income, and a rapidly changing world and human orientation. Adaptation is serviced by a great variety of different possibilities. High and durable cultural quality is valued mostly later in time. The ratio of transitory low-brow cultural goods to high-brow permanent values has always been higher by orders of magnitude. Automatic, high-quality reproduction technology, unseen and unimaginable purchasing power, combined with cultural democracy is a product of automated, information-driven engineering development. The human response is a further question, and this is one reason why a nontechnical chapter has a place in this technology handbook.

5.9 Legal Aspects, Ethics, Standards, and Patents 5.9.1 Privacy The close relations between continuity and change are most reflected in the legal environment: the embedding of new phenomena and legal requirements into the traditional framework of the law. This continuity is important because of the natural inertia of consolidated social systems and human attitudes. Due to this effect, both Western legal systems (Anglo-Saxon Common Law as a case-based system and continental rule-based legal practice) still have their common roots in Roman Law. In the progress of other civilizations towards an industrial and postindustrial society, these principles have been gradually accepted. The global process in question is now enforced, not by power, but by the same rationality of the present technology-created society [5.4, 39].

The most important legal issue is the combined task of warranting privacy and security. The privacy issue, despite having some antecedents in the Magna Carta and other documents of the Middle Ages, is a modern idea. It was originated for an equal-rights society and the concept of all kinds of private information properties of people. The modern view started with the paper entitled The Right to Privacy by Warren and Brandeis, at the advent of the 20th century [5.40]. The paper also defines the immaterial nature of the specific value related to privacy and the legal status of the material instrument of (re)production and of immaterial private property. Three components of the subject are remarkable and all are related to the automation/communication issue: mass media, starting with high-speed wide-circulation printing, photography and its reproduction technologies, and a society based on the equal-rights principle.

Social, Organizational, and Individual Impacts of Automation

In present times the motivations are in some sense contradictory: an absolute defense against any kind of intrusion into the privacy of the individual by alien power. The anxiety was generated by the real experience of the 20th century dictatorships, though executive terror and mass murder raged just before modern information instruments. On the other hand, user-friendly, efficient administration and security of the individual and of the society require well-organized data management and supervision. The global menace of terrorism, especially after the terrorist attacks of 11 September, 2001, has drawn attention to the development and introduction of all kinds of observational techniques.

5.9 Legal Aspects, Ethics, Standards, and Patents

89

The harmonization of these contradictory demands is given by the generally adopted principles of human rights, now with technology-supported principles:

• • •

All kind of personal data, regarding race, religion, conscience, health, property, and private life are available only to the person concerned, accessible only by the individual or by legal procedure. All information regarding the interests of the citizen should be open; exemption can be made only by constitutional or equivalent, specially defined cases. The citizen should be informed about all kinds of access to his/her data with unalterable time and authorization stamps.

Table 5.8 Regulations concerning copyright and patent

Regulations: Article I, Section 8, Clause 8 of the US Constitution, also known as the Copyright Clause, gives Congress the power to enact statutes To promote the Progress of Science and useful Arts, by securing for limited Times to Authors and Inventors the exclusive Right to their respective Writings and Discoveries. Congress first exercised this power with the enactment of the Copyright Act of 1790, and has changed and updated copyright statutes several times since. The Copyright Act of 1976, though it has been modified since its enactment, is currently the basis of copyright law in the USA. The Berne Convention for the Protection of Literary and Artistic Works, usually known as the Berne Convention, is an international agreement about copyright, which was first adopted in Berne, Switzerland in 1886. The Agreement on Trade Related Aspects of Intellectual Property Rights (TRIPS) is a treaty administered by the World Trade Organization (WTO) which sets down minimum standards for many forms of intellectual property (IP) regulation. It was negotiated at the end of the Uruguay Round of the General Agreement on Tariffs and Trade (GATT) treaty in 1994. Specifically, TRIPS contains requirements that nations’ laws must meet for: copyright rights, including the rights of performers, producers of sound recordings, and broadcasting organizations; geographical indications, including appellations of origin; industrial designs; integrated-circuit layout designs; patents; monopolies for the developers of new plant varieties; trademarks; trade address; and undisclosed or confidential information. TRIPS also specified enforcement procedures, remedies, and dispute-resolution procedures. Patents in the modern sense originated in Italy in 1474. At that time the Republic of Venice issued a decree by which new and inventive devices, once they had been put into practice, had to be communicated to the Republic in order to obtain the right to prevent others from using them. England followed with the Statute of Monopolies in 1623 under King James I, which declared that patents could only be granted for “projects of new invention.” During the reign of Queen Anne (1702–1714), the lawyers of the English Court developed the requirement that a written description of the invention must be submitted. These developments, which were in place during the colonial period, formed the basis for modern English and US patent law. In the USA, during the colonial period and Articles of Confederation years (1778–1789), several states adopted patent systems of their own. The first congress adopted a Patent Act, in 1790, and the first patent was issued under this Act on July 31, 1790. European patent law covers a wide range of legislations including national patent laws, the Strasbourg Convention of 1963, the European Patent Convention of 1973, and a number of European Union directives and regulations.

Part A 5.9

Paris Convention for the Protection of Industrial Property, signed in Paris, France, on March 20, 1883.

90

Part A

Development and Impacts of Automation

Part A 5.10

The perfection of the above principles is warranted by fast, broadband data links, and cryptographic and pattern recognition (identification) technology. The introduction of these tools and materialization of these principles strengthen the realization of the general, basic statement about the elevation effect of human consciousness. The indirect representation of the Self, and its rights and properties is a continuous, frequently used mirror of all this. And, through the same, the metaphoric high level of the mirror is a self-conscious intelligence test for beings. The system contributes to the legal consciousness of the advanced democracy. The semi-automatic, data-driven system of administration separates the actions that can, and should be, executed in an automatic procedure by the control and evaluation of data, and follows the privacy and security principles. A well-operating system can save months of civilian inquiries, and hours and days of traveling, which constitutes a remarkable percentage of the administrative cost. A key aspect is the increased concentration on issues that require human judgment. In real human problems, human judgment is the final principle. Citizens’ indirect relationship with the authorities using automatic and telecommunication means evokes the necessity for natural language to be understood by machines, in written and verbal forms, and the cre-

ation of user-friendly, natural impression dialogues. The need for bidirectional translation between the language of law and natural communication, and translation into other languages, is emerging in wide, democratic usage. These research efforts are quickly progressing in several communities.

5.9.2 Free Access, Licence, Patent, Copyright, Royalty, and Piracy Free access to information has different meanings. First of all, it is an achievement and new value of democracy: the right to access directly all information regarding an individual citizen’s interests. Second, it entails a new relation to property that is immaterial, i. e., not physically decreased by alienation. Easy access changes the view regarding the right of the owner, the author. Though the classic legal concepts of patent and copyright are still valid and applied, the nonexistence of borders and the differences in local regulations and practice have opened up new discussions on the subject. Several companies and interest groups have been arguing for more liberal regulations. These arguments comprise the advertising interests of even more dynamic companies, the costs and further difficulties of safeguarding ownership rights, and the support of developing countries. Table 5.8 presents an overview of the progress of these regulations.

5.10 Different Media and Applications of Information Automation A contradictory trend in information technology is the development of individual user services, with and without centralized control and control of the individual user. The family of these services is characterized by decentralized input of information, centralized and decentralized storage and management, as well as unconventional automation combined with similarly strange freedom of access. Typical services are blog-type entertainment, individual announcements, publications, chat groups, other collaborative and companion search, private video communication, and advertisements. These all need well-organized data management, automatic, and desire-guided browsing search support and various identification and filtering services. All these are, in some sense, new avenues of information service automation and society organization in close interaction [5.26, 41, 42]. Two other services somehow belonging to this group are the information and economic power of

very large search organizations, e.g., Google and Yahoo, and some minor, global, and national initiatives. Their automatic internal control has different search and grading mechanisms, fundamentally based on user statistics and subject classifications, but also on statistical categorizations and other patternrecognition and machine-supported text-understanding instruments. Wikipedia, YouTube, and similar initiatives have greater or lesser control: principally everybody can contribute to a vast and specific edifice of knowledge, controlled by the voluntary participants of the voluntary-access system. This social control appears to be, in some cases, competing in quality with traditional, professional encyclopedic-knowledge institutions. The contradictory trends survive further: social knowledge bases have started to establish some proved, controlled quality groups for, and of, professionals.

Social, Organizational, and Individual Impacts of Automation

The entertainment/advertisement industry covers all these initiatives by its unprecedented financial interest and power, and has emerged as the leading economic power after the period of automotive and traffic-related industry that followed the iron and steel, textile, and agricultural supremacy. Views on this development are extremely different; in a future period can be judged if these resulted in a better-educated, more able society, or deteriorated essential cultural values.

5.12 Further Reading

91

Behind all these emerging and ruling trends operates the joint technology of automatic control, both in the form of instrumentation and in effects obeying the principles of feedback, multivariate, stochastic and nonlinear, and continuous and discrete system control. These principles are increasingly applied in modeling the social effects of these human–machine interactions, trying not only to understand but also to navigate the ocean of this new–old supernature.

5.11 Social Philosophy and Globalization Transitory but catastrophic phenomena are the consequence of minority feelings expressed in wide, national, religious, ideology-related movements with aggressive nature. The state of hopeless poverty is less irascible than the period of intolerance. The only general recommendation is given by Neumann [5.45]: The only solid fact is that these difficulties are due to an evolution that, while useful and constructive, is also dangerous. Can we produce the required adjustments with the necessary speed? The most hopeful answer is that the human species has been subjected to similar tests before and seems to have a congenital ability to come through, after varying amounts of trouble. To ask in advance for a complete recipe would be unreasonable. We can specify only the human qualities required: patience, flexibility, and intelligence.

5.12 Further Reading Journals and websites listed as references provide continuously further updated information. Recommended periodicals as basic theoretical and data sources are:

• • • •

Philosophy and Public Affairs, Blackwell, Princeton – quarterly American Sociological Review, American Sociological Association, Ohio State University, Columbia – bimonthly Comparative Studies in Sociology and History, Cambridge University Press, Cambridge/MA – quarterly The American Statistician, American Statistical Association – quarterly

• • • • • •

American Journal of International Law, American Society of International Law – quarterly Economic Geography, Clark University, Worcester/MA – quarterly Economic History Review, Blackwell, Princeton – three-yearly Journal of Economic History, Cambridge University Press, Cambridge/MA – quarterly Journal of Labor Economics, Society of Law Economists, University Chicago Press – quarterly The Rand Journal of Economics, The Rand Corporation, Santa Monica – quarterly

Part A 5.12

Automation is a global process, rapidly progressing in the most remote and least advanced corners of the world. Mass production and World Wide Web services enforce this, and no society can withstand this global trend; recent modernization revolution and its success in China and several other countries provide indisputable evidence. Change and the rapid speed in advanced societies and their most mobile layers create considerable tension among and within countries. Nevertheless, clever policies, if they are implemented, and the social movements evoked by this tension, result in a general progress in living qualities, best expressed by extending lifespans, decreasing famine regions, and the increasing responsibility displayed by those who are more influential. However, this overall historical process cannot protect against the sometimes long transitory sufferings, social clashes, unemployment, and other human social disasters [5.41, 43, 44].

92

Part A

Development and Impacts of Automation

References 5.1

5.2 5.3 5.4 5.5 5.6 5.7 5.8

5.9 5.10

5.11 5.12 5.13

Part A 5

5.14 5.15 5.16 5.17

5.18 5.19 5.20

5.21 5.22 5.23

F. Braudel: La Méditerranée et le monde méditerranéen à l’époque de Philippe II (Armand Colin, Paris 1949, Deuxième édition, 1966) F. Braudel: Civilisation matérielle et capitalisme (XVe-XVIIIe siècle), Vol. 1 (Armand Colin, Paris 1967) http://www.hyperhistory.com/online_n2/ History_n2/a.html http://www-groups.dcs.st-and.ac.uk/˜history/ Chronology http://www.thocp.net/reference/robotics/ robotics.html Wikipedia, the Free Encyclopedia, http://en.wikipedia.org/wiki/ Encyclopaedia Britannica, 2006, DVD J.D. Ryder, D.G. Fink: Engineers and Electrons: A Century of Electrical Progress (IEEE Press, New York 1984) Public life and decision making – http://www.unece.org/stats/data.htm K. Marx: Grundrisse: Foundations of the Critique of Political Economy (Penguin Classics, London 1973), translated by: M. Nicolaus US Department of Labor, Bureau of Labor Statistics – http://stats.bls.gov/ ILO: International Labour Organization – http://www.ilo.org/public/english Inter-Parliamentary Union – http://www.ipu.org/wmn-e/world.htm Infoplease, Pearson Education – http://www.infoplease.com/ Economist, Apr. 26, 2003, p. 45 B.R. Mitchell: European Historical Statistics 17501993 (Palgrave MacMillan, London 2000) Hungarian Central Statisical Office: Statistical Yearbook of Hungary 2005 (Statisztikai Kiadó, Budapest 2006) World Economic and Social Survey, 2006, http://www.un.org/esa/policy/wess/ Federal Statistics of the US – http://www.fedstats.gov/ F. Braudel: Civilisation matérielle, économie et capitalism, XVe-XVIIIe siècle quotes a remark of Paul Valery World Bank: World Development Indicators 2005 database Time, America by the Numbers, Oct. 22, 2006 W. Thompson, J. Hickey: Society in Focus (Pearson, Boston 2004)

5.24 5.25

5.26

5.27 5.28

5.29 5.30 5.31 5.32

5.33

5.34 5.35 5.36

5.37 5.38

5.39 5.40 5.41 5.42 5.43 5.44 5.45

US Census Bureau – http://www.census.gov/ Hungarian Central Statisical Office: Hungarian Statistical Pocketbook (Magyar Statisztikai Zsebkönyv, Budapest 1937) A. Maddison: The World Economy: A Millennial Perspective (Development Center Studies, Paris 2001) E. Bowring: Post-Fordism and the end of work, Futures 34/2, 159–172 (2002) G. Michaels: Technology, complexity and information: The evolution on demand for office workers, Working Paper, http://econ-www.mit.edu SIBIS (Statistical Indicators Benchmarking the Information Society) – http://www.sibis-eu.org/ World Development Indicators, World Bank, 2006 UNCTAD Handbook of Statistics, UN publ. Economist Intelligence Unit, Scattering the seeds of invention: the globalization of research and development (Economist, London 2004), pp. 106– 108 Transparency International, the global coalition against corruption – http://www.transparency.org/publications/gcr http://epp.eurostat.ec.europa.eu K.F. Ringer: Education and Society in Modern Europe (Indiana University Press, Bloomington 1934) IFAC Publications of the Education, Social Effects Committee and of the Manufacturing and Logistic Systems Group – http://www.ifac-control.org/ http://www1.worldbank.org/education/edstats/ B. Moulton: The expanding role of hedonic methods in the official statistics of the United States, Working Paper (Bureau of Economic Analysis, Washington 2001) E. Hayek: Law, Legislation and Liberty (University Chicago Press, Chicago 1973) S. Warren, L. D. Brandeis: The Right to Privacy, Harv. Law Rev. IV(5), 193–220 (1890) M. Castells: The Information Age: Economy, Society and Culture (Basil Blackwell, Oxford 2000) Bureau of Economic Analysis, US Dept. Commerce, Washington, D.C. (2001) M. Pohjola: The new economy: Facts, impacts and policies, Inf. Econ. Policy 14/2, 133–144 (2002) R. Fogel: Catching up with the American economy, Am. Econ. Rev. 89(1), 1–21 (1999) J. V. Neumann: Can we survive technology? Fortune 51, 151–152 (1955)

93

Economic Asp 6. Economic Aspects of Automation

Piercarlo Ravazzi, Agostino Villa

The increasing diffusion of automation in all sectors of the industrial world gives rise to a deep modification of labor organization and requires a new approach to evaluate industrial systems efficiency, effectiveness, and economic convenience. Until now, the evaluation tools and methods at disposal of industrial managers are rare and even complex. Easy-to-use criteria, possibly based on robust but simple models and concepts, appear to be necessary. This chapter gives an overview of concepts, based on the economic theory but revised in the light of industrial practice, which can be applied for evaluating the impact and effects of automation diffusion in enterprises.

6.3 Effects of Automation in the Enterprise .. 98 6.3.1 Effects of Automation on the Production Function ........... 98 6.3.2 Effects of Automation on Incentivization and Control of Workers ................. 100 6.3.3 Effects of Automation on Costs Flexibility ....................... 101

96

6.4 Mid-Term Effects of Automation ............ 6.4.1 Macroeconomics Effects of Automation: Nominal Prices and Wages ............ 6.4.2 Macroeconomics Effects of Automation in the Mid-Term: Actual Wages and Natural Unemployment .......... 6.4.3 Macroeconomic Effects of Automation in the Mid Term: Natural Unemployment and Technological Unemployment..

97

6.5 Final Comments.................................... 111

97 97

6.6 Capital/Labor and Capital/Product Ratios in the Most Important Italian Industrial Sectors ................................................ 113

98

References .................................................. 115

Process automation spread through the industrial world in both production and services during the 20th century, and more intensively in recent decades. The conditions that assured its wide diffusion were first the development of electronics, then informatics, and today information and communication technologies (ICT), as demonstrated in Fig. 6.1a–c. Since the late 1970s, periods of large investment in automation, followed by periods of reflection with critical revision of previous implementations and their impact on revenue, have taken place. This periodic attraction and subsequent

revision of automation applications is still occurring, mainly in small to mid-sized enterprises (SME) as well as in several large firms. Paradigmatic could be the case of Fiat, which reached the highest level of automation in their assembly lines late in the 1980s, whilst during the subsequent decade it suffered a deep crisis in which investments in automation seemed to be unprofitable. However, the next period – the present one – is characterized by significant growth for which the high level of automation already at its disposal has been a driver.

6.1 6.2

Basic Concepts in Evaluating Automation Effects ...........

102

105

107

Part A 6

The Evaluation Model ........................... 6.2.1 Introductory Elements of Production Economy ................. 6.2.2 Measure of Production Factors ....... 6.2.3 The Production Function Suggested by Economics Theory .....

102

94

Part A

a)

Development and Impacts of Automation

Fig. 6.1 (a) Use of information and communication tech-

Iceland (a) Finland Greece (a) Ireland Portugal Denmark Netherlands (a) Norway Poland Slovak Republic Sweden Austria Switzerland (b,1) Australia (a) New Zealand (a) Hungary Germany EU15 Korea (b,1) United Kingdom Spain Belgium Luxembourg Italy Czech Republic

nologies by businesses for returning filled forms to public authorities. The use of the Internet emphasizes the important role of transaction automation and the implementation of automatic control systems and services (source: OECD, ICT database, and Eurostat, community survey on ICT usage in households and by individuals, January 2008). (b) Business use of the Internet, 2007, as a percentage of businesses with ten or more employees. (c)  Internet selling and purchasing by industry (2007). Percentage of businesses with ten or more employees in each industry group (source: OECD, ICT database, and Eurostat, community survey on ICT usage in enterprises, September 2008)

43

0

20

40

60

80

100

Business with 10 or more employees (%)

b)

Part A 6

Iceland (a) Finland Slovak Republic Japan (a) Switzerland (b) Denmark Austria Korea (a) Netherlands (a) Belgium Norway Germany Czech Republic OECD average Canada (a) Sweden New Zealand (a) France (a) Luxembourg Spain Italy Ireland (1) Greece (a) Australia (a) United Kingdom (2) Poland Mexico (d) Portugal Hungary

95

0

20

40

60

80

100

Business with 10 or more employees (%)

Automation implementation and perception of its convenience in the electronics sector is different from in the automotive sector. The electronics sector, however,

is rather specific since it was born together with – and as the instrument of – industrial automation. All other industrial sectors present a typical attitude of strong but cautious interest in automation. The principal motivation for this caution is the difficulty that managers face when evaluating the economic impact of automation on their own industrial organization. This difficulty results from the lack of simple methods to estimate economic impact and obtain an easily usable measure of automation revenue. The aim of this chapter is to present an evaluation approach based on a compact and simple economic model to be used as a tool dedicated to SME managers, to analyze main effects of automation on production, labor, and costs. The chapter is organized as follows. First, some basic concepts on which the evaluation of the automation effects are based are presented in Sect. 6.2. Then, a simple economic model, specifically developed for easy interpretation of the impact of automation on the enterprise, is discussed and its use for the analysis of some industrial situations is illustrated in Sect. 6.3. The most important effects of automation within the enterprise are considered in Sect. 6.4, in terms of its impact on production, incentivization and control of workers, and costs flexibility. In the final part of the chapter, mid-term effects of automation in the socioeconomic context are also analyzed. Considerations of such effects in some sectors of the Italian industrial system are discussed in Sect. 6.6, which can be considered as a typical example of the impact of automation on a developed industrial system, easily generalizable to other countries.

Austria Belgium Canada Czech Republic Denmark Finland Germany Greece Hungary Iceland (2006) Ireland Italy Selling

95

Construction Manufacturing Real estate, renting & business activities Transport & storage Wholesale Retail All industries Construction Manufacturing Real estate, renting & business activities Transport, storage & communication Wholesale trade All industries Construction Manufacturing Real estate, renting & business activities Transport, storage & communication Whole sale All industries Construction Manufacturing Real estate, renting & business activities Transport, storage & communication Wholesale Retail All industries Construction Manufacturing Real estate, renting & business activities Transport, storage & communication Wholesale trade All industries Construction Wholesale & retail All industries Construction Manufacturing Real estate, renting & business activities Transport, storage & communication Wholesale & retail All industries Construction Manufacturing Real estate, renting & business activities Transport, storage & communication Wholesale & retail All industries Construction Manufacturing Real estate, renting & business activities Transport, storage & communication Wholesale & retail All industries Construction Manufacturing Real estate, renting & business activities Transport, storage & communication Wholesale & retail All industries Construction Manufacturing Real estate, renting & business activities Transport, storage & communication Wholesale & retail All industries Construction Manufacturing Real estate, renting & business activities Transport, storage & communication Wholesale & retail All industries Construction Manufacturing Real estate, renting & business activities Transport, storage & communication Wholesale & retail All industries Construction Manufacturing Real estate, renting & business activities Transport & storage Wholesale & retail All industries

Purchasing

Part A 6

Japan

c)

Australia (3)

Economic Aspects of Automation

80

60

40

20

0

20

40

60

80

96

Part A

Development and Impacts of Automation

6.1 Basic Concepts in Evaluating Automation Effects The desire of any SME manager is to be able to evaluate how to balance the cost of implementing some automated devices (either machining units or handling and moving mechanisms, or automated devices to improve production organization) and the related increase of revenue. To propose a method for such an economic evaluation, it is first necessary to declare a simple catalogue of potential automation typologies, then to supply evidence of links between these typologies and the main variables of a SME which could be affected by process and labor modifications due to the applied automation. All variables to be analyzed and evaluated must be the usual ones presented in a standard balance sheet. Analysis of a large number of SME clusters in ten European countries, developed during the collaborative demand and supply networks (CODESNET) project [6.1] funded by the European Commission, shows that the most important typologies of automation implementations in relevant industrial sectors can be classified as follows: 1. Robotizing, i. e., automation of manufacturing operations 2. Flexibilitization, i. e., flexibility through automation, by automating setup and supply 3. Monitorizing, i. e., monitoring automation through automating measures and operations control.

Part A 6.1

These three types of industrial automation can be related to effects on the process itself as well as on personnel. Robotizing allows the application of greater operation speed and calls for a reduced amount of direct work hours. Flexibilitization is crucial in mass customization, to reduce the lead time in the face

of customer demands, by increasing the product mix, and by facilitating producer–client interaction. Monitorizing can indeed assure product quality for a wide range of final items through diffused control of work operations. Both automated flexibility and automated monitoring, however, require higher skills of personnel (Table 6.1). However, a representation of the links between automation and either process attributes or personnel working time and skill, as outlined in Table 6.1, does not correspond to a method for evaluating the automationinduced profit in a SME, or in a larger enterprise. It only shows effects, whereas their impact on the SME balance sheet is what the manager wants to know. To obtain this evaluation it is necessary: 1. To have clear that an investment in automation is generally relevant for any enterprise, and often critical for a SME, and typically can have an impact on mid/long-term revenue. 2. To realize that the success of an investment in terms of automation depends both on the amount of investment and on the reorganization of the workforce in the enterprise, which is a microeconomic effect (meaning to be estimated within the enterprise). 3. To understand that the impact of a significant investment in automation, made in an industrial sector, will surely have long-term and wide-ranging effects on employment at the macroeconomic level (i. e., at the level of the socioeconomic system or country). All these effects must be interpreted by a single evaluation model which should be used:



For a microeconomic evaluation, made by the enterprise manager, to understand how the two

Table 6.1 Links between automation and process/personnel in the firm Automation typology induces . . .

. . . effects on the process . . .

. . . and effects on personnel

(a) Robotizing (b) Flexibilitization (c) Monitorizing

Operation speed Response time to demand Process accuracy and product quality

Work reduction Higher skills

Then automation calls for . . . . . . and search for . . . Investments New labor positions Investments and new labour positions should give rise to an expected target of production, conditioned on investments in high technologies and highly skill workforce utilization.

Economic Aspects of Automation



above-mentioned principal factors, namely investment and workforce utilization, could affect the expected target of production, in case of a given automation implementation For a macroeconomic evaluation, to be done at the level of the industrial sector, to understand how relevant modification of personnel utilization, caused

6.2 The Evaluation Model

97

by the spread of automation, could be reflected in the socioeconomic system. These are the two viewpoints according to which the automation economic impact will be analyzed upon the introduction of the above-mentioned interpretation model in Sect. 6.2.

6.2 The Evaluation Model 6.2.1 Introductory Elements of Production Economy Preliminary, some definitions and notations from economics theory are appropriate (see the basic references [6.2–6]):

• •







6.2.2 Measure of Production Factors Concerning the measure of production factors, the following will be applied. With regard to labor, the working time h (hours) done by personnel in the production system, is assumed to be a homogeneous factor, meaning that different skills can be taken into account through suitable weights. In case of N persons in a shift and T shifts in unit time (e.g., day, week, month, etc.), the labor quantity L is given by L = h NT .

(6.1)

In the following, the capital K refers to machines and installations in the enterprise. However, it could also be easily measured in the case of fixed-coefficient technologies: the capital stock, indeed, could be measured in terms of standard-speed machine equivalent hours. The capital K can also be further characterized by noting that, over a short period, it must be considered a fixed factor with respect to production quantity: excess capacity cannot be eliminated without suffering heavy losses. Labor and intermediate goods should rather be variable factors with respect to produced quantities: excess

Part A 6.2



A production technique is a combination of factors acquired by the market and applied in a product/service unit. Production factors will be simply limited to the capital K (i. e., industrial installation, manufacturing units, etc.), to labor L, and to intermediate goods X (i. e., goods and services acquired externally to contribute to production). A production function is given by the relation Q = Q(K, L, X), which describes the output Q, production of goods/services, depending on the applied inputs. Technological progress, of which automation is the most relevant expression, must be incorporated into the capital K in terms of process and labor innovations through investments. Technical efficiency implies that a rational manager, when deciding on new investments, should make a choice among the available innovations that allow him to obtain the same increase of production without waste of inputs (e.g., if an innovation calls for K 0 units of capital and L 0 units of labor, and another one requires L 1 > L 0 units of labor, for the same capital and production, the former is to be preferred). Economic efficiency imposes that, if the combination of factors were different (e.g., for the same production level, the latter innovation has to use K 1 < K 0 capital units), then the rational manager’s choice depends on the cost to be paid to implement the innovation, thus accounting also for production costs, not only quantity.

Besides these statements it has to be remarked that, according to economic theory, production techniques can be classified as either fixed-coefficients technologies or flexible-coefficients technologies. The former are characterized by nonreplaceable and strictly complementary factors, assuming that a given quantity of production can only be obtained by combining production factors at fixed rates, with the minimum quantities required by technical efficiency. The latter are characterized by the possibility of imperfect replacement of factors, assuming that the same production could be obtained through a variable, nonlinear combination of factors.

98

Part A

Development and Impacts of Automation

stock of intermediate goods can be absorbed by reducing the next purchase, and excess workforce could be reduced gradually through turnover, or suddenly through dismissals or utilization of social measures in favor of unemployees. In the long term, all production factors should be considered variables.

6.2.3 The Production Function Suggested by Economics Theory The above-introduced concepts and measures allow to state the flexible-coefficients production function, by assuming (for the sake of simplicity and realism) that only the rate of intermediate goods over production is constant (X/Q = b) Q = Q(K, L, X) = f (K, L) = X/b .

(6.2)

The production function is specified by the following properties:

• •

Positive marginal productivity (positive variation of production depending on the variation of a single factor, with the others being fixed, i. e., ∂Q/∂K > 0, ∂Q/∂L > 0) Decreasing returns, so that marginal productivity is a decreasing function with respect to any production factor, i. e., ∂Q 2 /∂K 2 < 0, ∂Q 2 /∂L 2 < 0.

Part A 6.3

In economics theory, from Clark and Marshall until now, the basis of production function analysis was the hypothesis of imperfect replacement of factors, assigning to each the law of decreasing returns. The first-generation approach is due to Cobb and Douglas [6.7], who proposed a homogeneous production function whose factors can be additive in logarithmic form: Q = AL a K b , where the constant A summarizes all other factors except labor and capital.

This formulation has been used in empirical investigations, but with severe limitations. The hypothesis of elasticity from the Cobb– Douglas function with respect to any production factor [such that (∂Q/Q)/(∂L/L) = (∂Q/Q)/(∂K/K ) = 1], has been removed by Arrow et al. [6.8] and Brown and De Cani [6.9]. Subsequent criticism by McFadden [6.10] and Uzawa [6.11] gave rise to the more general form of variable elasticity function [6.12], up to the logarithmic expression due to Christensen et al. [6.13, 14], as clearly illustrated in the systematic survey due to Nadiri [6.15]. The strongest criticism on the flexible coefficient production function has been provided by Shaikh [6.16], but seems to have been ignored. The final step was that of abandoning direct estimation of the production function, and applying indirect estimation of the cost function [6.2, 17–20], up to the most recent theories of Dievert [6.21] and Jorgenson [6.22]. A significant modification to the analysis approach is possible based on the availability of large statistical databases of profit and loss accounts for enterprises, compared with the difficulty of obtaining data concerning production factor quantities. This approach does not adopt any explicit interpretation scheme, thus upsetting the approach of economics theory (deductive) and engineering (pragmatic), depending only on empirical verification. A correct technological– economic approach should reverse this sequence: with reference to production function analysis, it should be the joint task of the engineer and economist to propose a functional model including the typical parameters of a given production process. The econometric task should be applied to verify the proposed model based on estimation of the proposed parameters. In the following analysis, this latter approach will be adopted.

6.3 Effects of Automation in the Enterprise 6.3.1 Effects of Automation on the Production Function The approach on which the following considerations are based was originally developed by Luciano and Ravazzi [6.23], assuming the extreme case of production only using labor, i. e., without employing capital (e.g., by using elemental production means). In this case, a typical human characteristic is that a worker can produce only at a rate that decreases with time during

his shift. So, the marginal work productivity is decreasing. Then, taking account of the work time h of a worker in one shift, the decreasing efficiency of workers with time suggests the introduction of another measure, namely the efficiency unit E, given by E = hα ,

(6.3)

where 0 < α < 1 is the efficiency elasticity with respect to the hours worked by the worker.

Economic Aspects of Automation

Condition (6.3) includes the assumption of decreasing production rate versus time, because the derivative of E with respect to h is positive but the second derivative is negative. Note that the efficiency elasticity can be viewed as a measure of the worker’s strength. By denoting λE as the production rate of a work unit, the production function (6.2) can be rewritten as Q = λE E NT .

(6.4)

Then, substitution of (6.1) and (6.3) into (6.4), gives rise to a representation of the average production rate, which shows the decreasing value with worked hours λL = Q/L = λE h α−1 ,

(6.5)

h α−2

with dλL / dh = (α − 1)λE < 0. Let us now introduce the capital K as the auxiliary instrument of work (a computer for intellectual work, an electric drill for manual work, etc.), but without any process automation. Three questions arise: 1. How can capital be measured? 2. How can the effects produced by the association of capital and work be evaluated? 3. How can capital be included in the production function?

λL = γλE h α−1 .

(6.6)

The above-mentioned effect of capital is the only one considered in economics theory (as suggested by the Cobb–Douglas function). However, another significant effect must also be accounted for: the capital’s impact on the workers’ strength in terms of labor (as mentioned in the second question above). Automated systems can not only increase production rate, but can also strengthen labor efficiency elasticity, since they reduce physical and intellectual

99

fatigue. To take account of this second effect, condition (6.6) can be reformulated by including a positive parameter δ > 0 that measures the increase of labor efficiency and whose value is bounded by the condition 0 < (α + δ) < 1 so as to maintain the hypothesis of decreasing production rate with time λL = γλE h α+δ−1 .

(6.7)

According to this model, a labor-intensive technique is defined as one in which capital and labor cooperate together, but in which the latter still dominates the former, meaning that a reduction in the labor marginal production rate still characterizes the production process (α + δ < 1), even if it is reduced by the capital contribution (δ > 0). The answer to the third question, namely how to include capital in the production function, strictly depends on the characteristics of the relevant machinery. Whilst the workers’ nature can be modeled based on the assumption of decreasing production rates with time, production machinery does not operate in this way (one could only make reference to wear, although maintenance, which can prevent modification of the production rate, is reflected in capital cost). On the contrary, it is the human operator who imposes his biological rhythm (e.g., the case of the speed of a belt conveyor that decreases in time during the working shift). This means that capital is linked to production through fixed coefficients: then the marginal production rate is not decreasing with the capital. Indeed, a decreasing utilization rate of capital has to be accounted for as a consequence of the decreasing rate of labor. So, the hours of potential utilization of capital K have to be converted into productive hours through a coefficient of capital utilization θ and transformed into production through a constant capitalto-production rate parameter v Q = θ K/v = θλK K ,

(6.8)

where λK = 1/v is a measure of the capital constant productivity, while 0 < θ < 1 denotes the ratio between the effective utilization time of the process and the time during which it is available (i. e., the working shift). Dividing (6.8) by L and substituting into (6.7), it follows that θ = vγλE h α+δ−1 ,

(6.9)

thus showing how the utilization rate of capital could fit the decreasing labor yield so as to link the mechanical rhythm of capital to the biological rhythm of labor. Condition (6.9) leads to the first conclusion: in labor-intensive systems (in which labor prevails over

Part A 6.3

With regard to the first question, the usual industrial approach is to refer to the utilization time of the production instruments during the working shift. Then, let the capital K be expressed in terms of hours of potential utilization (generally corresponding to the working shift). Utilization of more sophisticated tools (e.g., through the application of more automation) induces an increase in the production rate per hour. Denoting by γ > 1 a coefficient to be applied to the production rate λL , in order to measure its increase due to the effect of capital utilization, the average production rate per hour (6.5) can be rewritten as

6.3 Effects of Automation in the Enterprise

100

Part A

Development and Impacts of Automation

Part A 6.3

capital), decreasing yields occur, but depending only on the physical characteristics of workers and not on the constant production rates of production machinery. We should also remark on another significant consideration: that new technologies also have the function of relieving labor fatigue by reducing undesirable effects due to marginal productivity decrease. This second conclusion gives a clear suggestion of the effects of automation concerning reduction of physical and intellectual fatigue. Indeed, automation implies dominance of capital over labor, thus constraining labor to a mechanical rhythm and removing the conditioning effects of biological rhythms. This situation occurs when α + δ = 1, thus modifying condition (6.7) to (6.10) λL = Q/L = γλE , which, in condition (6.9), corresponds to θ = 1, i. e., no pause in the labor rhythm. In this case automation transforms the decreasing yield model into a constant yield model, i. e., the labor production rate is constant, as is the capital production rate, if capital is fully utilized during the work shift. Then, capital-intensive processes are defined as those that incorporate high-level automation, i. e., α + δ → 1. A number of examples of capital-intensive processes can be found in several industrial sectors, often concerning simple operations that have to be executed a very large number of times. A typical case, even if not often considered, are the new intensive picking systems in large-scale automated warehouses, with increasing diffusion in large enterprises as well as in industrial districts. Section 6.6 provides an overview in several sectors of the two ratios (capital/labor and production/labor) that, according to the considerations above, can provide a measure of the effect of automation on production rate. Data are referred to the Italian economic/industrial system, but similar considerations could be drawn for other industrial systems in developed countries. Based on the authors’ experience during the CODESNET project development, several European countries present aspects similar to those outlined in Sect. 6.6.

6.3.2 Effects of Automation on Incentivization and Control of Workers Economic theory recognizes three main motivations that suggest that the enterprise can achieve greater wage efficiency than the one fixed by the market [6.24]:

1. The need to minimize costs for hiring and training workers by reducing voluntary resignations [6.25, 26] 2. The presence of information asymmetry between the workers and the enterprise (as only workers know their ability and diligence), so that the enterprise tries to engage the best elements from the market through ex ante incentives, and then to force qualified employees to contribute to the production process (the moral hazard problem) without resulting in too high supervision costs [6.27–29] 3. The specific features of production technologies that may force managers to allow greater autonomy to some worker teams, while paying an incentive in order to promote better participation in teamwork [6.30–32]. The first motivation will be discussed in Sect. 6.4, concerning the flexibility of labor costs, while the last one does not seem to be relevant. The second motivation appears to be crucial for labor-intensive systems, since system productivity cannot only be dependent on technologies and workers’ physical characteristics, but also depends greatly on workers propensity to contribute. So, system productivity is a function of wages, and maximum profit can no longer be obtained by applying the economic rule of marginal productivity equal to wages fixed by market. It is the obligation of the enterprise to determine wages so as to assure maximum profit. Let the production rate of a work unit λE increase at a given rate in a first time interval in which the wage wE per work unit plays a strongly incentive role, whilst it could increase subsequently at a lower rate owing to the reduction of the wages marginal utility, as modeled in the following expression, according to the economic hypothesis of the effort function λE = λE (wE ), where λE (0) = 0; dλE / dwE > 0 ; and d2 λE / dw2E ≥ 0 if wE ≥ w ˆ ; d2 λE / dw2E ≤ 0 if wE ≤ w ˆ ;

(6.11)

where w ˆ is the critical wages which forces a change of yield from increasing to decreasing rate. In labor-intensive systems, the average production rate given by (6.7) can be reformulated as λL = γλE E/h .

(6.12)

Now, let M = Va − wL be the contribution margin, i. e., the difference between the production added value Va and the labor cost: then the unitary contribution margin

Economic Aspects of Automation

per labor unit m is defined by the rate of M over the labor L m = M/L = pλ ˆ L −w ,

(6.13)

where pˆ = ( p − pX )β is the difference between the sale price p and the cost pX of a product’s parts and materials, transformed into the final product according to the utilization coefficient β = X/Q. It follows that pλ ˆ L is a measure of the added value, and that the wages wE per work unit must be transformed into real wages through the rate of work units E over the work hours h of an employee during a working shift, according to w = wE E/h .

(6.14)

The goal of the enterprise is to maximize m, in order to gain maximum profit, i. e.,   (6.15) max(m) = pγλ ˆ E (wE ) − wE E/h . The first-order optimal condition gives   ∂m/∂h = pγλ ˆ E (wE ) − wE ∂(E/h)/∂h = 0 ⇒ γλE (wE ) = wE / pˆ , (6.16)   ∂m/∂wE = (E/h) pγ ˆ (∂λE /∂wE ) − 1 = 0 ⇒ pγ (6.17) ˆ (∂λE /∂wE ) = 1 . By substituting (6.17) into (6.16), the maximum-profit condition shows that the elasticity of productivity with respect to wages ελ will assume a value of unity (6.18)

So, the enterprise could maximize its profit by forcing the percentage variation of the efficiency wages to be equal to the percentage variation of the productivity ∂λE /λE = ∂wE /wE . If so, it could obtain the optimal values of wages, productivity, and working time. As a consequence, the duration of the working shift is an endogenous variable, which shows why, in laborintensive systems, the working hours for a worker can differ from the contractual values. On the contrary, in capital-intensive systems with wide automation, it has been noted before that E/h = 1 and λE = λ¯ E , because the mechanical rhythm prevails over the biological rhythm of work. In this case, efficiency wages do not exist, and the solution of maximum profit simply requires that wages be fixed at the minimum contractual level max(m) = pλ ˆ λ¯ E − w ⇒ min(w) . (6.19) ˆ L− w = pγ

101

As a conclusion, in labor-intensive systems, if λE could be either observed or derived from λL , incentive wages could be used to maximize profit by asking workers for optimal efforts for the enterprise. In capital-intensive systems, where automation has canceled out deceasing yield and mechanical rhythm prevails in the production process, worker incentives can no longer be justified. The only possibility is to reduce absenteeism, so that a share of salary should be reduced in case of negligence, not during the working process (which is fully controlled by automation), but outside. In labor-intensive systems, as in personal service production, it could be difficult to measure workers’ productivity: if so, process control by a supervisor becomes necessary. In capital-intensive systems, automation eliminates this problem because the process is equipped with devices that are able to detect any anomaly in process operations, thus preventing inefficiency induced by negligent workers. In practice automation, by forcing fixed coefficients and full utilization of capital (process), performs itself the role of a working conditions supervisor.

6.3.3 Effects of Automation on Costs Flexibility The transformation of a labor-intensive process into a capital-intensive one implies the modification of the cost structure of the enterprise by increasing the capital cost (that must be paid in the short term) while reducing labor costs. Let the total cost CT be defined by the costs of the three factors already considered, namely, intermediate goods, labor, and capital, respectively, CT = pX X + wL + cK K ,

(6.20)

where cK denotes the unitary cost of capital. Referring total cost to the production Q, the cost per production unit c can be stated by substituting the conditions (6.2) and (6.10) into (6.20), and assuming constant capital value in the short term c = CT /Q = pX β + w/λL + cK K/Q .

(6.21)

In labor-intensive systems, condition (6.21) can also be rewritten by using the efficiency wages w∗E allocated in order to obtain optimal productivity λ∗L = γλE (w∗E )h ∗ α+δ−1 , as shown in Sect. 6.3.1, c = pX β + w∗E /λ∗L + cK K/Q .

(6.22)

On the contrary, in capital-intensive systems, the presence of large amounts of automation induces the following effects:

Part A 6.3

ελ = (∂λE /∂wE )(wE /λE ) = 1 .

6.3 Effects of Automation in the Enterprise

102

Part A

Development and Impacts of Automation

1. Labor productivity λA surely greater than that which could be obtained in labor-intensive systems (λA > λ∗L ) 2. A salary wA that does not require incentives to obtain optimum efforts from workers, but which implies an additional cost with respect to the minimum ∗ salary fixed by the market (wA < > wE ), in order to select and train personnel 3. A positive correlation between labor productivity and production quantity, owing to the presence of qualified personnel who the enterprise do not like to substitute, even in the presence of temporary reductions of demand from the final product market λA = λA (Q), ∂λA /∂Q > 0, ∂ 2 λA /∂Q 2 = 0 (6.23)

4. A significantly greater cost of capital, due to the higher cost of automated machinery, than that of a labor-intensive process (cKA > cK ), even for the same useful life and same rate of interest of the loan. According to these statements, the unitary cost in capital-intensive systems can be stated as cA = pX β + wA /λA (Q) + cKA K/Q .

(6.24)

Denoting by profit per product unit π the difference between sale price and cost π = p−c ,

(6.25)

the relative advantage of automation D, can be evaluated by the following condition, obtained by substituting (6.24) and then (6.22) into (6.25) D = πA − π = w∗E /λ∗L − wA /λA (Q) − (cKA − cK )K/Q . (6.26)

Except in extreme situations of large underutilization of production capacity (Q should be greater than the critical value Q C ), the inequality w∗E /λ∗L > wA /λA (Q) denotes the necessary condition that assures that automated production techniques can be economically efficient. In this case, the greater cost of capital can be counterbalanced by greater benefits in terms of labor cost per product unit. In graphical terms, condition (6.26) could be illustrated as a function D(Q) increasing with the production quantity Q, with positive values for production greater than the critical value Q C . This means that large amounts of automation can be adopted for highquantity (mass) production, because only in this case can the enterprise realize an increase in marginal productivity sufficient to recover the initial cost of capital. This result, however, shows that automation could be more risky than labor-intensive methods, since production variations induced by demand fluctuations could reverse the benefits. This risk, today, is partly reduced by mass-customized production, where automation and process programming can assure process flexibility able to track market evolutions [6.33].

Part A 6.4

6.4 Mid-Term Effects of Automation 6.4.1 Macroeconomics Effects of Automation: Nominal Prices and Wages The analysis has been centered so far on microeconomics effects of automation on the firm’s costs, assuming product prices are given, since they would be set in the market depending on demand–offer balance in a system with perfect competition. Real markets however lack a Walrasian auctioneer and are affected by the incapacity of firms to know in advance (rational expectations) the market demand curve under perfect competition, and their own demand curve under imperfect competition. In the latter case, enterprises cannot maximize their profit on the basis of demand elasticity, as proposed by the economic the-

ory of imperfect competition [6.34–36]. Therefore, they cannot define their price and the related markup on their costs. Below we suggest an alternative approach, which could be described as technological–managerial. The balance price is not known a priori and price setting necessarily concerns enterprises: they have to submit to the market a price that they consider to be profitable, but not excessive because of the fear that competitors could block selling of all the scheduled production. Firms calculate the sale price p on the basis of a full unit cost c, including a minimum profit, considered as a normal capital remuneration. The full cost is calculated corresponding to a scheduled production quantity Q e that can be allegedly sold on the market, leaving a small share of productive ca-

Economic Aspects of Automation

pacity Q¯ potentially unused Q ≤ Q¯ = θK λK K¯ = θK K¯ /v , e

p = c(Q e ) = pX β + w/λL + cK v e ,

(6.28)

¯ is the prowhere = K¯ /Q e ≥ v (for Q e ≤ Q) grammed capital–product relationship. In order to transfer this relation to the macroeconomics level, we have to express it in terms of added value (Q is the gross saleable production), as the gross domestic product (GDP) results from aggregation of the added values of firms. Therefore we define the added value as ve

PY = pQ − pX X = ( p − pX β)Q , where P represents the prices general level (the average price of goods that form part of the GDP) and Y the aggregate supply (that is, the GDP). From this relation, P is given by P = ( p − pX β)/θY ,

(6.29)

P = w/λˆ + cK vˆ ,

The initial purchase unit price of capital PK0 The sample gross profit ρ∗ sought by firms (as a percentage to be applied to the purchase price), which embodies both amortization rate d and the performance r ∗ requested by financiers to remunerate

(6.31)

where

• • • •

0 < l ∗ = D∗ /(PK0 K¯ ) < 1 is the leverage (debt amount subscribed in capital stock purchase) 1 − l ∗ is the amount paid by owners PK > PK0 is the substitution price of physical capital at the deadline ∗and )−n an |r ∗ = 1−(1+r is the discounting back factor. r∗

Relation (6.31) implies that the aim of the firm is to maintain unchanged the capital share amount initially brought by owners, while the debt amount is recovered to its face (book) value, as generally obligations are refunded at original monetary value and at a fixed interest rate stated in the contract. It is also noteworthy that the indebtedness ratio l is fixed at its optimal level l ∗ , and the earning rate depends on it, r ∗ = r(l ∗ ), because r decreases as the debt-financed share of capital increases due to advantages obtained from the income tax deductibility of stakes [6.37, 38], and increases as a result of failure costs [6.39–41] and agency costs [6.42], which in turn grow as l grows. The optimal level l ∗ is obtained based on the balance between costs and marginal advantages. The relation (6.31) can be rewritten by using a Taylor series truncated at the first term   (6.32) cK = (d + r ∗ ) l ∗ PK0 + (1 − l ∗ )PK , which, in the two extreme cases PK0 = PK and PK = PK0 , can be simplified to cK = (d + r ∗ )PK , cK = (d + r

(6.30)

where work productivity and the capital/product ratio are expressed in terms of added value (λˆ = θY λL = Y/L and vˆ = v e /θY = K¯ /Y e ). In order to evaluate the effects of automation at the macroeconomic level, it is necessary to break up the capital unit cost cK into its components:

• •

So the following definition can be stated   cK = ρ∗ PK0 = l ∗ PK0 + (1 − l ∗ )PK /an |r ∗ .



)PK0

.

(6.33a) (6.33b)

This solution implies the existence of monetary illusion, according to which capital monetary revaluation (following inflation) is completely abandoned, thus impeding owners from keeping their capital intact. This irrational decision is widely adopted in practice by firms when inflation is low, as it rests upon the accounting procedure codified by European laws that calculated amortization and productivity on the basis of book value. Solution (6.33a) embodies two alternatives:



Enterprises’ decision to maintain physical capital undivided [6.43], or to recover the whole capital

Part A 6.4

where θY = Y/Q measures the degree of vertical integration of the economic system, which in the medium/short term we can consider to be steady (θY = θ¯Y ). By substituting relation (6.28) into (6.29), the price equation can be rewritten as

103

debt (subscribed by bondholders) and risk capital (granted by owners).

(6.27)

where θK = 1 in the presence of automation, while v = 1/λK is – as stated above – the capital–product connection defining technology adopted by firms for full productive capacity utilization. The difference Q¯ − Q e therefore represents the unused capacity that the firm plans to leave available for production demand above the forecast. To summarize, the sales price is fixed by the enterprise, resorting to connection (6.21), relating the break-even point to Q e

6.4 Mid-Term Effects of Automation

104

Part A

Development and Impacts of Automation

market value at the deadline, instead of being restricted to the capital value of the stakeholders, in order to guarantee its substitution without reducing existing production capacity; in this case the indebtedness with repayment to nominal value (at fixed rate) involves an extra profit Π for owners (resulting from debt devaluation), which for unity capital corresponds to the difference between relation (6.33a) and (6.32)   (6.34) Π = (d + r ∗ )l ∗ PK − PK0 ,



as clarified by Cohn and Modigliani [6.44]. Subscription of debts at variable interest rate, able to be adjusted outright to inflation rate to compensate completely for debt devaluation, according to Fisher’s theory of interest; these possibilities should be rules out, as normally firms are insured against debt cost variation since they sign fixed-rate contracts.

Finally the only reason supporting the connection (6.33a) remains the first (accounting for inflation), but generally firms’ behavior is intended to calculate cK according to relation (6.33b) (accounting to historical costs). However, rational behavior should compel the use of (6.32), thereby avoiding the over- or underestimation of capital cost ex ante. In summary, the prices equation can be written at a macroeconomics level by substituting (6.32) into (6.30)   P = w/λˆ + (d + r ∗ )ˆv l ∗ PK0 + (1 − l ∗ )PK . (6.35a)

Part A 6.4

This relation is simplified according to the different aims of the enterprises: 1. Keeping physical capital intact, as suggested by accountancy for inflation, presuming that capital price moves in perfect accordance with product price (PK0 = PK = pk P over pk = PK /P > 1 is the capital-related price compared with the product one)   w/λˆ = 1 + μ∗a w/λˆ . (6.35b) Pa = ∗ 1 − (d + r )ˆv pk 2. Integrity of capital conferred by owners, as would be suggested by rational behavior (PK = pk P > PK0 ) w/λˆ + l ∗ (d + r ∗ )ˆv PK0 . (6.35c) 1 − (1 − l ∗ )(d + r ∗ )ˆv pk 3. Recovery of nominal value of capital, so as only to take account of historical costs (PK = PK0 ) Pb =

Pc = w/λˆ + (d + r ∗ )ˆv PK0 .

(6.35d)

Only in the particular case of (6.35b) can the price level be obtained by applying a steady profit-margin ˆ factor (1 + μ∗a ) to labor costs per product unit (w/λ). The markup μ∗ desired by enterprises (the percentage calculated on variable work costs in order to recover fixed capital cost) results in the following expressions for the three cases above: 1. Keeping physical capital intact; in this case, the mark-up results independent of the nominal wage level w (d + r ∗ )ˆv pk ˆ −1 = . (6.36a) μ∗a = Pa λ/w 1 − (d + r ∗ )ˆv pk 2. Integrity of capital conferred by owners, ˆ −1 μ∗b = Pb λ/w   ˆ + (1 − l ∗ ) pk (d + r ∗ )ˆv l ∗ PK0 λ/w = . (6.36b) 1 − (1 − l ∗ )(d + r ∗ )ˆv pk 3. Recovery of nominal value of capital, ˆ − 1 = (d + r ∗ )ˆv PK0 λ/w ˆ μ∗c = Pc λ/w .

(6.36c)

Note that in case 1, enforcing automation generally implies adoption of manufacturing techniques whose relative cost pk increases at a rate greater than proportionally with respect to the capital–product rate reduction vˆ . The desired markup must then be augmented in order to ensure coverage of capital. In relation (6.35b) this effect is compensated because of productivity λˆ growth due to greater automation, so that on the whole the effect of automation on the general price level is beneficial: for given nominal salary, automation reduces price level. In cases 2 and 3 of rational behavior, referring to (6.35c) in which the enterprise is aware that its debt is to be refunded at its nominal value, and even more so in the particular case (6.35d) in which the firm is enduring monetary illusion, the desired markup is variable, a decreasing function of monetary wage. Therefore the markup theory is a simplification limited to the case of maintaining physical capital intact, and neglecting effects of capital composition (debt refundable at nominal value). Based on the previous prices equations it follows that an increase of nominal wages or profit rate sought by enterprises involves an increase in prices general level. In comparison with w, the elasticity is only unity in (6.35b) and diminishes increasingly when passing to (6.35c) and (6.35d). A percentage increase of nominal salaries is therefore transferred on the level of prices in the same

Economic Aspects of Automation

proportion – as stated by markup theory – only in the particular case of (6.35b). In the other cases the translation results less than proportional, as the sought markup decreases as w increases. Nominal Prices and Wages: Some Conclusions Elasticity of product price decreases if the term ˆ increases, representing the ratio between the vˆ PK0 λ/w nominal value of capital (PK0 K¯ ) and labor cost (wL). Since automation necessarily implies a remarkable increase of this ratio, it results in minor prices sensibility to wages variation. In practice, automation implies beneficial effects on inflation: for increasing nominal wages, a high-capital-intensity economy is less subject to inflation shocks caused by wage rises.

6.4.2 Macroeconomics Effects of Automation in the Mid-Term: Actual Wages and Natural Unemployment In order to analyze effects of automation on macroeconomic balance in the mid term, it is necessary to convey the former equations of prices in terms of real wages, dividing each member by P, in order to take account of ω = w/P, which represents the maximum wage that firms are prepared to pay to employees without giving up their desired profit rate. 1. Keeping physical capital intact (PK0 = PK = pk P)   ˆ − (d + r ∗ )ˆv pk ] = λ/ ˆ 1 + μ∗a (6.37a) ωa = λ[1

    ωb = λˆ 1 − (d + r ∗ )ˆv pk − l ∗ pk − PK0 /P (6.37b)

3. Recovery of capital’s nominal value (PK = PK0 )   (6.37c) ωc = λˆ 1 − (d + r ∗ )ˆv PK0 /P In the borderline case of keeping physical capital intact (6.37a) only one level of real salary ωa exists consistent with a specified level of capital productivˆ amortization rate d, ity r ∗ , given work productivity λ, capital/product ratio vˆ (corresponding to normal use of plants), and relative price pk of capital with respect to product. The value of ωa can also be expressed as a link between work productivity and profit margin factor

105

(1 + μ∗a ) with variable costs, assuming that the desired markup is unchanging in comparison with prices. In the rational case of corporate stock integrity and in the generally adopted case of recovery of capital nominal value, an increasing relation exists between real salary and general price level, with the desired markup being variable, as shown in connections (6.36b) and (6.36c). The elasticity of ω with respect to P turns out to increase from (6.37a) to (6.37c) ηa = (∂ω/∂P)(P/ω) = 0 ˆ b )l ∗ (d + r ∗ )ˆv PK0 /P < ηb = (λ/ω ˆ c )(d + r ∗ )ˆv PK0 /P < ηc = (λ/ω ˆ . ˆ c − 1 < 1 with ωc > λ/2 = λ/ω >


PK0 )

6.4 Mid-Term Effects of Automation

106

Part A

Development and Impacts of Automation

Higher unemployment is supposed to weaken workers’ contractual strength, compelling them to accept lower wages, and vice versa that a decrease of unemployment rate leads to requests for an increase of real wages (∂ω/∂u < 0). The variable z can express the effects of unemployment increase, which would compel workers to ask for a pay raise, because any termination would appear less risky in terms of social salary (the threshold above which an individual is compelled to work and under which he is not prepared to accept, at worst choosing unemployment). Similar effects would be induced by legal imposition of minimum pay and other forms of worker protection, which – making discharge more difficult – would strengthen workers’ position in wage bargaining. Regarding the expected level of prices, it is supposed that ∂w/∂P = w/P > 0 ⇒ (∂w/∂P )(P /w) = 1 e

e

e

e

Part A 6.4

to point out that rational subjects are interested in real wages (their buying power) and not nominal ones, so an increase in general level of prices would bring about an increase in nominal wages in the same proportion. Wages are however negotiated by firms on nominal terms, on the basis of a price foresight (P e ) for the whole length of the contract (generally more than 1 year), during which monetary wages are not corrected if P = P e . To accomplish this analysis, in the mid-term period, wage bargaining is supposed to have real wage as a subject (excluding systematic errors in expected inflation foresight), so that P = P e and (6.38) can be simplified to ω = ω(u, z) .

(6.39)

Figure 6.2 illustrates this relation with a decreasing curve WS (wage setting), on Cartesian coordinates for a given level of z. Two straight lines, PS (price setting), representing price equations (6.37a), considering mainly the borderline case of maintaining physical capital intact, are reported:



The upper line PSA refers to an economic system affected by a high degree of automation, or by technologies where θk = 1 and work and capital productivity are higher, being able to contrast the capital’s relative higher price; in this case firms are prone to grant higher real wages.

ω EA

PSA

Ea

PSa WS(z)

0

u An

u an

u

Fig. 6.2 Mid-term equilibrium with high and low automa-

tion level



The lower line PSa , characterizing an economy with a lower degree of automation, resulting in less willingness to grant high real wages.

The intersection between the curve WS and the line PS indicates one equilibrium point E for each economic system (where workers’ plans are consistent with those of firms), corresponding to the case in which only one natural unemployment rate exists, obtained by balancing (6.37a) and (6.39)   a λˆ 1 − (d + r ∗ )ˆv pk = ω(u, z) ⇒ u A n < u n , (6.40a) ˆ + r ∗ ) pk d vˆ > assuming that [1 − (d + r ∗ )ˆv pk ]d λˆ − λ(d ˆ + r ∗ )ˆvd pk . λ(d Remark: In the case of a highly automated system, wage bargaining in the mid-term period enables a natural unemployment rate smaller than that affecting a less automated economy: higher productivity makes enterprises more willing to grant higher real wages as a result of bargaining and the search for efficiency in production organizations, so that the economic system can converge towards a lower equilibrium rate of unemploya ment (u A n < u n ). The uniqueness of this solution is valid only if enterprises aim to maintain physical capital undivided. In the case of rational behavior intended to achieve the integrity of capital stock alone (6.37b), or business procedures oriented to recoup the accounting value of capital alone (6.37c), the natural unemployment rate theory is not sustainable. In fact, if we equalize these two relations to (6.39), respectively, we obtain in both cases a relation describing balance couples between unemployment rate and prices general level, indicating that the equilibrium rate is undetermined and therefore

Economic Aspects of Automation

that the adjective natural is inappropriate     λˆ 1 − (d + r ∗ )ˆv pk − l ∗ pk − PK0 /P = ω(u, z) ⇒ u n = u bn (P) ,   λˆ 1 − (d + r ∗ )ˆv PK0 /P = ω(u, z)

(6.40b)

⇒ u n = u cn (P) ,

(6.40c)

where ∂u n /∂P < 0, since an increase in P increases the margin necessary to recover capital cost and so enterprises can pay out workers a higher real salary (∂ω/∂P > 0), which in balance is compatible with a lower rate of unemployment. Summing up, alternative enterprise behavior to that intended to maintain physical capital intact does not allow fixing univocally the natural rate of unemployment. In fact, highly automated economic systems cause a translation of the function u n = u n (P) to a lower level: for an identical general level of prices the unemployment balance rate is lower since automation allows increased productivity and real wage that enterprises are prepared to pay.

6.4.3 Macroeconomic Effects of Automation in the Mid Term: Natural Unemployment and Technological Unemployment

s> ˆ u¯ = 1 − L d /L s = 1 − (Y¯ /λ)/L < un ,

(6.41)

where L d = Y¯ /λˆ is the demand for labor, L s is the labor supply, and Y¯ is the potential production rate, compatible with full utilization of production capacity.

107

A justification of this conclusion can be seen by noting that automation calls for qualified technical personnel, who are still engaged by enterprises even during crisis periods, and of whom overtime work is required in case of expansion. Then, employment variation is not proportional to production variation, as clearly results from the law of Okun [6.45], and from papers by Perry [6.46] and Tatom [6.47]. Remark: From the definition of u¯ it follows that economic systems with high automation level, and therefore characterized by high productivity of labor, present higher technological unemployment rates ˆ λ/ ˆ u) (∂ u/∂ ¯ λ)( ¯ = (1 − u)/ ¯ u¯ > 0 . On one hand, automation reduces the natural unemployment rate u n , but on the other it forces the technological unemployment rate u¯ to increase. If it holds that u¯ ≤ u n , the market is dominant and the economic system aims to converge in time towards an equilibrium characterized by higher real salary and lower (natural) unemployment rate, as soon as the beneficial effects of automation spread. In Fig. 6.3, the previous Fig. 6.2 is modified under the hypothesis of a capital-intensive economy, by including two vertical lines corresponding to two potential unemployment rates imposed by technology (u¯ and u¯ A ). Note that u¯ has been placed on the left of u n whilst u¯ A has been placed on the right of u n . It can be seen that the labor market equilibrium (point E) dominates when technology implies a degree of automation compatible with a nonconstraining unemployment rate u. ¯ Equilibrium could be obtained, on the contrary, when technology (for a given production capacity of the ecoω

E

PSA H WS(z)

0

u

un

uA

u

Fig. 6.3 Mid-term equilibrium with high automation level

and two different technological unemployment rates

Part A 6.4

The above optimistic conclusion of mid-term equilibrium being more profitable for highly automation economies must be validated in the light of constraints imposed by capital-intensive technologies, where the production rate is higher and yields are constant. Assume that in the economic system an aggregated demand is guaranteed, such that all production volumes could be sold, either owing to fiscal and monetary politics oriented towards full employment or hoping that, in the mid term, the economy will spontaneously converge through monetary adjustments induced by variation of the price general level. In a diffused automation context, a problem could result from a potential inconsistency between the unemployment natural rate u n (obtained by imposing equality of expected and actual prices, related to labor market equilibrium) and the unemployment rate imposed by technology u¯

6.4 Mid-Term Effects of Automation

108

Part A

Development and Impacts of Automation

nomic system) cannot assure a low unemployment rate: u¯ A > u n . The technological unemployment constraint implies a large weakness when wages are negotiated by workers, and it induces a constrained equilibrium (point H) in which the real salary perceived by workers is lower than that which enterprises are willing to pay. The latter can therefore achieve unplanned extra profits, so that automation benefits only generate capital owners’ income which, in the share market, gives rise to share value increase. Only a relevant production increase and equivalent aggregated demand could guarantee a reduction of u¯ A , thus transferring automation benefits also to workers. These conclusions can have a significant impact on the Fisher–Phillips curve (Fisher [6.48] and Phillips [6.49], first; theoretically supported by Lipsey [6.50] and then extended by Samuelson and Solow [6.51]) describing the trade-off between inflation and unemployment. Assume that the quality constraint between expected prices and effective prices can, in the mid term, be neglected, in order to analyze the inflation dynamics. Then, substitute (6.38) into (6.35b), by assuming the hypothesis that maintaining capital intact implies constant markup P = (1 + μ∗ )ω(u, z)P e /λˆ .

(6.42)

Part A 6.4

This relation shows that labor market equilibrium for imperfect information (P e = P), implies a positive link between real and expected prices. By dividing (6.42) by P−1 , the following relation results 1 + π = (1 + π e )(1 + μ∗ )ω(u, z)/λˆ ,

(6.43a)

where:

• •

π = P/P−1 − 1 is the current inflation rate π e = P e /P−1 − 1 is the expected inflation rate.

Assume moderate inflation rates, such that (1 + π)/(1 + π e ) ≈ 1 + π − π e and consider a linear relation between productivity and wages, such that it amounts to 100% if z = u = 0 : ω(u, z)/λˆ = 1 + α0 z − α1 u(α0 , α1 > 0) . Relation (6.43a) can be simplified to π = π e + (1 + μ∗ )α0 z − (1 + μ∗ )α1 u ,

(6.43b)

which is a linear version of the Phillips curve; according to this form, the current inflation rate depends positively

on inflation expectation, markup level, and the variable z, and negatively on the unemployment rate. For π e = 0, the original curve which Phillips and Samuelson–Solow estimated for the UK and USA is obtained. For π e = π−1 (i. e., extrapolative expectations) a link between the variation of inflation rate and the unemployment rate (accelerated Phillips curve), showing better interpolation of data observed since 1980s, is derived π − π−1 = (1 + μ∗ )α0 z − (1 + μ∗ )α1 u .

(6.44)

πe

Assuming π = = π−1 in (6.43b) and (6.44), an estimate of the natural unemployment rate (without systematic errors in mid-term forecasting) can be derived u n = α0 z/α1 .

(6.45)

Now, multiplying and dividing by the α1 term (1 + μ∗ )α0 z in (6.44), and inserting (6.45) into (6.44), it results that, in the case of extrapolative expectations, the inflation rate reduces if the effective unemployment rate is greater than the natural one ( dπ < 0 if u > u n ); it increases in the opposite case ( dπ > 0 if u < u n ); and it is zero (constant inflation rate) if the effective unemployment rate is equal to the natural one ( dπ = 0 if u = un) π − π−1 = −(1 + μ∗ )α1 (u − u n ) .

(6.46)

Remark: Relation (6.44) does not take into account effects induced by automation diffusion, which imposes on the economic system a technological unemployment u¯ A that increases with increasing automation. It follows that any econometric estimation based on (6.44) no longer evaluates the natural unemployment rate (6.45) for π − π−1 = 0, because the latter varies in time, flattening the interpolating line (increasingly high unemployment rates related to increasingly lower real wages, as shown above). Then, as automation process spreads, the natural unemployment rate (which, without systematic errors, assures compatibility between the real salary paid by enterprises and the real salary either demanded by workers or supplied by enterprises for efficiency motivation) is no longer significant. In Fig. 6.4a the Phillips curve for the Italian economic system, modified by considering inflation rate variations from 1953 to 2005 and the unemployment rate, is reported; the interpolation line is decreasing but very flat owing to u¯ A movement towards the right. A natural unemployment rate between 7 and 8% seems to appear, but the intersection of the interpolation line with the abscissa is moved, as shown in the

Inflation rate variation (%) 8 7 6 5 4 3 2 1 0 –1 –2 –3 –4 –5 –6 4.5 5 5.5 6

6.5

7

7.5

Economic Aspects of Automation

6.4 Mid-Term Effects of Automation

8

10.5 11 11.5 12 Unemployment rate (%)

8.5

9

9.5

10

a) Economic miracle: 1953 – 1972

b) Petroleum shock: 1973 – 1985

c) 1973 – 2005

Inflation rate variation (%) 8

Inflation rate variation (%) 8

Inflation rate variation (%) 8

6

6

6

4

4

4

2

2

2

0

0

0

–2

–2

–2

–4

–4

–4

–6 4.8

–6

6

7

8 9 10 Unemployment rate (%)

–6

6

7

8 9 10 11 12 Unemployment rate (%)

Fig. 6.4a–c Italy: Expectations-augmented Phillips curve (1953–2005). (a) Economic miracle: 1953–1972, (b) petroleum shock: 1973–1985, (c) 1973–2005

three representations where homogeneous data sets of the Italian economic evolution are considered. Automation has a first significant impact during the oil crisis, moving the intersection from 5.2% for the first post-war 20 years up to 8% in the next period. Extending the time period up to 2005, intersection moves to 9%; the value could be greater, but it has been recently bounded by the introduction of temporary work contracts which opened new labor opportunities but lowered salaries. Note that Figs. 6.4a–c are partial reproductions of the Fig. 6.4, considering three different intervals of unemployment rate values. This reorganization of data corresponds to the three different periods of the Italian economic growth: (a) the economic miracle (1953–

1972), (b) the petroleum shock (1973–1985), and (c) the period from the economic miracle until 2005 (1973– 2005). A more limited but comprehensive analysis, owing to lack of homogeneous historical data over the whole period, has been done also for two other important European countries, namely France (Fig. 6.5a) and Germany (Fig. 6.5b): the period considered ranges from 1977 to 2007. The unemployment rate values have been recomputed so as to make all the historical series homogeneous. Owing to the limited availability of data for both countries, only two periods have been analyzed: the period 1977–1985, with the effects of the petroleum shock, and the whole period up to 2007, in order to

Part A 6.4

5.2 5.6 6 Unemployment rate (%)

109

110

Part A

Development and Impacts of Automation

a) Inflation rate variation (%) 3 2.5 2 1.5 1 0.5 0 –0.5 –1 –1.5 –2 –2.5 –3 –3.5 –4

4

4.5

5

5.5

Part A 6.4

Inflation rate variation (%) 3 2.5 2 1.5 1 0.5 0 –0.5 –1 –1.5 –2 –2.5 –3 –3.5 –4 4 4.5 5

France: Expectations-augmented Phillips curve (1977–2007)

6

6.5

7

7.5

8

8.5

9

9.5

10

10.5 11 11.5 12 Unemployment rate (%)

France: Petroleum shock: 1977–1985

5.5

6

6.5

7

7.5

8

8.5 9 9.5 Unemployment rate (%)

Fig. 6.5 (a) France: expectations-augmented Phillips curve (1977–2007) (b)  Germany: expectations-augmented

Phillips curve (1977–2007)

evaluate the increase of the natural unemployment rate from the intersection of the interpolation line with the abscissa. As far as Fig. 6.5a is concerned, the natural unemployment rate is also increased in France – as in Italy – by more than one percentage point (from less than 6% to more than 7%). In the authors’ opinion, this increase should be due to technology automation diffusion and consequent innovation of organization structures. Referring to Fig. 6.5b, it can be noted that even in Germany the natural unemployment rate increased – more than in France and in Italy – by about three percentage points (from 2.5 to 5.5%). This effect is partly due to application of automated technologies (which

should explain about one percentage point, as in the other considered countries), and partly to the reunification of the two parts of German. A Final Remark: Based on the considerations and data analysis above it follows that the natural unemployment rate, in an industrial system where capital intensive enterprises are largely diffused, is no longer significant, because enterprises do not apply methods to maintain capital intact, and because technological inflation tends to constraint the natural one. Only structural opposing factors could slow this process, such as labor market reform, to give rise to new work opportunities, and mainly economic politics, which could increase the economy development

Economic Aspects of Automation

b) Inflation rate variation (%)

6.5 Final Comments

111

Germany: Expectations-augmented Phillips curve (1977–2007)

2 1.5 1 0.5 0 –0.5 –1 –1.5 –2 –2.5 –3 0.5

1

1.5

2

2.5

3

3.5

Inflation rate variation (%) 2

4

4.5

5

5.5

6

6.5

7

7.5

8

8.5

9 9.5 10 10.5 11 Unemployment rate (%)

Germany: Petroleum shock: 1977–1985

1.5 1 0.5 0 –0.5 –1 –1.5 –2 –2.5 1

1.5

2

2.5

3

trend more than the growth of productivity and labor supply. However, these aspects should be approached

3.5

4

4.5

5 5.5 6 Unemployment rate (%)

in a long-term analysis, and exceed the scope of this chapter.

6.5 Final Comments Industrial automation is characterized by dominance of capital dynamics over the biological dynamics of human labor, thus increasing the production rate. Therefore automation plays a positive role in reducing costs related to both labor control, sometimes making monetary incentives useless, and supervisors employed to assure the highest possible utilization of personnel. It is automation itself that imposes the production rate and forces workers to correspond to this rate.

In spite of these positive effects on costs, the increased capital intensity implies greater rigidity of the cost structure: a higher capital cost depending on higher investment value, with consequent transformation of some variable costs into fixed costs. This induces a greater variance of profit in relation to production volumes and a higher risk of automation in respect to labor-intensive systems. However, the trade-off between automation yield and risk suggests that enterprises

Part A 6.5

–3 0.5

112

Part A

Development and Impacts of Automation

Employment growth (%) 60 Computer related services

50 40 30

ICT services

20

Business services

10

Total business sector Total ICT sector

0

1996

1997

1998

1999

2000

2001

2002

2003

2004

2005

2006

Fig. 6.6 Employment growth by sector with special focus on computer and ICT (source: OECD Information Technology

Outlook 2008, based on STAN database)

2007

Norway Switzerland Hungary Iceland Czech Republic Slovenia Estonia Slovak Republic Poland Turkey

1995

Over a mid-term period, automation has been recognized to have beneficial effects (Figs. 6.6–6.8) both on the real salary paid to workers and on the (natural) unemployment trend, if characteristics of automation technologies do not form an obstacle to the market trend towards equilibrium, i. e., negotiation between enterprises and trade unions. If, on the contrary, the system production capacity, for a compatible demand, prevents market convergence, a noncontractual equilibrium only dependent on technology capability could be established, to the advantage of enterprises and the prejudice of workers, thus reducing real wages and increasing the unemployment rate. Empirical validation seems to show that Italy entered this technology-caused unemployment phase

Luxembourg United Kingdom Denmark Finland Sweden Netherlands Italy Belgium Germany Ireland Austria France Spain Greece Portugal

Share (%) 35 30 25 20 15 10 5 0

EU15 Australia Canada United States

Part A 6.5

should increase automation in order to obtain high utilization of production capacity. One positive effort in this direction has been the design and implementation of flexible automation during recent decades (e.g., see Chap. 50 on Flexible and Precision Assembly). Moving from microeconomic to macroeconomic considerations, automation should reduce the effects on short-term inflation caused by nominal salary increases since it forces productivity to augment more than the markup necessary to cover higher fixed costs. In addition, the impact of automation depends on the book-keeping method adopted by the enterprise in order to recover the capital value: this impact is lower if a part of capital can be financed by debts and if the enterprise behavior is motivated by monetary illusion.

Fig. 6.7 Share of ICT-related occupations in the total economy from 1995 and 2007 (source: OECD IT Outlook 2008,

forthcoming)

113

Austria

France

Germany

Italy

Greece

Ireland

Finland

Netherlands

1995–2003

Spain

New Zealand

Japan

Canada

Belgium

United Kingdom

Denmark

Sweden

United States

1990–95

Australia

% 1 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0

6.6 Capital/Labor and Capital/Product Ratios in the Most Important Italian Industrial Sectors

Portugal

Economic Aspects of Automation

Fig. 6.8 Contributions of ICT investment to GDP growth, 1990–1995 and 1995–2003 in percentage points (source:

OECD Productivity Database, September 2005)

during the last 20 years: this corresponds to a flatter Phillips curve because absence of inflation acceleration is related to natural unemployment rates that

increase with automation diffusion, even if some structural modification of the labor market restrained this trend.

6.6 Capital/Labor and Capital/Product Ratios in the Most Important Italian Industrial Sectors



Sectors concerning energy production and distribution and public services for the following reasons: they consist of activities applying very high levels of automation; personnel applied in production are extremely scarce, whilst it is involved in organization and control; therefore anomalous values of the capital/labor ratio and of productivity result.



The transport sector, because the very high capital/product ratio depends on the low level of the added value of enterprises that are operating with politically fixed (low) prices.

Part A 6.6

A list of the most important industrial sectors in Italy is reported in Table 6.2 (data collected by Mediobanca [6.52] for the year 2005). The following estimations of the model variables and rates have been adopted (as enforced by the available data): capital K is estimated through fixed assets; with reference to production, the added value Va is considered; labor L is estimated in terms of number of workers. Some interesting comments can be made based on Table 6.2, by using the production function models presented in the previous sections. According to the authors’ experience (based on their knowledge of the Italian industrial sectors), the following anomalous sectors can be considered:

Capital/labor (×1000 Euro) 600 500 400 300 200 100 0

0

1

2

3

4

5

6

Capital/production (rate)

Fig. 6.9 Correlation between capital/labor (× 1000 €) and

capital/production (ratios)

114

Part A

Development and Impacts of Automation

Table 6.2 Most important industrial sectors in Italy (after [6.52]) Industrial sector (year 2005)

Fixed assets (million euro) (a)

Part A 6.6

Industrial enterprises Service enterprises Clothing industry Food industry: drink production Food industry: milk & related products Food industry: alimentary preservation Food industry: confectionary Food industry: others Paper production Chemical sector Transport means production Retail distribution Electrical household appliances Electronic sector Energy production/distribution Pharmaceuticals & cosmetics Chemical fibers Rubber & cables Graphic & editorial Plant installation Building enterprises Wood and furniture Mechanical sector Hide and leather articles Products for building industry Public services Metallurgy Textile Transport Glass Notation: Labor-intensive sectors



309 689.6 239 941.9 2824.5 5041.7 1794.6 2842.4 4316.1 5772.7 7730.9 16 117.7 21 771.4 10 141.1 4534.7 9498.9 147 200.4 9058.9 2081.4 3868.3 2399.1 1295.9 1337.7 2056.1 18 114.1 1013.9 11 585.2 103 746.7 18 885.6 3539.5 122 989.3 2929.3

Intermediate

Added value (million euro) (b) 84 373.4 42 278.3 1882.9 1356.2 933.2 897.8 1659.0 2070.2 1470.6 4175.2 6353.3 3639.8 1697.4 4845.4 22 916.2 6085.2 233.2 1249.7 1960.4 1683.4 1380.0 689.2 9356.6 696.6 2474.3 28 413.0 5078.2 1072.1 7770.5 726.2

Number of workers (× 1000) (c) 933.8 402.7 30.4 14.3 10.1 11.2 17.8 23.9 19.9 47.7 121.8 84.2 35.4 65.4 82.4 56.3 5.0 21.2 18.0 23.2 24.7 12.8 137.3 9.0 28.7 130.5 62.4 21.7 142.1 9.2

Capital/ product (a/b) 3.7 5.7 1.5 3.7 1.9 3.2 2.6 2.8 5.3 3.9 3.4 2.8 2.7 2.0 6.4 1.5 8.9 3.1 1.2 0.8 1.0 3.0 1.9 1.5 4.7 3.7 3.7 3.3 15.8 4.0

Capital/ labor (× 1000 euro) (a/c) 331.6 595.8 93.0 352.1 177.8 252.9 242.7 241.4 388.2 337.7 178.8 120.4 128.1 145.1 1786.8 160.8 418.4 182.1 133.3 56.0 54.2 160.2 131.9 113.1 404.3 795.2 302.9 163.2 865.2 317.8

Productivity (× 1000 euro) (b/c) 90.4 105.0 62.0 94.7 92.5 79.9 93.3 86.6 73.9 87.5 52.2 43.2 47.9 74.0 278.2 108.0 46.9 58.8 108.9 72.7 55.9 53.7 68.1 77.7 86.4 217.8 81.4 49.4 54.7 78.8

Anomalous

The chemical fibres sector, because at the time considered it was suffering a deep crisis with a large amount of unused production capacity, which gave rise to an anomalous (too high) capital/product ratio and anomalous (too small) value of productivity.

Sectors with a rate capital/production of 1.5 (such as the clothing industry, pharmaceutics and cosmetics, and hide and leather articles) has been considered as intermediate sectors, because automation is highly important in some working phases, whereas other work-

ing phases still largely utilize workers. These sectors (and the value 1.5 of the rate capital/production) are used as separators between capital-intensive systems (with high degrees of automation) and labor-intensive ones. Sectors with a capital/production ratio of less than 1.5 have been considered as labor-intensive systems. Among these, note that the graphic sector is capital intensive, but available data for this sector are combined with the editorial sector, which in turn is largely labor intensive.

Economic Aspects of Automation

All other sectors can be viewed as capital-intensive sectors, even though it cannot be excluded that some working phases within their enterprises are still labor intensive. Two potential correlations, if any, are illustrated in the next two figures: Fig. 6.9 shows the relations between the capital/labor and capital/production ratios, and Fig. 6.10 shows the relations between productivity and capital/labor ratio. As shown in Fig. 6.9, the capital/labor ratio exhibits a clear positive correlation with the capital/production ratio; therefore they could be considered as alternative measures of capital intensity. On the contrary, Fig. 6.10 shows that productivity does not present a clear correlation with capital. This could be motivated by the effects of other factors, including the utilization rate of production capacity and the nonuniform flexibility of the workforce, which could have effects on productivity.

References

115

Productivity 110 100 90 80 70 60 50 40

0

100

200

300

400

500

600

Capital/labor

Fig. 6.10 Relation between productivity (× 100 €) and cap-

ital/labor (rate)

References 6.1

6.2 6.3 6.4 6.5

6.7 6.8

6.9

6.10 6.11

6.12

6.13

6.14

6.15

6.16

6.17

6.18 6.19 6.20 6.21

6.22

L.R. Christensen, D.W. Jorgenson, L.J. Lau: Conjugate duality and the transcendental logarithmic production function, Econometrica 39, 255–56 (1971) L.R. Christensen, D.W. Jorgenson, L.J. Lau: Transcendental logarithmic production frontier, Rev. Econ. Stat. 55, 28–45 (1973) I.M. Nadiri: Producers theory. In: Handbook of Mathematical Economics, Vol. II, ed. by K.J. Arrow, M.D. Intriligator (North-Holland, Amsterdam 1982) A. Shaik: Laws of production and laws of algebra: the humbug production function, Rev. Econ. Stat. 56, 115–20 (1974) H. Hotelling: Edgeworth’s taxation paradox and the nature of demand and supply functions, J. Polit. Econ. 40, 577–616 (1932) H. Hotelling: Demand functions with limited budgets, Econometrica 3, 66–78 (1935) P.A. Samuelson: Foundations of Economic Analysis (Harvard Univ. Press, Cambridge 1947) P.A. Samuelson: Price of factors and goods in general equilibrium, Rev. Econ. Stud. 21, 1–20 (1954) W.E. Diewert: Duality approaches to microeconomic theory. In: Handbook of Mathematical Economics, Vol. II, ed. by K.J. Arrow, M.D. Intriligator (NorthHolland, Amsterdam 1982) D.W. Jorgenson: Econometric methods for modelling producer behaviour. In: Handbook of Econometrics, Vol. III, ed. by Z. Griliches, M.D. Intriligator (NorthHolland, Amsterdam 1986)

Part A 6

6.6

CODESNET: Coordination Action No. IST-2002-506673 / Joint Call IST-NMP-1, A. Villa, coordinator. Web site address: www.codesnet.polito.it. (2004–2008) R.W. Shephard: Cost and Production Functions (Princeton Univ. Press, Princeton 1953) R.W. Shephard: Theory of Cost and Production Functions (Princeton Univ. Press, Princeton 1970) R. Frisch: Lois Techniques et Economiques de la Production (Dunod, Paris 1963), (in French) H. Uzawa: Duality principles in the theory of cost and production, Int. Econ. Rev. 5, 216–220 (1964) M. Fuss, D. Mc Fadden: Production Economics: A Dual Approach to Theory and Application (North-Holland, Amsterdam 1978) C.W. Cobb, P.H. Douglas: A theory of production, Am. Econ. Rev. 18, 139–165 (1928) J.K. Arrow, H.B. Chenery, B.S. Minhas, R. Solow: Capital-labor substitution and economic efficiency, Rev. Econ. Stat. 63, 225–47 (1961) M. Brown, J.S. De Cani: Technological change and the distribution of income, Int. Econ. Rev. 4, 289–95 (1963) D. Mc Fadden: Further results on CES production functions, Rev. Econ. Stud. 30, 73–83 (1963) H. Uzawa: Production functions with constant elasticity of substitution, Rev. Econ. Stud. 29, 291–99 (1962) G.H. Hildebrand, T.C. Liu: Manufacturing Production Functions in the United States (State School of Industrial Labor Relations, New York 1965)

116

Part A

Development and Impacts of Automation

6.23

6.24 6.25

6.26 6.27

6.28

6.29

6.30 6.31

6.32 6.33

6.34 6.35 6.36

Part A 6

6.37

6.38

E. Luciano, P. Ravazzi: I Costi nell’Impresa. Teoria Economica e Gestione Aziendale (UTET, Torino 1997), (Costs in the Enterprise. Economic Theory and Industrial Management – in Italian) A. Weiss: Efficiency Wages (Princeton Univ. Press, Princeton 1990) J.E. Stiglitz: Wage determination and unemployment in LDC’s: the labor turnover model, Q. J. Econ. 88(2), 194–227 (1974) S. Salop: A model of the natural rate of unemployment, Am. Econ. Rev. 69(2), 117–25 (1979) A. Weiss: Job queues and layoffs in labor markets with flexible wages, J. Polit. Econ. 88, 526–38 (1980) C. Shapiro, J.E. Stiglitz: Equilibrium unemployment as a worker discipline device, Am. Econ. Rev. 74(3), 433–44 (1984) G.A. Calvo: The inefficiency of unemployment: the supervision perspective, Q. J. Econ. 100(2), 373–87 (1985) G.A. Akerlof: Labor contracts as partial gift exchange, Q. J. Econ. 97(4), 543–569 (1982) G.A. Akerlof: Gift exchange and efficiency-wage theory: four views, Am. Econ. Rev. 74(2), 79–83 (1984) H. Miyazaki: Work, norms and involontary unemployment, Q. J. Econ. 99(2), 297–311 (1984) D. Antonelli, N. Pasquino, A. Villa: Mass-customized production in a SME network, IFIP Int. Working Conf. APMS 2007 (Linkoping, 2007) J. Robinson: The Economics of Imperfect Competition (Macmillan, London 1933) E.H. Chamberlin: The Theory of Monopolistic Competition (Harvard Univ. Press, Harvard 1933) P.W.S. Andrews: On Competition in Economic Theory (Macmillan, London 1964) F. Modigliani, M. Miller: The cost of capital, corporation finance and the theory of investment, Am. Econ. Rev. 48(3), 261–297 (1958) F. Modigliani, M. Miller: Corporate income taxes and the cost of capital: a correction, Am. Econ. Rev. 53, 433–443 (1963)

6.39 6.40 6.41

6.42

6.43 6.44

6.45

6.46 6.47

6.48

6.49

6.50

6.51

6.52

D.N. Baxter: Leverage, risk of ruin and the cost of capital, J. Finance 22(3), 395–403 (1967) K.H. Chen, E.H. Kim: Theories of corporate debt policy: a synthesis, J. Finance 34(2), 371–384 (1979) M.F. Hellwig: Bankruptcy, limited liability and the Modigliani–Miller theorem, Am. Econ. Rev. 71(1), 155–170 (1981) M. Jensen, W. Meckling: Theory of the firm: managerial behaviour, agency costs and ownership structure, J. Financial Econ. 3(4), 305–360 (1976) A.C. Pigou: Maintaining capital intact, Economica 45, 235–248 (1935) R.A. Cohn, F. Modigliani: Inflation, rational valuation and the market, Financial Anal. J. 35, 24–44 (1979) A.M. Okun: Potential GNP: its measurement and significance. In: The Political Economy of Prosperity, ed. by A.M. Okun (Brookings Institution, Washington 1970) pp. 132–145 G. Perry: Potential output and productivity, Brook. Pap. Econ. Activ. 8, 11–60 (1977) J.A. Tatom: Economic Growth and Unemployment: A Reappraisal of the Conventional View (Federal Reserve Bank of St. Louis Review, St. Louis 1978) pp. 16–22 I. Fisher: A statistical relation between unemployment and prices changes, Int. Labour Rev. 13(6) 785–792 (1926), J. Polit. Econ. 81(2), 596–602 (1973) W.H. Phillips: The relation between unemployment and the rate of change of money wages rated in the United Kingdom: 1861–1957, Economica 25(100), 283–299 (1958) R.G. Lipsey: The relation between unemployment and the rate of change of money wages in UK: 1862-1957, Economica 27, 1–32 (1960) P.A. Samuelson, R.M. Solow: The problem of achieving and maintaining a stable price level: analytical aspects of anti-inflation policy, Am. Econ. Rev. 50, 177–194 (1960) Mediobanca: Dati Cumulativi di 2010 Società Italiane (Mediobanca, Milano 2006), (Cumulative Data of 2010 Italian Enterprises – in Italian)

117

Impacts of Au 7. Impacts of Automation on Precision

Alkan Donmez, Johannes A. Soons

Automation has significant impacts on the economy and the development and use of technology. In this chapter, the impacts of automation on precision, which also directly influences science, technology, and the economy, are discussed. As automation enables improved precision, precision also improves automation. Following the definition of precision and the factors affecting it, the relationship between precision and automation is described. This chapter concludes with specific examples of how automation has improved the precision of manufacturing processes and manufactured products over the last decades.

7.4

Cost and Benefits of Precision................ 119

7.5

Measures of Precision ........................... 120

7.6

Factors That Affect Precision .................. 120

7.7

Specific Examples and Applications in Discrete Part Manufacturing .............. 7.7.1 Evolution of Numerical Control and Its Effects on Machine Tools and Precision .............................. 7.7.2 Enablers to Improve Precision of Motion .................................... 7.7.3 Modeling and Predicting Machine Behavior and Machining .. 7.7.4 Correcting Machine Errors .............. 7.7.5 Closed-Loop Machining (Automation-Enabled Precision) .... 7.7.6 Smart Machining ..........................

121

121 122 122 122 123 124

7.1

What Is Precision? ................................ 117

7.2

Precision as an Enabler of Automation ... 118

7.8

7.3

Automation as an Enabler of Precision ... 119

References .................................................. 125

Conclusions and Future Trends .............. 124

7.1 What Is Precision?

. . . closeness of agreement between indications obtained by replicate measurements on the same or similar objects under specified conditions.

In this definition, the specified conditions describe whether precision is associated with the repeatability or the reproducibility of the measurement process. Repeatability is the closeness of agreement between results of successive measurements of the same quantity carried out under the same conditions. These repeatability conditions include the measurement procedure, observer, instrument, environment, etc. Reproducibility is the closeness of the agreement between results of measurements carried out under changed measurement conditions. In computer science and mathematics, precision is often defined as a measure of the level of detail of a numerical quantity. This is usually expressed as the number of bits or decimal digits used to describe the quantity. In other areas, this aspect of precision is re-

Part A 7

Precision is the closeness of agreement between a series of individual measurements, values or results. For a manufacturing process, precision describes how well the process is capable of producing products with identical properties. The properties of interest can be the dimensions of the product, its shape, surface finish, color, weight, etc. For a device or instrument, precision describes the invariance of its output when operated with the same set of inputs. Measurement precision is defined by the International Vocabulary of Metrology as the [7.1]:

118

Part A

Development and Impacts of Automation

ferred to as resolution: the degree to which nearly equal values of a quantity can be discriminated, the smallest measurable change in a quantity or the smallest controlled change in an output. Precision is a necessary but not sufficient condition for accuracy. Accuracy is defined as the closeness of the agreement between a result and its true or intended value. For a manufacturing process, accuracy describes the closeness of agreement between the properties of the manufactured products and the properties defined in the product design. For a measurement, accuracy is the closeness of the agreement between the result of the measurement and a true value of the measurand – the quantity to be measured [7.1]. Accuracy is affected by both precision and bias. An instrument with an incorrect calibration table can be precise, but it would not be accurate. A challenge with the definition of accuracy is that the true value is a theoretical concept. In practice, there is a level of uncertainty associated

with the true value due to the infinite amount of information required to describe the measurand completely. To the extent that it leaves room for interpretation, the incomplete definition of the measurand introduces uncertainty in the result of a measurement, which may or may not be significant relative to the accuracy required of the measurement; for example, suppose the measurand is the thickness of a sheet of metal. If this thickness is measured using a micrometer caliper, the result of the measurement may be called the best estimate of the true value (true in the sense that it satisfies the definition of the measurand.) However, had the micrometer caliper been applied to a different part of the sheet of material, the realized quantity would be different, with a different true value [7.2]. Thus the lack of information about where the thickness is defined introduces an uncertainty in the true value. At some level, every measurand or product design has such an intrinsic uncertainty.

7.2 Precision as an Enabler of Automation

Part A 7.2

Historically, precision is closely linked to automation through the concept of parts interchangeability. In more recent times, it can be seen as a key enabler of lean manufacturing practices. Interchangeable parts are parts that conform to a set of specifications that ensure that they can substitute each other. The concept of interchangeable parts radically changed the manufacturing system used in the first phase of the Industrial Revolution, the English system of manufacturing. The English system of manufacturing was based on the traditional artisan approach to making a product. Typically, a skilled craftsman would manufacture an individual product from start to finish before moving onto the next product. For products consisting of multiple parts, the parts were modeled, hand-fitted, and reworked to fit their counterparts. The craftsmen had to be highly skilled, there was no automation, and production was slow. Moreover, parts were not interchangeable. If a product failed, the entire product had to be sent to an expert craftsman to make custom repairs, including fabrication of replacement parts that would fit their counterparts. Pioneering work on interchangeable parts occurred in the printing industry (movable precision type), clock and watch industry (toothed gear wheels), and armories (pulley blocks and muskets) [7.3]. In the mid to late 18th century, French General Jean Baptiste Va-

quette de Gribeauval promoted the use of standardized parts for key military equipment such as gun carriages and muskets. He realized that interchangeable parts would enable faster and more efficient manufacturing, while facilitating repairs in the field. The development was enabled by the introduction of two-dimensional mechanical drawings, providing a more accurate expression of design intent, and increasingly accurate gauges and templates (jigs), reducing the craftsman’s room for deviations while allowing for lower skilled labor. In 1778, master gunsmith Honoré Blanc produced the first set of musket locks completely made from interchangeable parts. He demonstrated that the locks could be assembled from parts selected at random. Blanc understood the need for a hierarchy in measurement standards through the use of working templates for the various pieces of the lock and master copies to enable the reconstruction of the working templates in the case of loss or wear [7.3]. The use of semiskilled labor led to strong resistance from both craftsmen and the government, fearful of the growing independence of manufacturers. In 1806, the French government reverted back to the old system, using the argument that workers who do not function as a whole cannot produce harmonious products. Thomas Jefferson, a friend of Blanc, promoted the new approach in the USA. Here the ideas led to

Impacts of Automation on Precision

the American system of manufacturing. The American system of manufacturing is characterized by the sequential application of specialized machinery and templates (jigs) to make large quantities of identical parts manufactured to a tolerance (see, e.g., [7.4]). Interchangeable parts allow the separation of parts production from assembly, enabling the development of the assembly line. The use of standardized parts furthermore facilitated the replacement of skilled labor and hand tools with specialized machinery, resulting in the economical and fast production of accurate parts. The American system of manufacturing cannot exist without precision and standards. Firstly, the system requires a unified, standardized method of defining nominal part geometry and tolerances. The tolerances describe the maximum allowed deviations in actual part geometry and other properties that ensure proper functioning of the part, including interchangeability. Secondly, the system requires a quality control system, including sampling and acceptance rules, and gauges calibrated to a common standard to ensure that the parts produced are within tolerance. Thirdly, the system requires manufacturing processes capable of realizing parts that conform to tolerance. It is not surprising that the concept of interchangeable parts first came into widespread use in the watchmakers’ industry, an area used to a high level of accuracy [7.5].

7.4 Cost and Benefits of Precision

119

Precision remains a key requirement for automation. Precision eliminates fitting and rework, enabling automated assembly of parts produced across the globe. Precision improves agility by increasing the range of tasks that unattended manufacturing equipment can accomplish, while reducing the cost and time spent on production trials and incremental process improvements. Modern manufacturing principles such as lean manufacturing, agile manufacturing, just-in-time manufacturing, and zero-defect manufacturing cannot exist without manufacturing processes that are precise and well characterized. Automated agile manufacturing, for example, is dependent upon the solution of several precision-related technical challenges. Firstly, as production machines become more agile, they also become more complex, yet precision must be maintained or improved for each of the increasing number of tasks that a machine can perform. The design, maintenance, and testing of these machines becomes more difficult as the level of agility increases. Secondly, the practice of trial runs and iterative accuracy improvements is not cost-effective when batch sizes decrease and new products are introduced at increasing speeds. Instead, the first and every part have to be produced on time and within tolerance. Accordingly, the characterization and improvement of the precision of each manufacturing process becomes a key requirement for competitive automated production.

7.3 Automation as an Enabler of Precision variations or application of temperature sensors and algorithms to compensate thermal errors in the instrument reading. Automation has proven to be very effective in eliminating or minimizing variability. Automation reduces variability associated with human operation. Automation furthermore enables control of instruments, processes, and machines with a bandwidth, complexity, and resolution unattainable by human operators. While humans plan and supervise the operation of machines and instruments, the craftsmanship of the operator is no longer a dominant factor in the actual manufacturing or inspection process.

7.4 Cost and Benefits of Precision Higher precision requires increased efforts to reduce sources of variability or their effect. Parts with tighter

tolerances are therefore more difficult to manufacture and more expensive to produce. In general, there is

Part A 7.4

As stated by Portas, random results are the consequence of random procedures [7.6]. In general, random results appear to be random due to a lack of understanding of cause-and-effect relationships and a lack of resources for controlling sources of variability; for example, an instrument may generate a measurement result that fluctuates over time. Closer inspection may reveal that the fluctuations result from environmental temperature variations that cause critical parts of the instrument to expand and deform. The apparent random variations can thus be reduced by tighter environmental temperature control, use of design principles and materials that make the device less sensitive to temperature

120

Part A

Development and Impacts of Automation

a belief that there exists a nearly exponential relationship between cost and precision, even when new equipment is not needed. However, greater precision does not necessarily imply higher cost when the total manufacturing enterprise, including the final product, is examined [7.7, 8]. The benefits of higher precision can be separated into benefits for product quality and benefits for manufacturing. Higher precision enables new products and new product capabilities. Other benefits are better product performance (e.g., longer life, higher loads, higher efficiency, less noise and wear, and better appearance and customer appeal), greater reliability, easier re-

pair (e.g., improved interchangeability of parts), and opportunities for fewer and smaller parts; for example, the improvements in the reliability and fuel efficiency of automobiles have to a large extent been enabled by increases in the precision of manufacturing processes and equipment. The benefits of higher precision for manufacturing include lower assembly cost (less selective assembly, elimination of fitting and rework, automated assembly), better interchangeability of parts sourced from multiple suppliers, lower inventory requirements, less time and cost spend on trial production, fewer rejects, and improved process consistency.

7.5 Measures of Precision

Part A 7.6

To achieve precision in a process means that the outcome of the process is highly uniform and predictable over a period of time. Since precision is an attribute of a series of entities or process outcomes, statistical methods and tools are used to describe precision. Traditional statistical measures such as mean and standard deviation are used to describe the average and dispersion of the characteristic parameters. International standards and technical reports provide guidance about how such statistical measures are applied for understanding of the short-term and long-term process behavior and for management and continuous improvement of processes [7.9–12]. Statistical process control is based on a comparison of current data with historical data. Historical data is used to build a model for the expected process behavior, including control limits for measurements of the output of the process. Data is then collected from the process and compared with the control limits to determine if the process is still behaving as expected. Process capability compares the output of an in-control process to the specification limits of the requested task. The process capability index, Cp , describes the process capability in

relation to specified tolerance Cp = (U − L)/6σ ,

(7.1)

where U is the upper specification limit, L is the lower specification limit, σ is the standard deviation of the dispersion (note that in the above equation 6σ corresponds to the reference interval of the dispersion for normal distribution; for other types of distribution the reference interval is determined based on the well-established statistical methods). The critical process capability index Cpk also known as the minimum process capability index, describes the relationship between the proximity of the mean process parameter of interest to the specified tolerance Cpk = min(CpkL , CpkU ) ,

(7.2)

where CpkU = (U − μ)/3σ

(7.3)

CpkL = (μ − L)/3σ ,

(7.4)

and and μ is the mean of the process parameter of interest.

7.6 Factors That Affect Precision In case of manufacturing processes, there are many factors that affect the precision of the outcome. They are associated with expected and unexpected variations in environment, manufacturing equipment, and process as well as the operator of the equipment; for example,

ambient temperature changes over time or temperature gradients in space cause changes in performance of manufacturing equipment, which in turn causes variation in the outcome [7.13, 14]. Similarly, variations in workpiece material such as local hardness varia-

Impacts of Automation on Precision

tions, residual stresses, deformations due to clamping or process-induced forces contribute to the variations in critical parameters of finished product. Process-induced variations include wear or catastrophic failures of cutting tools used in the process, thermal variations due to the interaction of coolant, workpiece, and the cutting tool, as well as variations in the set locations of tools used in the process (e.g., cutting tool offsets). In the case of manufacturing equipment, performance varia-

7.7 Specific Examples and Applications in Discrete Part Manufacturing

121

tions due to thermal deformations, static and dynamic compliances, influences of foundations, and ineffective maintenance are the contributors to the variations in product critical parameters. Finally, variations caused by the operator of the equipment due to insufficient training, motivation, care or information needed constitute the largest source of unexpected variations and therefore impact on the precision of the manufacturing process.

7.7 Specific Examples and Applications in Discrete Part Manufacturing The effect of automation on improving of precision of discrete part manufacturing can be observed in many applications such as improvements in fabrication, assembly, and inspection of various components for high-value products. In this Section, one specific perspective is presented using the example of machine tools as the primary means of precision part fabrication.

7.7.1 Evolution of Numerical Control and Its Effects on Machine Tools and Precision

Part A 7.7

The development of numerically controlled machines represents a major revolution of automation in manufacturing industry. Metal-cutting machine tools are used to produce parts by removing material from a part blank, a block of raw material, according to the final desired shape of that part. In general, machine tools consist of components that hold the workpiece and the cutting tool. By providing relative motion between these two, a machine tool generates a cutting tool path which in turn generates the desired shape of the workpiece out of a part blank. In early-generation machine tools, the cutting tool motion is controlled manually (by crank wheels rotating the leadscrews), therefore the quality of the workpiece was mostly the result of the competence of the operator of the machine tool. Before the development of numerically controlled machine tools, complex contoured parts were made by drilling closely spaced holes along the desired contour and then manually finishing the resulting surface to obtain a specified surface finish. This process was very time consuming and prone to errors in locating the holes, which utilized cranks and leadscrews to control the orthogonal movements of the work table manually; for example, the best reported accuracy of airfoil shapes using such techniques was ±0.175 mm [7.15]. Later

generation of machine tools introduced capabilities to move the cutting tool along a path by tracing a template using mechanical or hydraulic mechanisms, thus reducing reliance on operator competence [7.16]. On the other hand, creating accurate templates was still a main obstacle to achieving cost-effective precision manufacturing. Around the late 1940s the US Air Force needed more precise parts for its high-performance (faster, highly maneuverable, and heavier) aircraft program (in the late 1940s the target was around ±0.075 mm). There was no simple way to make wing panels to meet the new accuracy specifications. Manufacturing research community and industry had come up with a solution by introducing numerical control automation to general-purpose machine tools. In 1952, the first numerically controlled three-axis milling machine utilizing a paper tape for programmed instructions, vacuum-tube electronics, and relay-based memory was demonstrated by the Servomechanism Laboratory of the MIT [7.17]. This machine was able to move three axes in coordinated fashion with a speed of about 400 mm/min and a control resolution of 1.25 μm. The automation of machine tools was so effective in improving the accuracy and precision of complex-shaped aircraft components that by 1964 nearly 35 000 numerically controlled machine tools were in use in the USA. Automation of machine tools by numerical control led to reduction of the need for complex fixtures, tooling, masters, and templates and replaced simple clamps, resulting in significant savings by industry. This was most important for complex parts where human error was likely to occur. With numerical control, once the control program was developed and checked for accuracy, the machine would work indefinitely making the same parts without any error.

122

Part A

Development and Impacts of Automation

7.7.2 Enablers to Improve Precision of Motion Numerically controlled machine tools rely on sensors that detect positions of each machine component and convert them into digital information. Digital position information is used in control units to control actuators to position the cutting tool properly with respect to the workpiece being cut. The precision of such motion is determined by the resolution of the position sensor (feedback device), the digital control algorithm, and the mechanical and thermal behavior of the machine structural elements. Note that, contrary to manual machine tools, operator skill, experience, and dexterity are not part of the determining factors for the precision of motion. With proper design and environmental controls, it has been demonstrated that machine tools with numerical control can achieve levels of precision on the order of 1 μm or less [7.18, 19].

7.7.3 Modeling and Predicting Machine Behavior and Machining In most material-removal-based manufacturing processes, the workpiece surfaces are generated as a time record of the position of the cutting tool with respect to the workpiece. The instantaneous position of the tool with respect to the workpiece is generated by the multiple axes of the manufacturing equipment moving in a coordinated fashion. Although the introduction of numerical control (NC) and later computer numerical control (CNC) removed the main source of variation in part quality – manual setups and operations – the complex structural nature of machines providing multi-degree-of-freedom motion and the influence z-axis

Part A 7.7

Vertical straightness of x-axis Yaw

Pitch

y-axis Horizontal straightness of x-axis

Roll

x-axis Linear displacement

Fig. 7.1 Six error components of a machine slide

of changing thermal conditions within the structures as well as in the production environment still result in undesired variations, leading to reduced precision of products. Specifically, machine tools are composed of multiple slides, rotary tables, and rotary joints, which are usually assembled on top of each other, each designed to move along a single axis of motion, providing either a translational or a rotational degree of freedom. In reality, each moving element of a machine tool has error motions in six degrees of freedom, three translations, and three rotations (Fig. 7.1). Depending on the number of axes of motion, a machine tool can therefore have as many as 30 individual error components. Furthermore, the construction of moving slides and their assemblies with respect to each other introduce additional error components such as squareness and parallelism between axes of motion. Recognizing the significant benefits of automation provided by numerical control in eliminating random procedures and thus random behavior, in the last five decades many researchers have focused on understanding the fundamental deterministic behavior of error motions of machine tools caused by geometric and thermal influences such that they can be compensated by numerical control functions [7.20–22]. With the advances of robotics research in the 1980s, kinematic modeling of moving structures using homogeneous transformation matrices became a powerful tool for programming and controlling robotic devices [7.23]. Following these developments and assuming rigidbody motions, a general methodology for modeling geometric machine tool errors was introduced using homogeneous transformation matrices to define the relationships between individual error motions and the resulting position and orientation of the cutting tool with respect to the workpiece [7.24]. Kinematic models were further improved to describe the influences of the thermally induced error components of machine tool motions [7.25, 26].

7.7.4 Correcting Machine Errors Automation of machine tool operation by computer numerical control and the modeling of machine tool systematic errors led to the creation of new hardware and software error compensation technologies enabling improvement of machine tool performance. Machine error compensation in the form of leadscrew pitch errors has been available since the early implementations of CNC. Such leadscrew error compensation is carried out

Impacts of Automation on Precision

using error tables in the machine controller. When executing motion commands, the controller accesses these tables to adjust target positions used in motion servo algorithms (feedforward control). The leadscrew error compensation tables therefore provide one-dimensional error compensation. Modern machine controllers have more sophisticated compensation tables enabling twoor three-dimensional error compensation based on preprocess measurement of error motions. For more general error compensation capabilities, researchers have developed other means of interfacing with the controllers. One approach for such an interface was through hardware modification of the communication between the controller and the position feedback devices [7.27]. In this case, the position feedback signals are diverted to an external microcomputer, where they are counted to determine the instantaneous positions of the slides, and corresponding corrections were introduced by modifying the feedback signals before they are read by the machine controller. Similarly, the software approaches to error compensation were also implemented by interfacing with the CNC through the controller executive software and regular input/output (I/O) devices (such as parallel I/O) [7.28]. Generic functional diagrams depicting the two approaches are shown in Fig. 7.2a and b. Real-time error compensation of geometric and thermally induced errors utilizing automated features of machine controllers has been reported in the literature to improve the precision of machine tools by up to an order of magnitude. Today’s commercially available CNCs employ some of these technologies and cost-effectively transfer these benefits to the manufacturing end-users.

7.7.5 Closed-Loop Machining (Automation-Enabled Precision)

123

a) Software-based error compensation CNC Position command

Error

Position control software

Drive motor

Position

Error calculation computer

Position feedback device

b) Hardware-based error compensation CNC Position command

Position control software

Drive motor

Error

Error calculation computer

Real-time error corrector

Position feedback device

Position

Fig. 7.2a,b Hardware and software error compensation approaches: (a) software-based error compensation and (b) hardware-

based error compensation

the customers. Automation of machining, machine error correction, and part inspection processes have led to new quality control strategies in which real-time control of processes is possible based on real-time information about the machining process and equipment and the resulting part geometries. In the mid 1990s, the Manufacturing Engineering Laboratory of the National Institute of Standards and Technology demonstrated such an approach in a research project called Quality In Automation [7.29]. A quality-control architecture was developed that consisted of three control loops around the machining process: real-time, process-intermittent, and postprocess control loops (Fig. 7.3). The function of the real-time control loop was to monitor the machine tool and the machining process and to modify the cutting tool path, feed rate, and spindle speed in real time (based on models developed ahead of time) to achieve higher workpiece precision. The function of the process-intermittent con-

Part A 7.7

Beyond just machine tool control through CNC, automation has made significant inroads into manufacturing operations over the last several decades. From automated inspection using dedicated measuring systems (such as go/no-go gauges situated next to the production equipment) to more flexible and general-purpose inspection systems (such as coordinate measuring machines) automation has improved the quality control of manufacturing processes, thereby enabling more precise production. Automation has even changed the paradigm of traditional quality control functions. Traditionally, the function of quality control in manufacturing has been the prevention of defective products being shipped to

7.7 Specific Examples and Applications in Discrete Part Manufacturing

124

Part A

Development and Impacts of Automation

Quality controller

Data base

On machine Sensors probes

Post-process Pre-process

Process intermittent

Machine tool controller

Real time Machine tool

Coordinate measuring machine

Fig. 7.3 A multilayered quality-control architecture for implement-

ing closed-loop machining

trol loop was to determine the workpiece errors caused by the machining process, such as errors caused by tool deflection during machining, and to correct them by automatically generating a modified NC program for finishing cuts. Finally, the postprocess control loop was used to validate that the machining process was under control and to tune the other two control loops by detecting and correcting the residual systematic errors in the machining system.

7.7.6 Smart Machining

Part A 7.8

Enabled by automation, the latest developments in machining are leading the technology towards the realization of autonomous, smart machining systems. As described in the paragraphs above, continuous improvements in machining systems through NC and CNC as well as the implementations of various sensing and control technologies have responded to the continuous needs for higher-precision products at lower costs.

However, machining systems still require relatively long periods of trial-and-error processing to produce a given new product optimally. Machine tools still operate with NC programs, which provide the design intent of a product to be machined only partially at best. They have no information about the characteristics of the material to be machined. They require costly periodic maintenance to avoid unexpected breakdowns. These deficiencies increase cost and time to market, and reduce productivity. Smart machining systems are envisioned to be capable of self-recognition, monitoring, and communication of their capabilities; self-optimization of their operations; self-assessment of the quality of their own work; and self-learning for performance improvement over time [7.30]. The underlying technologies are currently being developed by various research and development organizations; for example, a robust optimizer developed at the National Institute of Standards and Technology demonstrated a way to integrate machine tool performance information and process models with their associated uncertainties to determine the optimum operating conditions to achieve a particular set of objectives related to product precision, cycle time, and cost [7.31–33]. New sets of standards are being developed to define the data formats to communicate machine performance information and other machine characteristics [7.34, 35]. New methods to determine material properties under machining conditions (high strain rates and high temperatures) were developed to improve the machining models that are used in machining optimization [7.36]). New signal-processing algorithms are being developed to monitor the condition of machine spindles and predict failures before catastrophic breakdowns. It is expected that in the next 5–10 years smart machining systems will be available in the marketplace, providing manufacturers with costeffective means of achieving high-precision products reliably.

7.8 Conclusions and Future Trends Automation is a key enabler to achieve cost-effective, high-quality products and services to drive society’s economical engine. The special duality relationship between automation and precision (each driving the other) escalate the effectiveness of automation in many fields. In this chapter this relationship was described from a relatively narrow perspective of discrete part fabrication. Tighter tolerances in product components that

lead to high-quality products are only made possible by a high degree of automation of the manufacturing processes. This is one of the reasons for the drive towards more manufacturing automation even in countries with low labor costs. The examples provided in this chapter can easily be extended to other economic and technological fields, demonstrating the significant effects of automation.

Impacts of Automation on Precision

Recent trends and competitive pressures indicate that more knowledge has been generated about processes, which leads to the reduction of apparent nonsystematic variations. With increased knowledge

References

125

and technical capabilities, producers are developing more complex, high-value products with smaller numbers of components and subassemblies. This trend leads to even more automation with less cost.

References 7.1

7.2

7.3 7.4

7.5

7.6 7.7 7.8

7.9

7.10

7.11

7.13

7.14 7.15

7.16

7.17 7.18

7.19

7.20 7.21

7.22

7.23 7.24

7.25

7.26

7.27

7.28

7.29

7.30

D.B. Dallas: Tool and Manufacturing Engineers Handbook, 3rd edn. (Society of Manufacturing Engineers, McGraw-Hill, New York 1976) J.F. Reintjes: Numerical Control: Making a New Technology (Oxford Univ. Press, New York 1991) R. Donaldson, S.R. Patterson: Design and construction of a large vertical-axis diamond turning machine, Proc. SPIE 27th Annu. Int. Tech. Symp. Instrum. Disp., Vol. 23 (Lawrence Livermore National Laboratory, 1983), Report UCRL-89738 N. Taniguchi: The state of the art of nanotechnology for processing of ultraprecision and ultrafine products, Prec. Eng. 16(1), 5–24 (1994) R. Schultschick: The components of volumetric accuracy, Ann. CIRP 25(1), 223–226 (1972) R. Hocken, J.A. Simpson, B. Borchardt, J. Lazar, C. Reeve, P. Stein: Three dimensional metrology, Ann. CIRP 26, 403–408 (1977) V.T. Portman: Error summation in the analytical calculation of the lathe accuracy, Mach. Tool. 51(1), 7–10 (1980) R.P. Paul: Robot Manipulators: Mathematics, Programming, and Control (MIT Press, Cambridge 1981) M.A. Donmez, C.R. Liu, M.M. Barash: A generalized mathematical model for machine tool errors, modeling, sensing, and control of manufacturing processes, Proc. ASME Winter Annu. Meet., PED, Vol. 23 (1986) R. Venugopal, M.M. Barash: Thermal effects on the accuracy of numerically controlled machine tools, Ann. CIRP 35(1), 255–258 (1986) J.S. Chen, J. Yuan, J. Ni, S.M. Wu: Thermal error modeling for volumetric error compensation, Proc. ASME Winter Annu. Meet., PED, Vol. 55 (1992) K.W. Yee, R.J. Gavin: Implementing Fast Probing and Error Compensation on Machine Tools, NISTIR 4447 (The National Institute of Standards and Technology, Gaithersburg 1990) M.A. Donmez, K. Lee, R. Liu, M. Barash: A real-time error compensation system for a computerized numerical control turning center, Proc. IEEE Int. Conf. Robot. Autom. (1986) M.A. Donmez: Development of a new quality control strategy for automated manufacturing, Proc. Manufact. Int. (ASM, New York 1992) L. Deshayes, L. Welsch, A. Donmez, R. Ivester, D. Gilsinn, R. Rhorer, E. Whitenton, F. Potra: Smart machining systems: issues and research trends, Proc. 12th CIRP Life Cycle Eng. Semin. (Grenoble 2005)

Part A 7

7.12

ISO/IEC Guide 99: International Vocabulary of Metrology – Basic and General Concepts and Associated Terms (VIM) (International Organization for Standardization, Genewa 2007) ISO/IEC Guide 98: Guide to the Expression of Uncertainty in Measurement (GUM) (International Organization for Standardization, Genewa 1995) C. Evans: Precision Engineering: An Evolutionary View (Cranfield Univ. Press, Cranfield 1989) D.A. Hounshell: From the American System to Mass Production, 1800–1932: the Development of Manufacturing Technology in the United States (Johns Hopkins Univ. Press, Baltimore 1984) D. Muir: Reflections in Bullough’s Pond; Economy and Ecosystem in New England (Univ. Press of New England, Lebanon 2000) J.B. Bryan: The benefits of brute strength, Prec. Eng. 2(4), 173 (1980) J.B. Bryan: Closer tolerances – economic sense, Ann. CIRP 19(2), 115–120 (1971) P.A. McKeown: Higher precision manufacturing and the British economy, Proc. Inst. Mech. Eng. 200(B3), 147–165 (1986) ISO/DIS 26303-1: Capability Evaluation of Machining Processes on Metal-Cutting Machine Tools (International Organization of Standardization, Genewa 2008) ISO 21747: Statistical Methods – Process Performance and Capability Statistics for Measured Quality Characteristics (International Organization for Standardization, Genewa 2006) ISO 22514-3: Statistical Methods in Process Management – Capability and Performance – Part 3: Machine Performance Studies for Measured Data on Discrete Parts (International Organization for Standardization, Genewa 2008) ISO/TR 22514-4: Statistical Methods in Process Management – Capability and Performance – Part 4: Process Capability Estimates and Performance Measures (International Organization for Standardization, Genewa 2007) ASME B89.6.2: Temperature and Humidity Environment for Dimensional Measurement (The American Society of Mechanical Engineers, New York 1973) J.B. Bryan: International status of thermal error research, Ann. CIRP 39(2), 645–656 (1990) G.S. Vasilah: The advent of numerical control 1951– 1959, Manufact. Eng. 88(1), 143–172 (1982)

126

Part A

Development and Impacts of Automation

7.31

7.32

7.33

L. Deshayes, L.A. Welsch, R.W. Ivester, M.A. Donmez: Robust optimization for smart machining system: an enabler for agile manufacturing, Proc. ASME IMECE (2005) R.W. Ivester, J.C. Heigel: Smart machining systems: robust optimization and adaptive control optimization for turning operations, Trans. North Am. Res. Inst. (NAMRI)/SME, Vol. 35 (2007) J. Vigouroux, S. Foufou., L. Deshayes, J.J. Filliben, L.A. Welsch, M.A. Donmez: On tuning the design of an evolutionary algorithm for machining optimization problem, Proc. 4th Int. Conf. Inf. Control (Angers 2007)

7.34

7.35

7.36

ASME B5.59-1 (Draft): Information Technology for Machine Tools – Part 1: Data Specification for Machine Tool Performance Tests (American Society of Mechanical Engineers, New York 2007) ASME B5.59-2 (Draft): Information Technology for Machine Tools – Part 2: Data Specification for Properties of Machine Tools for Milling and Turning (American Society of Mechanical Engineers, New York 2007) T. Burns, S.P. Mates, R.L. Rhorer, E.P. Whitenton, D. Basak: Recent results from the NIST pulse-heated Kolsky bar, Proc. 2007 Annu. Conf. Expo. Exp. Appl. Mech. (Springfield 2007)

Part A 7

127

Trends in Aut 8. Trends in Automation

Peter Terwiesch, Christopher Ganz

8.1

Environment ........................................ 8.1.1 Market Requirements ................... 8.1.2 Technology .................................. 8.1.3 Economical Trends ....................... 8.2 Current Trends ..................................... 8.2.1 Integration.................................. 8.2.2 Optimization ............................... 8.3 Outlook ............................................... 8.3.1 Complexity Increase...................... 8.3.2 Controller Scope Extension ............ 8.3.3 Automation Lifecycle Planning ....... 8.4 Summary ............................................. References ..................................................

128 128 129 129 130 130 138 140 140 141 141 142 142

more also companies from upcoming regions such as China and India that go global and increase competition. The constant strive for increased productivity is inherent to all successful players in the market. In this environment, automation technology benefits from the rapid developments in the information technology (IT) industry. Whereas some 15 years ago automation technology was mostly proprietary, today it builds on technology that is being applied in other fields. Boundaries that have clearly been defined due to the incompatibility of technologies are now fully transparent and allow the integration of various requirements throughout the value chain. Field-level data is distributed throughout the various networks that control a plant, both physically and economically, and can be used for analysis and optimization. To achieve the desired return, companies need to exploit all possibilities to further improve their production or services. This affects all automation levels from field to enterprise optimization, all lifecycle stages from plant erection to dismantling, and all value chain steps from procurement to service. In all steps, on all levels, automation may play a prominent role to optimize processes.

Part A 8

The present chapter addresses automation as a major means for gaining and sustaining productivity advantages. Typical market environment factors for plant and mill operators are identified, and the analysis of current technology trends allows us to derive drivers for the automation industry. A section on current trends takes a closer look at various aspects of integration and optimization. Integrating process and automation, safety equipment, but also information and engineering processes is analyzed for its benefit for owners during the lifecycle of an installation. Optimizing the operation through advanced control and plant asset monitoring to improve the plant performance is then presented as another trend that is currently being observed. The section covers system integration technologies such as IEC61850, wireless communication, fieldbuses, or plant data management. Apart from runtime system interoperability, the section also covers challenges in engineering integrated systems. The section on the outlook into future trends addresses the issue of managing increased complexity in automation systems, takes a closer look at future control schemes, and takes an overall view on automation lifecycle planning. Any work on prediction of the future is based on an extrapolation of current trends, and estimations of their future development. In this chapter we will therefore have a look at the trends that drive the automation industry and identify those developments that are in line with these drivers. Like in all other areas of the industry, the future of automation is driven by market requirements on one hand and technology capabilities on the other hand. Both have undergone significant changes in recent years, and continue to do so. In the business environment, globalization has led to increased worldwide competition. It is not only Western companies that use offshore production to lower their cost; it is more and

128

Part A

Development and Impacts of Automation

8.1 Environment 8.1.1 Market Requirements Today, even more than in the past, all players in the economy are constantly improving their competitiveness. Inventing and designing differentiating offerings is one key element to achieve this. Once conceived, these offerings need to be brought to market in the most efficient way. To define the efficiency of a plant or service, we therefore define a measure to rate the various approaches to optimization: The overall equipment effectiveness (OEE). It defines how efficiently the equipment employed is performing its purpose. Operational Excellence Looking at the graph in Fig. 8.1, we can clearly see what factors influence a plant owner’s return based on the operation of his plant (the graph does not include factors such as market conditions, product differentiation, etc.). The influencing factors are on the cost side, mainly the maintenance cost. Together with plant operation, maintenance quality then determines plant availability, performance, and production quality. From an automation perspective, other factors such as system architecture (redundancy) and system flexibility also have an influence on availability and performance. Operation costs, such as cost of energy/fuel, then have an influence on the product cost.

Planned hours Max. prod/h

Availability Performance Quality

8400 500

83.00 % 91.50 % 100.00 %

Part A 8.1

Price/unit Variable cost/unit

0.03 0.022

Direct. maint. cost Depreciation Other fixed cost

4050 5000 5500

Fixed assets Net working cap.

Theoretical prod./year 4 200 000

OEE 75.95 %

Future automation system developments must influence these factors positively in order to find wide acceptance in the market. New Plant Construction Optimizing plant operations by advanced automation applications is definitely an area where an owner gets most of his operational benefits. An example of the level of automation on plant operations can be seen in Figs. 8.2 and 8.3. When it comes to issues high on the priority list of automation suppliers, delivery costs are as high if not even higher. Although the main benefit of an advanced automation system is with the plant owner (or operator), the automation system is very often not directly sold to that organization, but to an engineering, procurement, and contsruction (EPC) contractor instead. And for these customers, price is one of the top decision criteria. As automation systems are hardly ever sold off the shelf, but are designed for a specific plant, engineering costs are a major portion of the price of an automation system. An owner who buys a new automation system looks seriously at the engineering capabilities of the supplier. The effect of efficient engineering on lowering the offer price is one key item that is taken into account. In today’s fast developments in the industry, very often the ability to deliver in time is as important as bottom-line price. An owner is in many cases willing to pay a pre-

Actual prod./year 3 189 690

Revenues 95 691 Contrib. margin/year 25 518

Profit 10 968

Profiability 11.46 %

Capital employed 168 400

Return on net assets 6.51 %

Contribution margin/unit 0.008

150 000 18 400

Fig. 8.1 Overall equipment effectiveness

Fixed cost/year 14 550

Trends in Automation

8.1 Environment

129

Furthermore, questions such as compatibility with already installed automation components, upgrade strategies, and integration of old and new components become important to obtain the optimal automation solution for the extended plant.

8.1.2 Technology

Fig. 8.2 Control of plant operations in the past

mium for a short delivery time, but also for a reduced risk in project execution. Providing expertise from previous projects in an industry is required to keep the execution risk manageable. It also allows the automation company to continuously improve the application design, reuse previous solutions, and therefore increase the quality and reduce the cost of the offering. When talking about the future of automation, engineering will therefore be a major issue to cover. Plant Upgrades and Extensions Apart from newly installed greenfield projects, plant upgrades and extensions are becoming increasingly important in the automation business. Depending on the extent of the extension, the business case is similar to the greenfield approach, where an EPC is taking care of all the installations. In many cases however, the owner takes the responsibility of coordinating a plant upgrade. In this case, the focus is mostly on total cost of ownership.

Increasingly, automation platforms are driven by information technology. While automation platforms in the past were fully proprietary systems, today they use common IT technology in most areas of the system [8.1]. On the one hand, this development greatly reduces development costs for such systems and eases procurement of off-the-shelf components. On the other hand, the lifecycle of a plant (and its major component the automation system), and IT technology greatly differs. Whereas plants follow investment cycles of 20–30 years, IT technology today at first sight has reached a product lifecycle of less than 1 year, although some underlying technologies may be as old as 10 years or more (e.g., component object model (COM) technology, an interface standard introduced by Microsoft in 1993). Due to spare parts availability and the lifecycle of software, it is clear that an automation life span of 20 years is not achievable without intermediate updates of the system. A future automation system therefore needs to bridge this wide span of lifecycle expectations and provide means to follow technology in a manner that is safe and efficient for the plant. Large investments such as field instrumentation, cabling and wiring, engineered control applications, and operational data need to be preserved throughout the lifecycle of the system. Maintaining an automation system as one of the critical assets of a plant needs to be taken into consideration when addressing plant lifecycle issues. In these considerations, striking the right balance between the benefits of standardized products, bringing quality, usability, cost, and training advantages, and customized solutions as the best solution for a given task may become critical.

8.1.3 Economical Trends Part A 8.1

Fig. 8.3 Trend towards fully automated control of plant operations

In today’s economy, globalization is named as the driver for almost everything. However, there are some aspects apart from global price competitiveness that do have an influence on the future of automation. Communication technology has enabled companies to spread more freely over the globe. While in the past a local company had to be more or

130

Part A

Development and Impacts of Automation

less self-supporting (i. e., provide most functions locally), functions can today be distributed worldwide. Front- and back-office organizations no longer need to be under the same roof; development and production can be continents apart. Even within the same project, global organizations can contribute from various locations. These organizations are interlinked by highbandwidth communication. These communication links

not only connect departments within a company, they also connect companies throughout the value chain. While in earlier days data between suppliers and customers were exchanged on paper in mail (with corresponding time lags), today’s interactions between suppliers and customers are almost instant. In today’s business environment, distances as well as time are shorter, resulting in an increase in business interactions.

8.2 Current Trends 8.2.1 Integration Looking at the trends and requirements listed in the previous Sections, there is one theme which supports these developments, and which is a major driver of the automation industry: integration. This term appears in various aspects of the discussions around requirements, in terms of horizontal, vertical, and temporal integration, among others. In this Section we will look at various trends in integration and analyze their effect on business. Process Integration Past approaches to first develop the process and then design the appropriate control strategy for it do not exploit the full advantages of today’s advanced control capabilities. If we look at this under the overall umbrella of integration, there is a trend towards integrated design of process and control. In many cases, more advanced automation solutions are required as constraints become tighter. Figures 8.4– 8.6 show examples which highlight the greater degree and complexity of models (i. e., growing number of constraints, reduction in process buffers, and nonlinear dynamic models). There is an ongoing trend towards tighter hard constraints, imposed from regulating au-

thorities. Health, safety, and especially environmental constraints are continuously becoming tighter. Controllers today not only need to stabilize one control variable, or keep it within a range of the set-point. They also need to make sure that a control action does not violate environmental constraints by producing too much of a by-product (e.g., NOx ). Since many of these boundary conditions are penalized today, these control actions may easily result in significant financial losses or other severe consequences. In addition to these hard constraints, more and more users want to optimize soft constraints, such as energy consumption (Fig. 8.7), or plant lifecycle. If one ramps up production in the fastest way possible (and maybe meet some market window or production deadline), energy consumed or plant lifecycle consumption due to increased stress on components may compensate these quick gains. An overall optimization problem that takes these soft constraints into account can therefore result in returns that are not obvious even to the experienced operator. A controller that can keep a process in tighter constraints furthermore allows an owner to optimize process equipment. If the control algorithm can guarantee a tight operational band, process design can reduce buffers and spare capacity. Running the process closer

Part A 8.2 Fig. 8.4 Trend towards reduction of process buffers (e.g., supply chain, company, site, unit)

Trends in Automation

8.2 Current Trends

131

Fig. 8.5 Trend towards broader scope, more complex, and integrated online control, for example, in pulp operations

NCG till Starkgasdestruktion

Tunnlut till BLL

8

Connection of units, pipes and measurements

FC 542|441

25 38 250 250 2500

8

FC 542|441 FC 542|441 FC 542|441 FC 542|441

11

9

15 FC 542|441 FC 542|441

FC 542|441

FC 542|441

Ånga

Server

FC 542|441

FC 542|441

Tall Oil

10

Production units Buffer tanks Streams Measurements Variables Dynamic mass balance

Parameters

8

BK1

xk+1Measurement = g (xk) + vk

Inlet pulp Inlet steam

7

Outlet NCG 8

6

Outlet BL

Outlet condensate

Outlet water

Outlet pulp

Fig. 8.6 Trend towards a nonlinear dynamic model

First-order xk+1 = f (xmass k, ubalance k) + wk

Part A 8.2

Inlet WL

132

Part A

Development and Impacts of Automation

Grades 4

Mill 1 G6 G8 G9

2 0

0 20 Grades 3 2 1 0 0 20 Grades 4

40

60

80

100

120

140

160

Mill 2 G4 G9 40

60

80

100

120

140

160

Mill 3 G3 G5 G7

2 0

0 20 Grades 4

40

60

80

100

120

140

160

Mill 4 G1 G2 G3

2

By applying advanced control and scheduling algorithms, not only can an owner increase the productivity of the installed equipment, but he may also be able to reduce installed buffers. Intermediate storage tanks or queues can be omitted if an optimized control scheme considers a larger part of the production facility. In addition to reducing the investment costs by reducing equipment, the reduction of buffers also results in a reduction of work in progress, and in the end allows the owner to run the operation with less working capital. Looking at the wide impact of more precise control algorithms (which in many cases implies more advanced algorithms) on OEE, we can easily conclude that once these capabilities in control system become more easily available, users will adopt them to their benefit. Example: Thickness Control in Cold-Rolling Mills Using Adaptive MIMO Controller. In a cold-rolling mill,

agement

where a band of metal is rolled off an input coil, run through the mill to change its thickness, and then rolled onto an output coil, the torques of the coilers and the roll position are controlled to achieve a desired output thickness, uncoiler tension, and coiler tension. The past solution was to apply single-loop controllers for each variable together with feedforward strategies. The approach taken in this case was to design an adaptive multiple-input/multiple-output (MIMO) controller that takes care of all variables. The goal was to improve tolerance over the whole strip length, improve quality during ramp-up/ramp-down, and enable higher speed based on better disturbance rejection. Figure 8.8 shows the results from the plant with a clear improvement by the new control scheme [8.2]. By applying the new control scheme, the operator was able to increase throughput and quality, two inputs of the OEE model shown in Fig. 8.1.

to its design limitations results in either higher output, more flexibility, faster reaction or allows to install smaller (and mostly cheaper) components to achieve the same result. A reduction of process equipment is also possible if advanced production management algorithms are in place. Manual scheduling of a process is hardly ever capable to load all equipment optimally, and the solution to a production bottleneck is frequently solved by installing more capacity. In this case as well, the application of an advanced scheduling algorithm may show that current throughput can be achieved with less equipment, or that current equipment can provide more capacity than expected.

Integrated Safety The integration of process and automation becomes critical in safety applications. Increasingly, safety-relevant actions are moved from process design into the automation system. With similar motivations as we have seen before, a plant owner may want to reduce installed process equipment in favor of an automation solution, and replace equipment that primarily serves safety purposes by an emergency shutdown system. Today’s automation systems are capable of fulfilling these requirements, and the evolution of the IEC 61508 standard [8.3] has helped to develop a common understanding throughout the world. The many local standards have mostly been replaced by IEC 61508’s

0

0

20

40

60 80 100 120 140 160 Intervals during the week (interval = 1 h)

u Sim_c11 u Sim_c12 u Sim_c21

v d1_forecast

Silo1

v d2_forecast

Silo2

y Sim_l1 y Sim_l2 y $ Sim_elec_cost

u Sim_c22 Grinding unit

y Sim_e

Fig. 8.7 Trend towards automated electrical energy man-

Part A 8.2

Trends in Automation

8.2 Current Trends

133

Fig. 8.8 Cold-rolling mill controller comparison

For more information on safety in automation please refer to Chap. 39. Information Integration Device and System Integration Intelligent Field Devices and their Integration. When

talking about information integration, some words need to be spent on information sources in an automation system, i. e., on field devices. Field devices today not only provide a process variable. Field devices today benefit from the huge advancements in miniaturization, which allows manufacturers to move measurement and even analysis functions from the distributed control system (DCS) into the field device. The data transmitted over fieldbuses not only consists of one single value, but of a whole set of information on the measurement. Quality as well as configuration information can be read directly from the device and can be used for advanced asset monitoring. The amount of information available from the field thus greatly increases and calls for extended processing capabilities in the control system. Miniaturization and increased computing power also allow the integration of ultrafast control loops on

Part A 8.2

safety integrity level (SIL) requirements. Exceeding the scope of previous standards, ICE61508 not only defines device features that enable them to be used in safety critical applications, it also defines the engineering processes that need to be applied when designing electrical safety systems. Many automation suppliers today provide a safetycertified variant of their controllers, allowing safety solutions to be tightly integrated into the automation system. Since in many cases these are specially designed and tested variants of the general-purpose controllers, they are perceived having a guaranteed higher quality with longer mean time between failures (MTBF) and/or shorter mean time to repair (MTTR). In some installations where high availability or high quality is required without the explicit need for a certified safety system, plant owners nevertheless choose the safetycertified variant of a system to achieve the desired quality attributes in their system. A fully integrated safety system furthermore increases planning flexibility. In a fully integrated system, functionality can be moved between the safety controllers and regular controllers, allowing for a fully scalable system that provides the desired safety level.

134

Part A

Development and Impacts of Automation

the field level, i. e., within the field device, that are not feasible if the information has to traverse controllers and buses. All these functions call for higher integration capabilities throughout the system. More data needs to be transferred not only from the field to the controller, but since much of the information is not required on the process control level but on the operations or even at the plant management level, information needs to be distributed further. Information management requirements are also increased and call for more and faster data processing. In addition to the information exchanged online, intelligent field devices also call for an extended reach of engineering tools. Since these devices may include control functionality, planning an automation concept that spreads DCS controllers and field devices requires engineering tools that are capable of drawing the picture across systems and devices. The increased capabilities of the field devices immediately create the need for standardization. The landscape that was common 20 years ago, where each vendor had his own proprietary integration standard, is gone. In addition to the fieldbus standards already widely in use today, we will look at IEC 61850 [8.4] as one of the industrial Ethernet-based standards that has recently evolved and gained wide market acceptance in short time.

Part A 8.2

Fieldbus. For some years now, the standard to communicate towards field devices is fieldbus technology [8.5], defined in IEC 61158 [8.6]. All major fieldbus technologies are covered in this standard, and depending on the geographical area and the industry, in most cases one or two of these implementations have evolved to be the most widely used in an area. In process automation, Foundation Fieldbus and Profibus are among the most prominent players. Fieldbus provides the means for intelligent field devices to communicate their information to each other, or to the controller. It allows remote configuration as well as advanced diagnostics information. In addition to the IEC 61158 protocols that are based on communication on a serial bus, the HART protocol (highway addressable remote transducer protocol, a master-slave field communication protocol) has evolved to be successful in existing, conventionally wired plants. HART adds serial communication on top of the standard 4–20 mA signal, allowing digital information to be transmitted over conventional wiring.

Fieldbus is the essential technology to further integrate more information from field devices into complex automation systems. IEC 61850. IEC 61850 is a global standard for communication networks and systems in substations. It is a joint International Electrotechnical Commission (IEC) and American National Standards Institute (ANSI) standard, embraced by all major electrical vendors. In addition to just focusing on a communication protocol, IEC 61850 also defines a data model that comprises the context of the transmitted information. It is therefore one of the more successful approaches to achieve true interoperability between devices as well as tools from different vendors [8.7]. IEC 61850 defines all the information that can be provided by a control function through the definition of logical nodes. Substation automation devices can then implement one or several of these functions, and define their capabilities in standardized, extensible markup language (XML)-based data files, which in turn can be read by all IEC 61850-compliant tools [8.8]. System integration therefore becomes much faster than in the past. Engineering is done on an objectoriented level by linking functions together (Fig. 8.9). The configuration of the communication is then derived from these object structures without further manual engineering effort. Due to the common approach by ANSI and IEC, by users and vendors, this standard was adopted very quickly and is today the common framework in substation automation around the world. Once an owner has an electrical system that provides IEC 61850 integration, the integration into the plant DCS is an obvious request. To be able to not only communicate to an IEC 61850-based electrical system directly from the DCS, but also to make use of all object-oriented engineering information is a requirement that is becoming increasingly important for major DCS suppliers. Wireless. When integrating field devices into an au-

tomation system, the availability of standard protocols is of great help, as we have seen in Device and System Integration. In the past this approach was very often either limited to new plant installations where cable trays were easily accessible, or resulted in very high installation costs. The success of the HART protocol is mainly due to the fact that it can reuse existing wiring [8.9].

Trends in Automation

8.2 Current Trends

135

Fig. 8.9 Trend towards object-oriented modeling, e.g., visual flowsheet modeling; combined commodity models with proprietary knowledge; automatic generation of stand-alone executable code

The huge success of wireless technology in other areas of daily life raises the question of whether this technology can also be applied to automation problems. As recent developments have shown, this trend is continuously gaining momentum [8.10]. Different approaches are distinguished as a function of how power is supplied, e.g., by electrical cable, by battery or by power harvesting from their process environment, and by the way the communication is implemented. As with wired communications, also wireless summarizes a variety of technologies which will be discussed in the following sections.

Part A 8.2

Close Range. In the very close range, serial communication today very often makes use of Bluetooth technology. Originating from mobile phone accessory integration, similar concepts can also be applied in situations in which devices need to communicate over a short distance, e.g., to upgrade firmware, or to read out diagnostics. It merely eliminates the need for a serial cable, and in many cases the requirement to have close-range interaction with a device has been removed completely, since it is connected to the system through other serial buses (such as a fieldbus) that basically allow the user to achieve the same results. Another upcoming technology under the wireless umbrella is radio frequency identification (RFID).

These small chips are powered by the electromagnetic field set up to communicate by the sensing device. RFID chips are used to mark objects (e.g., items in a store), but can also be used to mark plant inventory and keep track of installed components. Chapter 49 discusses RFID technology in more detail. RFID can not only be used to read out information about a device (such as a serial number or technical data), but to store data dynamically. The last maintenance activity can thus be stored on the device rather than in a plant database. The device keeps this information attached while being stored as spare part, even if it is disconnected from the plant network. When looking for spares, the one with the fewest past operating hours can therefore be chosen. RFID technology today allows for storage of increasing amounts of data, and in some cases is even capable of returning simple measurements from an integrated sensor. Information stored on RFID chips is normally not accessed in real time through the automation system, but read out by the maintenance engineer walking through the plant or spare parts storage with the corresponding reading device. To display the full information on the device (online health information, data sheet, etc.) a laptop or tablet personal computer (PC) can then retrieve the information online through its wireless communication capability.

136

Part A

Development and Impacts of Automation

Mid-range. Apart from distributing online data to mo-

bile operator terminals throughout the plant, WiFi has made its entrance also on the plant floor. The aforementioned problem, where sensors cannot easily be wired to the main automation system, is increasingly being solved by the use of wireless communication, reducing the need and cost for additional cabling. Applications where the installation of wired instruments is difficult are growing, including where:

• • • •

The device is in a remote location. The device is in an environment that does not allow for electrical signal cables, e.g., measurements on medium- or high-voltage equipment. The device is on the move, either rotating, or moving around as part of a production line. The device is only installed temporarily, either for commissioning, or for advanced diagnostics and precise fault location.

The wide range of applications has made wireless device communication one of the key topics in automation today. Long Range. Once we leave the plant environ-

ment, wireless communication capabilities through GSM (global system for mobile communication) or more advanced third-generation (3G) communication technologies allow seamless integration of distributed automation systems. Applications in this range are mostly found in distribution networks (gas, water, electricity), where small stations with low functionality are linked together in a large network with thousands of access points. However, also operator station functionality can be distributed over long distances, by making thinclient capability available to handheld devices or mobile phones. To receive a plant alarm through SMS (short message service, a part of the GSM standard) and to be able to acknowledge it remotely is common practice in unmanned plants. Nonautomation Data. In addition to real-time plant

Part A 8.2

information that is conveyed thorough the plant automation system, plant operation requires much more information to run a plant efficiently. In normal operation as well as in abnormal conditions, a plant operator or a maintenance engineer needs to switch quickly between different views on the process. The process display shows the most typical view, and trend displays and event lists are commonly use to obtain the full picture

on the state of the process. To navigate quickly between these displays is essential. To call up the process display for a disturbed object directly from the alarm list saves critical time. Once the device needs further analysis, this normally requires the availability of the plant documentation. Instead of flipping through hundreds of pages in documentation binders, it is much more convenient to directly open the electronic manual on the page where the failed pump is described together with possibilities to initiate the required maintenance actions. Availability of the information in electronic format is today not an issue. Today, all plant documentation is provided in standard formats. However, the information is normally not linked. It is hardly possible to directly switch between related documents without manual search operations that look for the device’s tag or name. An object-oriented plant model that keeps references to all aspects of a plant object greatly helps in solving this problem. If in one location in the system, all views on the very same object are stored – process display, faceplate, event list, trend display, manufacturer instructions, but also maintenance records and inventory information – a more complete view of the plant state can be achieved. The reaction to process problems can be much quicker, and personnel in the field can be guided to the source of the problem faster, thus resolving issues more efficiently and keeping the plant availability up. We will see in Lifecycle Optimization how maintenance efficiency can even be increased by advanced asset management methods. Security. A general view on the future of automation

systems would not be complete without covering the most prominent threat to the concepts presented so far: system security. When systems were less integrated, decoupled from other information systems, or interconnected by 4–20 mA or binary input/output (I/O) signals, system security was limited to physical security, i. e., to prevent unauthorized people access the system by physical means (fences, building access, etc.). Integrating more and more information systems into the automation system, and enabling them to distribute data to wherever it is needed (i. e., also to company headquarters through the Internet), security threats soon become a major concern to all plant owners. The damage that can be caused to a business by negligence or deliberate intrusion is annoying when web

Trends in Automation

sites are blocked by denial-of-service attacks. It is significant if it affects the financial system by spyware or phishing attacks, but it is devastating when a country’s infrastructure is attacked. Simply bringing down the electricity system already has quite a high impact, but if a hacker gains access to an automation system, the plant can actually be damaged and be out of service for a significant amount of time. The damage to a modern society would be extremely high. Security therefore has to be at the top of any list of priorities for any plant operator. Security measures in automation systems of the future need to be continuously increased without giving up some of the advantages of wider information integration. In addition to technical measures to keep a plant secure, security management needs to be an integral part of any plant staff member’s training, as is health and safety management today. While security concerns for automation systems are valid and need to be addressed by the plant management, technical means and guidance on security-related processes are available today to secure control systems effectively [8.11]. Security concerns should therefore not be the reason for not using the benefits of information integration in plants and enterprises.

• •

What data? What format?

The question about what data can only be answered by the two parties exchanging data. The receiver only

knows what data he needs, and the provider only knows what data she can provide. If the two parties are within different departments of the same company, an internal standard on data models can be agreed on, but when the exchange is between different business partners, this very often results in a per-project agreement. In electrical systems, this issue has been addressed by IEC 61850. In addition to being a communication standard, it also covers a data model. Data objects (logical nodes) are defined by the standard, and engineering tools following the standards can easily integrate devices of various vendors without project specific agreements. The standard was even extended beyond electrical systems to cover hydropower plants (and also for wind generators in IEC 61400-25). So far, further extensions into other plant types or industries seem hardly feasible due to the variance and company internal-grown standards. The discussion on the format today quickly turns into a spreadsheet-based solution. This approach is very common, and most tools provide export and/or import functionality in tabular form. However, this requires separate sheets for each object type, since the data fields may vary between objects. A format that supports a more object-oriented approach is required. Recently, the most common approach is to go towards XML-based formats. IEC 61850 data is based on XML, and there are standardization tendencies that follow the same path. CAEX (computer aided engineering exchange, an engineering data format) according to IEC 62424 is just one example; PLCOpen XML or AutomationML are others. The ability to agree on data standards between engineering tools greatly eases interaction between the various disciplines not only in automation engineering, but in plant engineering in general. Once the data format is defined, there still remains the question of wording or language. Even when using the same language, two different engineering groups may call the same piece of information differently. A semantic approach to information processing may address this issue. With some of these problems addressed in a nearer future, further optimization is possible by a more parallel approach to engineering. Since information is revised several times during plant design, working with early versions of the information is common. Updates of the information is normally required, and then updating the whole engineering chain is a challenge. To work on a common database is a trend that is evolving

137

Part A 8.2

Engineering Integration The increased integration of devices and systems from plant floor to enterprise management poses another challenge for automation engineers: information integration does not happen by itself, it requires significant engineering effort. This increased effort is a contradiction to the requirement for faster and lower-cost project execution. This dilemma can only be resolved by improved engineering environments. Chapter 86, enterprise integration and interoperability, delves deeper into this topic. Today, all areas of plant engineering, starting at process design and civil engineering, are supported by specialized engineering tools. While their coupling was loose in the past, and results of an engineering phase were handed over on paper and very often typed into other tools again, the trend towards exchanging data in electronic format is obvious. Whoever has tried to exchange data between different types of engineering tools immediately faces the questions:

8.2 Current Trends

138

Part A

Development and Impacts of Automation

in plant design; but also in the design of the automation system, a common database to hold various aspects of automation engineering is an obvious idea. Once these larger engineering environments are in place, data exchange quickly becomes bidirectional. Modifications done in plant design affect the automation system, but also information from the automation database such as cabling or instrumentation details should be fed back into the plant database. This is only possible if the data exchange can be done without loss of information, otherwise data relations cannot be kept consistent. Even if bidirectional data exchange is solved, more partners in complex projects easily result in multidirectional data exchange. Versioning becomes even more essential than in a single tool. Whether the successful solution of data exchange between two domains can be kept after each of the tools is released in a new version remains to be seen. The challenges in this area are still to be faced. Customer Value The overall value of integration for owners is apparent on various levels, as we have shown in the previous Sections. The pressure to shorten projects and to bring down costs will increase the push for engineering data integration. This will also improve the owner’s capability to maintain the plant later by continuously keeping the information up to date, therefore reducing the lifecycle cost of the plant. The desire to operate the plant efficiently and keep downtimes low and production quality up will drive the urge to have real-time data integrated by connecting interoperable devices and systems on all levels of the automation system [8.12]. The ability to have common event and alarm lists, to operate various type of equipment from one operator workplace, and to obtain consistent asset information combined in one system are key enablers for operational excellence. Security concerns require a holistic approach on the level of the whole plant, integrating all components into a common security framework, both technically and with regard to processes.

Part A 8.2

8.2.2 Optimization The developments described up to now enable one further step in productivity increase that has only been partially exploited in the past. Having more information available at any point in an enterprise allows

for a typical control action: to close the loop and to optimize. Control Closest to the controlled process, closing the loop is the traditional field of automation. PID controllers (proportional-integral-derivative) mostly govern today’s world of automation. Executed by programmable logic controllers (PLC) or DCS controllers, they do a fairly good job at keeping the majority of industrial processes stable. However, even if much more advanced control schemes are available today, not even the ancient PID loops perform where they could if they were properly tuned. Controller tuning during commissioning is more of an art done by experienced experts than engineering science. As we have already concluded in Process Integration, several advantages favor the application of advanced control algorithms. Their ability to keep processes stable in a narrower band allows either to choose smaller equipment to reach a given limit, or to increase the performance of existing equipment by running the process closer to boundaries. However, controllers today are mostly designed based on knowledge of a predominantly fixed process, i. e., plant topology and behavior is assumed to be asdesigned. This process knowhow is often depicted in a process model which is either used as part of the controller (e.g., model predictive control) or has been used to design the controller. Once the process deviates from the predefined topology, controllers are soon at their limits. This can easily happen when sensors or communication links fail. This situation is today mostly solved by redundant design, but controllers that consider some amount of missing information may be an approach to increase the reliability of the control system even further. Controllers reacting more flexibly to changing boundary conditions will extend the plant’s range of operation, but will also reduce predictability. Another typical case of a plant deviating from the designed state is ageing or equipment degradation. Controllers that can handle this (e.g., adaptive control) can keep the process in an optimal state even if its components are not. Furthermore, a controller reacting on performance variations of the plant can not only adapt to it, but also convey this information to the maintenance personnel to allow for efficient plant management and optimization.

Trends in Automation

Reference

Control

Actuation

Sensing & estimating

Process

Economic optimization

139

Economic life cycle cost estimate

Life cycle cost model

Context, e.g. market price, weather forecast

Fig. 8.10 Trend towards lifecycle optimization

production replanning in operation to accommodate urgent orders at runtime, plant efficiency can be optimized dynamically and have an even more positive effect on the bottom line. Lifecycle Optimization The optimization concepts presented so far enable a plant owner to optimize OEE on several levels (Fig. 8.10). We have covered online production optimization as well as predictive maintenance through advanced asset optimization tools. If the scope of the optimization can be extended to a whole fleet of plants and over a longer period of time, continuous plant improvement by collecting best practices and statistical information on all equipment and systems becomes feasible. What does the automation system contribute to this level of optimization? Again, most data originates from the plant automation system’s databases. In Plant Optimization we have even seen that asset monitoring provides information that goes beyond the raw signals measured by the sensors and can be used to draw conclusions on plant maintenance activities. From a fixed-schedule maintenance scheme where plant equipment is shut down based on statistical experience, asset monitoring can help moving towards a conditionbased maintenance scheme. Either equipment operation can be extended towards more realistic schedules, or emergency plant shutdown can be avoided by early detecting equipment degradation and going into planned shutdown. Interaction with maintenance management systems or enterprise resource planning systems is today evolving, supported by standards such as ISA95. Enterprise-wide information integration is substantial to

Part A 8.2

Plant Optimization At a plant operation level, all the data generated by intelligent field devices and integrated systems comes together. To have more information available is positive, but to the plant operator it is also confusing. More devices generating more diverse alarms quickly flood a human operator’s perception. More information does not per se improve the operation of a plant. Information needs to be turned into knowledge. This knowledge is buried in large amounts of data, in the form of recorded analog trend signals as well as alarm and event information. Each signal in itself only tells a very small part of the story, but if a larger number of signals are analyzed by using advanced signal processing or model identification algorithms, they reveal information about the device, or the system observed. This field is today known as asset monitoring. The term denotes anything from very simple use counters up to complex algorithms that derive system lifecycle information from measured data. In some cases, the internal state of a high-value asset can be assessed through the interpretation of signals that are available in the automation system already used in control schemes. If the decision is between applying some analysis software or to shut down the equipment, open it, and visually inspect, the software version can in many cases more directly direct the maintenance personnel towards the true fault of the equipment. The availability of advanced asset monitoring algorithms allows for optimized operation of the plant. If component ageing can be calculated from measurements, optimizing control algorithms can put the load on less stressed components, or can trade asset lifecycle consumption against the quick return of a fast plant start-up. The requirement to increase availability and production quality calls for advanced algorithms for asset monitoring and results in an asset optimization scheme that directly influences the plant operator’s bottom line. The people operating the plant, be it in the operations department or in maintenance, are supported in their analysis of the situation and in their decisions by more advanced systems than are normally in operation today. When it comes to discrete manufacturing plants, the optimization potential is as high as in continuous production. Advanced scheduling algorithms are capable of optimizing plant utilization and improving yield. If these algorithms are flexible to allow a rescheduling and

8.2 Current Trends

140

Part A

Development and Impacts of Automation

be continuously on top of production and effectiveness, to track down inefficiencies in processes both technical and organizational. These concepts have been presented over the years [8.13], but to really close the loop on that level requires significant investments by most industrial

companies. Good examples of information integration on that level are airline operators and maintenance companies, where additional minutes used to service deficiencies in equipment become expensive. Failure to address these deficiencies become catastrophic and mission critical.

8.3 Outlook The current trends presented in the previous Sections do show benefits, in some cases significant. The push to continue the developments along these lines will therefore most probably be sustained.

8.3.1 Complexity Increase One general countertrend is also clearly visible in many areas: increased complexity to the extent that it becomes a limiting factor. System complexity does not only result in an increase in initial cost (more installed infrastructure, more engineering), but also in increased maintenance (IT support on plant floor). Both factors influence OEE (Fig. 8.1) negatively. To counter the perceived complexity in automation systems it is therefore important to facilitate wider distribution of the advanced concepts presented so far.

Modeling Many of the solutions available today in either asset monitoring or advanced control rely on plant models. The availability of plant models for applications such as design or training simulation is also essential. However, plant models are highly dependent on the process installation, and need to be designed or at least tuned to every installation. Furthermore, the increased complexity of advanced industrial plants also calls for wider and more complex models. Model building and tuning is today still very expensive and requires highly skilled experts. There is a need common to different areas and industries to keep modeling affordable. Reuse of models could address this issue in two dimensions:



To reuse a model designed for one application in another, i. e., to build a controller design model based

Plant data Initial model

Estimation

Fitted model

Control, equipment design optimization Operating procedure optimization Many others

Offline Online Raw plant data

Data reconciliation Parameter estimation

Reconciled plant information

Plant

Up-to-date model

Model predictive control

Soft sensing

Yield accounting

Part A 8.3

Diagnosis and troubleshooting Linear models [A,B,C,D] Advanced MPC

Linearization

Linearized models

Optimization (steady state + dynamic) Decision support

Fig. 8.11 Trend towards automation and control modeling and model reuse

Trends in Automation



on a model that was used for plant design, or derive the model used in a controller from one that is available for performance monitoring. The plant topology that connects the models can remain the same in all cases. To reuse models from project to project, an approach that can also be pursued with engineering solutions to bring down engineering costs (Fig. 8.11).

Operator Interaction Although modern operator stations are capable of integrating much more information to the operator or maintenance personnel, the operator interaction is not always the most intuitive. In plant diagnostics and maintenance this may be acceptable, but for the operator it is often difficult to quickly perceive a plant situation, whether good or bad, and to act accordingly. This is mostly due to the fact that the operator interface was designed by the engineer who had as inputs the plans of the plant and the automation function, and not the plant environment where the operator needs to navigate. An operator interface closer to the plant operator’s natural environment (and therefore to his intuition) could improve the perception of the plant’s current status. One way of doing this is to display the status in a more intuitive manner. In an aircraft, the artificial horizon combines a large number of measurements in one very simple display, which can be interpreted intuitively by the pilot by just glancing at it. Its movement gives excellent feedback on the plane’s dynamics. If we compare this simple display with a current plant operator station with process diagrams, alarm lists, and trend displays, it is obvious that plant dynamics cannot be perceived as easily. Some valuable time early in critical situations is therefore lost by analyzing numbers on a screen. To depict the plant status in more intuitive graphics could exploit humans’ capability to interpret moving graphics in a qualitative way more efficiently than from numerical displays.

141

mented during plant engineering, and as we have seen in Engineering Integration, this data is normally available to the automation engineer. If a control loop’s settings are automatically derived from the data found in the plant information, the settings will be much better than the standard values. To the commissioning engineer, this procedure hides some of the complexity of controller fine-tuning. Whether it is possible to derive control loops automatically from the plant information received from the plant engineering tools remains to be seen. Very simple loops can be chosen based on standard configurations of pumps and valves, but a thorough check of the solution by an experienced automation engineer is still required. On the other hand, the information contained in engineering data can be used to check the consistency of the manually designed code. If a plant topology model is available that was read out of the process & instrumentation diagram, also piping & instrumentation diagram (P&ID) tool information, automatic measures can be taken to check whether there is an influence of some sort (control logic, interlock logic) between a tank level and the feeding pump.

8.3.2 Controller Scope Extension Today’s control laws are designed on the assumption that the plant behaves as designed. Failed components are not taken into consideration, and deteriorating plant conditions (fouling, drift, etc.) are only to some extent compensated by controller action. The coverage of nonstandard plant configurations in the design of controllers is rarely seen today. This is the case for advanced control schemes, but also for more advanced scheduling or batch solutions, consideration of these suboptimal plant states in the design of the automation system could improve plant availability. Although this may result in a reduction of quality, the production environment (i. e., the immediate market prices) can still make a lower-quality production useful. To detect whether this is the case, an integration between the business environment, with current cost of material, energy, and maybe even emissions, and the production information in the plant allows to solve optimization problems that optimize the bottom line directly.

8.3.3 Automation Lifecycle Planning In the past, the automation system was an initial investment like many other installations in a plant.

Part A 8.3

Automated Engineering As we have pointed out in Modeling, designing and tuning models is a complex task. To design and tune advanced controllers is as complex. Even the effort to tune simple controllers is in reality very often skipped, and controller parameters are left at standard settings of 1.0 for any parameter. In many cases these settings can be derived from plant parameters without the requirement to tune online on site. Drum sizes or process set-points are docu-

8.3 Outlook

142

Part A

Development and Impacts of Automation

It was maintained by replacing broken devices by spares, and kept its functionality throughout the years. This option is still available today. In addition to I/O cards, an owner needs to buy spare PCs like other spare parts that may difficult to buy on the market. The other option an owner has is to continuously follow the technology trend and keep the automation system up to date. This results in much higher life-

cycle cost, but against these costs is the benefit of always having the newest technology installed. This in turn requires automation system vendors to continuously provide functionality that improves the plant’s performance, justifying the investment. It is the owner’s decision which way to go. It is not an easy decision and shows the importance of keeping total cost of ownership in mind also when purchasing the automation system.

8.4 Summary Today’s business environment as well as technology trends (i. e., robots) are continuously evolving at a fast pace (Fig. 8.12). To improve a plant’s competitiveness, a modern automation system must make use of the advancements in technology to react to trends in the business world. The reaction of the enterprise must be faster, at the lowest level to increase production and reduce downtime, and at higher levels to process customer orders efficiently and react to mid-term trends quickly. The data required for these decisions is mostly buried in the automation system; for dynamic operation it needs to be turned into information, which in turn needs to be processed quickly. To improve the situation with the automation system, different systems on all levels need to be integrated to allow for sophisticated information processing. The availability of the full picture allows the optimization of single loops, plant operation, and economic performance of the enterprise.

Fig. 8.12 Trend towards more sophisticated robotics

The technologies that allow the automation system to be the core information processing system in a production plant are available today, are evolving quickly, and provide the means to bring the overall equipment effectiveness to new levels.

References 8.1

8.2

Part A 8

8.3

8.4

S. Behrendt, et al.: Integrierte TechnologieRoadmap Automation 2015+, ZVEI Automation (2006), in German T. Hoernfeldt, A. Vollmer, A. Kroll: Industrial IT for Cold Rolling Mills: The next generation of Automation Systems and Solutions, IFAC Workshop New Technol. Autom. Metall. Ind. (Shanghai 2003) IEC 61508, Functional safety of electrical/electronic/programmable electronic safety-related systems IEC 61850, Communication networks and systems in substations

8.5 8.6 8.7

8.8

8.9

R. Zurawski: The Industrial Information Technology Handbook (CRC, Boca Raton 2005) IEC 61158, Industrial communication networks – Fieldbus specifications C. Brunner, K. Schwarz: Beyond substations – Use of IEC 61850 beyond substations, Praxis Profiline – IEC 61850 (April 2007) K. Schwarz: Impact of IEC 61850 on system engineering, tools peopleware, and the role of the system integrator (2007) http://www.nettedautomation.com/ download/IEC61850-Peopleware_2006-11-07.pdf ARC Analysts: The top automation trends and technologies for 2008, ARC Strategies (2007)

Trends in Automation

8.10 8.11

G. Hale: People Power, InTech 01/08 (2208) M. Naedele: Addressing IT Security for Critical Control Systems, 40th Hawaii Int. Conf. Syst. Sci. (HICSS-40) (Hawaii 2007)

8.12 8.13

References

143

E.F. Policastro: A Big Pill to Swallow, InTech 04/07 (2007) p. 16 Center for intelligent maintenance systems, www.nsf.gov/pubs/2002/nsf01168/nsf01168xx.htm

Part A 8

“This page left intentionally blank.”

145

Part B

Automati Part B Automation Theory and Scientific Foundations

9 Control Theory for Automation: Fundamentals Alberto Isidori, Rome, Italy

14 Artificial Intelligence and Automation Dana S. Nau, College Park, USA 15 Virtual Reality and Automation P. Pat Banerjee, Chicago, USA

10 Control Theory for Automation – Advanced Techniques István Vajk, Budapest, Hungary ˝ Hetthéssy, Budapest, Hungary Jeno Ruth Bars, Budapest, Hungary

16 Automation of Mobility and Navigation Anibal Ollero, Sevilla, Spain Ángel R. Castaño, Sevilla, Spain

11 Control of Uncertain Systems Jianming Lian, West Lafayette, USA ˙ West Lafayette, USA Stanislaw H. Zak,

17 The Human Role in Automation Daniel W. Repperger, Dayton, USA Chandler A. Phillips, Dayton, USA

12 Cybernetics and Learning Automata John Oommen, Ottawa, Canada Sudip Misra, Kharagpur, India

18 What Can Be Automated? What Cannot Be Automated? Richard D. Patton, St. Paul, USA Peter C. Patton, Oklahoma City, USA

13 Communication in Automation, Including Networking and Wireless Nicholas Kottenstette, Nashville, USA Panos J. Antsaklis, Notre Dame, USA

146

Automation Theory and Scientific Foundations. Part B Automation is based on control theory and intelligent control, although interestingly, automation existed before control theory was developed. The chapters in this part explain the theoretical aspects of automation and its scientific foundations, from the basics to advanced models and techniques; from simple feedback and feedforward automation functioning under certainty to fuzzy logic control, learning control automation, cybernetics, and artificial intelligence. Automation is also based on communication, and in this part this subject is explained from the fundamental communication between sensors and actuators, producers and consumers of signals and information, to automation of and with virtual reality; automation mobility and wireless communication of computers, devices, vehicles, flying objects and other location-based and geography-based automation. The theoretical and scientific knowledge about the human role in automation is covered from the human-oriented and human–centered aspects of automation to be applied and operated by humans, to the human role as supervisor and intelligent controller of automation systems and platforms. This part concludes with analysis and discussion on the limits of automation to the best of our current understanding.

147

Alberto Isidori

In this chapter autonomous dynamical systems, stability, asymptotic behavior, dynamical systems with inputs, feedback stabilization of linear systems, feedback stabilization of nonlinear systems, and tracking and regulation are discussed to provide the foundation for control theory for automation.

9.1

Autonomous Dynamical Systems ............ 148

9.2

Stability and Related Concepts............... 150 9.2.1 Stability of Equilibria .................... 150 9.2.2 Lyapunov Functions...................... 151

9.3 Asymptotic Behavior ............................. 153 9.3.1 Limit Sets .................................... 153 9.3.2 Steady-State Behavior .................. 154 9.4 Dynamical Systems with Inputs.............. 9.4.1 Input-to-State Stability (ISS) ......... 9.4.2 Cascade Connections..................... 9.4.3 Feedback Connections .................. 9.4.4 The Steady-State Response............

9.5 Feedback Stabilization of Linear Systems ................................. 9.5.1 Stabilization by Pure State Feedback ................. 9.5.2 Observers and State Estimation ...... 9.5.3 Stabilization via Dynamic Output Feedback ........ 9.6 Feedback Stabilization of Nonlinear Systems ............................ 9.6.1 Recursive Methods for Global Stability ....................... 9.6.2 Semiglobal Stabilization via Pure State Feedback ................ 9.6.3 Semiglobal Stabilization via Dynamic Output Feedback ........ 9.6.4 Observers and Full State Estimation 9.7

160 160 161 162 163 163 165 166 167

Tracking and Regulation ....................... 169 9.7.1 The Servomechanism Problem ....... 169 9.7.2 Tracking and Regulation for Linear Systems ........................ 170

154 154 157 157 158

References .................................................. 172

Modern engineering systems are very complex and comprise a high number of interconnected subcomponents which, thanks to the remarkable development of communications and electronics, can be spread over broad areas and linked through data networks. Each component of this wide interconnected system is a complex system on its own and the good functioning of the overall system relies upon the possibility to efficiently control, estimate or monitor each one of these components. Each component is usually high dimensional, highly nonlinear, and hybrid in nature, and comprises electrical, mechanical or chemical components which interact with computers, decision logics, etc. The behavior of each subsystem is affected by the behavior of part or all of the other components of the system. The control of those complex systems can only be

achieved in a decentralized mode, by appropriately designing local controllers for each individual component or small group of components. In this setup, the interactions between components are mostly treated as commands, dictated from one particular unit to another one, or as disturbances, generated by the operation of other interconnected units. The tasks of the various local controllers are then coordinated by some supervisory unit. Control and computational capabilities being distributed over the system, a steady exchange of data among the components is required, in order for the system to behave properly. In this setup, each individual component (or small set of components) is viewed as a system whose behavior, in time, is determined or influenced by the behavior of other subsystems. Typically, the physical variables by

9.8 Conclusion ........................................... 172

Part B 9

Control Theor

9. Control Theory for Automation: Fundamentals

148

Part B

Automation Theory and Scientific Foundations

Part B 9.1

Exogenous input

Controller

Control input

Regulated output Controlled plant

Measured output

Feedback

Fig. 9.1 Basic feedback loop

means of which this influence is exerted can be classified into two disjoint sets: one set consisting of all commands and/or disturbances generated by other components (which in this context are usually referred to as exogenous inputs) and another set consisting of all variables by means of which the accomplishment of the required tasks is actually imposed (which in this context are usually referred to as control inputs). The tasks in question typically comprise the case in which certain variables, called regulated outputs, are required to track the behavior of a set of exogenous commands. This leads to the definition, for the variables in question, of a tracking error, which should be kept as small as possible, in spite of the possible variation – in time – of the commands and in spite of all exogenous disturbances. The control input, in turn, is provided by a separate subsystem, the controller, which processes the information provided by a set of appropriate measurements (the measured outputs). The whole control configuration assumes – in this case – the form of a feedback loop, as shown in Fig. 9.1. In any realistic scenario, the control goal has to be achieved in spite of a good number of phenomena which would cause the system to behave differently

than expected. As a matter of fact, in addition to the exogenous phenomena already included in the scheme of Fig. 9.1, i. e., the exogenous commands and disturbances, a system may fail to behave as expected also because of endogenous causes, which include the case in which the controlled system responds differently as a consequence of poor knowledge about its behavior due to modeling errors, damages, wear, etc. The ability to handle large uncertainties successfully is one of the main, if not the single most important, reason for choosing the feedback configuration of Fig. 9.1. To evaluate the overall performances of the system, a number of conventional criteria are chosen. First of all, it must be ensured that the behavior of the variables of the entire system is bounded. In fact, the feedback strategy, which is introduced for the purpose of offsetting exogenous inputs and to attenuate the effect of modeling error, may cause unbounded behaviors, which have to be avoided. Boundedness, and convergence to the desired behavior, are usually analyzed in conventional terms via the concepts of asymptotic stability and steady-state behavior, discussed in Sects. 9.2–9.3. Since the systems under considerations are systems with inputs (control inputs and exogenous inputs), the influence of such inputs on the behavior of a system also has to be assessed, as discussed in Sect. 9.4. The analytical tools developed in this way are then taken as a basis for the design of a controller, in which – usually – the control structure and free parameters are chosen in such a way as to guarantee that the overall configuration exhibits the desired properties in response to exogenous commands and disturbances and is sufficiently tolerant of any major source of uncertainty. This is discussed in Sects. 9.5–9.8.

9.1 Autonomous Dynamical Systems In loose terms, a dynamical system is a way to describe how certain physical entities of interest, associated with a natural or artificial process, evolve in time and how their behavior is, or can be, influenced by the evolution of other variables. The most usual point of departure in the analysis of the behavior of a natural or artificial process is the construction of a mathematical model consisting of a set of equations expressing basic physical laws and/or constraints. In the most frequent case, when the study of evolution in time is the issue, the equations in question

take the form of an ordinary differential equation, defined on a finite-dimensional Euclidean space. In this chapter, we shall review some fundamental facts underlying the analysis of the solutions of certain ordinary differential equations arising in the study of physical processes. In this analysis, a convenient point of departure is the case of a mathematical model expressed by means of a first-order differential equation x˙ = f (x) ,

(9.1)

Control Theory for Automation: Fundamentals

d¯x(t) = f (¯x(t)) . dt If the map f : Rn → Rn is locally Lipschitz, i. e., if for every x ∈ Rn there exists a neighborhood U of x and a number L > 0 such that, for all x1 , x2 in U, | f (x1 ) − f (x2 )| ≤ L|x1 − x2 | , then, for each x0 ∈ Rn there exists two times t − < 0 and t + > 0 and a solution x¯ of (9.1), defined on the interval (t − , t + ) ⊂ R, that satisfies x¯ (0) = x0 . Moreover, if x˜ : (t − , t + ) → Rn is any other solution of (9.1) satisfying x˜ (0) = x0 , then necessarily x˜ (t) = x¯ (t) for all t ∈ (t − , t + ), that is, the solution x¯ is unique. In general, the times t − < 0 and t + > 0 may depend on the point x0 . For each x0 , there is a maximal open interval (tm− (x0 ), tm+ (x0 )) containing 0 on which is defined a solution x¯ with x¯ (0) = x0 : this is the union of all open intervals on which there is a solution with x¯ (0) = x0 (possibly, but not always, tm− (x0 ) = −∞ and/or tm+ (x0 ) = +∞). Given a differential equation of the form (9.1), associated with a locally Lipschitz map f , define a subset W of R × Rn as follows    W = (t, x) : t ∈ tm− (x), tm+ (x) , x ∈ Rn . Then define on W a map φ : W → Rn as follows: φ(0, x) = x and, for each x ∈ Rn , the function   ϕx : tm− (x), tm+ (x) → Rn , t → φ(t, x) is a solution of (9.1). This map is called the flow of (9.1). In other words, for each fixed x, the restriction of φ(t, x) to the subset of W consisting of all pairs (t, x) for which t ∈ (tm− (x), tm+ (x)) is the unique (and maximally extended in time) solution of (9.1) passing through x at time t = 0. A dynamical system is said to be complete if the set W coincides with the whole of R × Rn . Sometimes, a slightly different notation is used for the flow. This is motivated by the need tom express, within the same context, the flow of a system like (9.1) and the flow of another system, say y˙ = g(y). In this case, the symbol φ, which represents the map, must be replaced by two different symbols, one denoting the

flow of (9.1) and the other denoting the flow of the other system. The easiest way to achieve this is to use the symbol x to represent the map that characterizes the flow of (9.1) and to use the symbol y to represent the map that characterizes the flow of the other system. In this way, the map characterizing the flow of (9.1) is written x(t, x). This notation at first may seem confusing, because the same symbol x is used to represent the map and to represent the second argument of the map itself (the argument representing the initial condition of (9.1)), but this is somewhat inevitable. Once the notation has been understood, though, no further confusion should arise. In the special case of a linear differential equation x˙ = Ax

(9.2)

in which A is an n × n matrix of real numbers, the flow is given by φ(t, x) = eAt x , where the matrix exponential eAt is defined as the sum of the series eAt =

∞ i

t i=0

i!

Ai .

Let S be a subset of Rn . The set S is said to be invariant for (9.1) if, for all x ∈ S, φ(t, x) is defined for all t ∈ (−∞, +∞) and φ(t, x) ∈ S ,

for all t ∈ R .

A set S is positively (resp. negatively) invariant if for all x ∈ S, φ(t, x) is defined for all t ≥ 0 (resp. for all t ≤ 0) and φ(t, x) ∈ S for all such t. Equation (9.1) defines a dynamical system. To reflect the fact that the map f does not depend on other independent entities (such as the time t or physical entities originated from external processes) the system in question is referred to an autonomous system. Complex autonomous systems arising in analysis and design of physical processes are usually obtained as a composition of simpler subsystems, each one modeled by equations of the form x˙ i = f i (xi , ui ) , yi = h i (xi , ui ) ,

i = 1, . . . , N ,

in which xi ∈ Rn i . Here ui ∈ Rm i and, respectively, yi ∈ R pi are vectors of variables associated with physical entities by means of which the interconnection of various component parts is achieved.

149

Part B 9.1

in which x ∈ Rn is a vector of variables associated with the physical entities of interest, usually referred to as the state of the system. A solution of the differential equation (9.1) is a differentiable function x¯ : J → Rn defined on some interval J ⊂ R such that, for all t ∈ J,

9.1 Autonomous Dynamical Systems

150

Part B

Automation Theory and Scientific Foundations

Part B 9.2

9.2 Stability and Related Concepts 9.2.1 Stability of Equilibria Consider an autonomous system as (9.1) and suppose that f is locally Lipschitz. A point xe ∈ Rn is called an equilibrium point if f (xe ) = 0. Clearly, the constant function x(t) = xe is a solution of (9.1). Since solutions are unique, no other solution of (9.1) exists passing through xe . The study of equilibria plays a fundamental role in analysis and design of dynamical systems. The most important concept in this respect is that of stability, in the sense of Lyapunov, specified in the following definition. For x ∈ Rn , let |x| denote the usual Euclidean norm, that is, 1/2 n

2 xi . |x| = i=1

Definition 9.1

An equilibrium xe of (9.1) is stable if, for every ε > 0, there exists δ > 0 such that |x(0) − xe | ≤ δ ⇒|x(t) − xe | ≤ ε , for all t ≥ 0 . An equilibrium xe of (9.1) is asymptotically stable if it is stable and, moreover, there exists a number d > 0 such that |x(0) − xe | ≤ d ⇒ lim |x(t) − xe | = 0 . t→∞

An equilibrium xe of (9.1) is globally asymptotically stable if it is asymptotically stable and, moreover, lim |x(t) − xe | = 0 ,

t→∞

for every x(0) ∈ Rn .

in which A=

∂f (0) ∂x

Theorem 9.1

Let x = 0 be an equilibrium of (9.1). Suppose every eigenvalue of A has real part less than −c, with c > 0. Then, there are numbers d > 0 and M > 0 such that |x(0)| ≤ d ⇒|x(t)| ≤ M e−c t |x(0)| , for all t ≥ 0 .

(9.4)

In particular, x = 0 is asymptotically stable. If at least one eigenvalue of A has positive real part, the equilibrium x = 0 is not stable. This property is usually referred to as the principle of stability in the first approximation. The equilibrium x = 0 is said to be hyperbolic if the matrix A has no eigenvalue with zero real part. Thus, it is seen from the previous Theorem that a hyperbolic equilibrium is either unstable or asymptotically stable. The inequality on the right-hand side of (9.4) provides a useful bound on the norm of x(t), expressed as a function of the norm of x(0) and of the time t. This bound, though, is very special and restricted to the case of a hyperbolic equilibrium. In general, bounds of this kind can be obtained by means of the so-called comparison functions, which are defined as follows. Definition 9.2

The most elementary, but rather useful in practice, result in stability analysis is described as follows. Assume that f (x) is continuously differentiable and suppose, without loss of generality, that xe = 0 (if not, change x into x¯ := x − xe and observe that x¯ satisfies the differential equation x˙¯ = f (¯x + xe ) in which now x¯ = 0 is an equilibrium). Expand f (x) as follows f (x) = Ax + f˜(x) ,

is the Jacobian matrix of f (x), evaluated at x = 0, and by construction | f˜(x)| =0. lim x→0 |x| The linear system x˙ = Ax, with the matrix A defined as indicated, is called the linear approximation of the original nonlinear system (9.1) at the equilibrium x = 0.

(9.3)

A continuous function α : [0, a) → [0, ∞) is said to belong to class K if it is strictly increasing and α(0) = 0. If a = ∞ and limr→∞ α(r) = ∞, the function is said to belong to class K∞ . A continuous function β : [0, a) × [0, ∞) → [0, ∞) is said to belong to class KL if, for each fixed s, the function α : [0, a) → [0, ∞) , r → β(r, s) belongs to class K and, for each fixed r, the function ϕ : [0, ∞) → [0, ∞) , s → β(r, s) is decreasing and lims→∞ ϕ(s) = 0.

Control Theory for Automation: Fundamentals

α−1 (α(r)) = r,

for all r ∈ [0, a)

α(α−1 (r)) = r,

for all r ∈ [0, b) .

and

Moreover, α−1 (·) is a class K function. If α(·) is a class K∞ function, so is also α−1 (·). The properties of stability, asymptotic stability, and global asymptotic stability can be easily expressed in terms of inequalities involving comparison functions. In fact, it turns out that the equilibrium x = 0 is stable if and only if there exist a class K function α(·) and a number d > 0 such that |x(t)| ≤ α(|x(0)|) , for all x(0) such that |x(0)| ≤ d and all t ≥ 0 , the equilibrium x = 0 is asymptotically stable if and only if there exist a class KL function β(·, ·) and a number d > 0 such that |x(t)| ≤ β(|x(0)|, t) , for all x(0) such that |x(0)| ≤ d and all t ≥ 0 , and the equilibrium x = 0 is globally asymptotically stable if and only if there exist a class KL function β(·, ·) such that |x(t)| ≤ β(|x(0)|, t) ,

for all x(0) and all t ≥ 0 .

9.2.2 Lyapunov Functions The most important criterion for the analysis of the stability properties of an equilibrium is the criterion of Lyapunov. We introduce first the special form that this criterion takes in the case of a linear system. Consider the autonomous linear system x˙ = Ax in which x ∈ Rn . Any symmetric n × n matrix P defines a quadratic form V (x) = x Px .

The matrix P is said to be positive definite (respectively, positive semidefinite) if so is the associated quadratic form V (x), i. e., if, for all x = 0, V (x) > 0 ,

respectively V (x) ≥ 0 .

The matrix is said to be negative definite (respectively, negative semidefinite) if −P is positive definite (respectively, positive semidefinite). It is easy to show that a matrix P is positive definite if (and only if) there exist positive numbers a and a satisfying a|x|2 ≤ x Px ≤ a|x|2 ,

(9.5)

x ∈ Rn .

for all The property of a matrix P to be positive definite is usually expressed with the shortened notation P > 0 (which actually means x Px > 0 for all x = 0). In the case of linear systems, the criterion of Lyapunov is expressed as follows. Theorem 9.2

The linear system x˙ = Ax is asymptotically stable (or, what is the same, the eigenvalues of A have negative real part) if there exists a positive-definite matrix P such that the matrix Q := PA + A P is negative definite. Conversely, if the eigenvalues of A have negative real part, then, for any choice of a negative-definite matrix Q, the linear equation PA + A P = Q has a unique solution P, which is positive definite. Note that, if V (x) = x Px, ∂V = 2x P ∂x and hence ∂V Ax = x (PA + A P)x . ∂x Thus, to say that the matrix PA + A P is negative definite is equivalent to say that the form ∂V Ax ∂x is negative definite. The general, nonlinear, version of the criterion of Lyapunov appeals to the existence of a positive definite, but not necessarily quadratic, function of x. The quadratic lower and upper bounds of (9.5) are therefore replaced by bounds of the form α(|x|) ≤ V (x) ≤ α(|x|) ,

(9.6)

151

Part B 9.2

The composition of two class K (respectively, class K∞ ) functions α1 (·) and α2 (·), denoted α1 (α2 (·)) or α1 ◦ α2 (·), is a class K (respectively, class K∞ ) function. If α(·) is a class K function, defined on [0, a) and b = limr→a α(r), there exists a unique inverse function, α−1 : [0, b) → [0, a), namely a function satisfying

9.2 Stability and Related Concepts

152

Part B

Automation Theory and Scientific Foundations

Part B 9.2

in which α(·), α(·) are simply class K functions. The criterion in question is summarized as follows. Theorem 9.3 Let V : Rn → R be a continuously differentiable func-

tion satisfying (9.6) for some pair of class K functions α(·), α(·). If, for some d > 0, ∂V (9.7) f (x) ≤ 0 , for all |x| < d , ∂x the equilibrium x = 0 of (9.1) is stable. If, for some class K function α(·) and some d > 0, ∂V (9.8) f (x) ≤ −α(|x|) , for all |x| < d , ∂x the equilibrium x = 0 of (9.1) is locally asymptotically stable. If α(·), α(·) are class K∞ functions and the inequality in (9.8) holds for all x, the equilibrium x = 0 of (9.1) is globally asymptotically stable. A function V (x) satisfying (9.6) and either of the subsequent inequalities is called a Lyapunov function. The inequality on the left-hand side of (9.6) is instrumental, together with (9.7), in establishing existence and boundedness of x(t). A simple explanation of the arguments behind the criterion of Lyapunov can be obtained in this way. Suppose (9.7) holds. Then, if x(0) is small, the differentiable function of time V (x(t)) is defined for all t ≥ 0 and nonincreasing along the trajectory x(t). Using the inequalities in (9.6) one obtains α(|x(t)|) ≤ V (x(t)) ≤ V (x(0)) ≤ α(|x(0)|) and hence |x(t)| ≤ α−1 ◦ α(|x(0)|), which establishes the

stability of the equilibrium x = 0. Similar arguments are very useful in order to establish the invariance, in positive time, of certain bounded subsets of Rn . Specifically, suppose the various inequalities considered in Theorem 9.3 hold for d = ∞ and let Ωc denote the set of all x ∈ Rn for which V (x) ≤ c, namely Ωc = {x ∈ Rn : V (x) ≤ c} . A set of this kind is called a sublevel set of the function V (x). Note that, if α(·) is a class K∞ function, then Ωc is a compact set for all c > 0. Now, if ∂V (x) f (x) < 0 ∂x at each point x of the boundary of Ωc , it can be concluded that, for any initial condition in the interior of Ωc , the solution x(t) of (9.1) is defined for all t ≥ 0 and

is such that x(t) ∈ Ωc for all t ≥ 0, that is, the set Ωc is invariant in positive time. Indeed, existence and uniqueness are guaranteed by the local Lipschitz property so long as x(t) ∈ Ωc , because Ωc is a compact set. The fact that x(t) remains in Ωc for all t ≥ 0 is proved by contradiction. For, suppose that, for some trajectory x(t), there is a time t1 such that x(t) is in the interior of Ωc at all t < t1 and x(t1 ) is on the boundary of Ωc . Then, V (x(t)) < c ,

for all t < t1

and

V (x(t1 )) = c ,

and this contradicts the previous inequality, which shows that the derivative of V (x(t)) is strictly negative at t = t1 . The criterion for asymptotic stability provided by the previous Theorem has a converse, namely, the existence of a function V (x) having the properties indicated in Theorem 9.3 is implied by the property of asymptotic stability of the equilibrium x = 0 of (9.1). In particular, the following result holds. Theorem 9.4

Suppose the equilibrium x = 0 of (9.1) is locally asymptotically stable. Then, there exist d > 0, a continuously differentiable function V : Rn → R, and class K functions α(·), α(·), α(·), such that (9.6) and (9.8) hold. If the equilibrium x = 0 of (9.1) is globally asymptotically stable, there exist a continuously differentiable function V : Rn → R, and class K∞ functions α(·), α(·), α(·), such that (9.6) and (9.8) hold with d = ∞. To conclude, observe that, if x = 0 is a hyperbolic equilibrium and all eigenvalues of A have negative real part, |x(t)| is bounded, for small |x(0)|, by a class KL function β(·, ·) of the form β(r, t) = M e−λ t r . If the equilibrium x = 0 of system (9.1) is globally asymptotically stable and, moreover, there exist numbers d > 0, M > 0, and λ > 0 such that |x(t)| ≤ M e−λt |x(0)| ,

for all |x(0)| ≤ d and all t ≥ 0 ,

it is said that this equilibrium is globally asymptotically and locally exponentially stable. It can be shown that the equilibrium x = 0 of the nonlinear system (9.1) is globally asymptotically and locally exponentially stable if and only if there exists a continuously differentiable function V (x) : Rn → R, and class K∞ functions α(·), α(·), α(·), and real numbers δ > 0, a > 0, a > 0, a > 0,

Control Theory for Automation: Fundamentals

and

α(|x|) ≤ V (x) ≤ α(|x|) , ∂V ∂x

f (x) ≤ −α(|x|) ,

for all x ∈ Rn

α(s) = a s2 , α(s) = a s2 , for all s ∈ [0, δ] .

α(s) = a s2 ,

9.3 Asymptotic Behavior x(t, x0 ) if there exists a sequence of times {tk }, with limk→∞ tk = ∞, such that

9.3.1 Limit Sets In the analysis of dynamical systems, it is often important to determine whether or not, as time increases, the variables characterizing the motion asymptotically converge to special motions exhibiting some form of recurrence. This is the case, for instance, when a system possesses an asymptotically stable equilibrium: all motions issued from initial conditions in a neighborhood of this point converge to a special motion in which all variables remain constant. A constant motion, or more generally a periodic motion, is characterized by a property of recurrence that is usually referred to as steady-state motion or behavior. The steady-state behavior of a dynamical system can be viewed as a kind of limit behavior, approached either as the actual time t tends to +∞ or, alternatively, as the initial time t0 tends to −∞. Relevant in this regard are certain concepts introduced by Birkhoff in [9.1]. In particular, a fundamental role is played by the concept of ω-limit set of a given point, defined as follows. Consider an autonomous dynamical system such as (9.1) and let x(t, x0 ) denote its flow. Assume, in particular, that x(t, x0 ) is defined for all t ≥ 0. A point x is said to be an ω-limit point of the motion x (t3, x0) x (t2, x0) x (t1, x0) x

lim x(tk , x0 ) = x .

k→∞

The ω-limit set of a point x0 , denoted ω(x0 ), is the union of all ω-limit points of the motion x(t, x0 ) (Fig. 9.2). If xe is an asymptotically stable equilibrium, then xe = ω(x0 ) for all x0 in a neighborhood of xe . However, in general, an ω-limit point is not necessarily a limit of x(t, x0 ) as t → ∞, because the function in question may not admit any limit as t → ∞. It happens though, that if the motion x(t, x0 ) is bounded, then x(t, x0 ) asymptotically approaches the set ω(x0 ). Lemma 9.1

Suppose there is a number M such that |x(t, x0 )| ≤ M for all t ≥ 0. Then, ω(x0 ) is a nonempty compact connected set, invariant under (9.1). Moreover, the distance of x(t, x0 ) from ω(x0 ) tends to 0 as t → ∞. It is seen from this that the set ω(x0 ) is filled by motions of (9.1) which are defined, and bounded, for all backward and forward times. The other remarkable feature is that x(t, x0 ) approaches ω(x0 ) as t → ∞, in the sense that the distance of the point x(t, x0 ) (the value at time t of the solution of (9.1) starting in x0 at time t = 0) to the set ω(x0 ) tends to 0 as t → ∞. A consequence of this property is that, in a system of the form (9.1), if all motions issued from a set B are bounded, all such motions asymptotically approach the set

ω(x0 ) . Ω= x 0 ∈B

x0

ω (x0)

Fig. 9.2 The ω-limit set of a point x0

However, the convergence of x(t, x0 ) to Ω is not guaranteed to be uniform in x0 , even if the set B is compact. There is a larger set, though, which does have this property of uniform convergence. This larger set, known as the ω-limit set of the set B, is precisely defined as follows. Consider again system (9.1), let B be a subset of Rn , and suppose x(t, x0 ) is defined for all t ≥ 0 and all

153

Part B 9.3

such that

9.3 Asymptotic Behavior

154

Part B

Automation Theory and Scientific Foundations

Part B 9.4

x0 ∈ B. The ω-limit set of B, denoted ω(B), is the set of all points x for which there exists a sequence of pairs {xk , tk }, with xk ∈ B and limk→∞ tk = ∞ such that lim x(tk , xk ) = x .

k→∞

It follows from the definition that, if B consists of only one single point x0 , all xk in the definition above are necessarily equal to x0 and the definition in question reduces to the definition of ω-limit set of a point, given earlier. It also follows that, if for some x0 ∈ B the set ω(x0 ) is nonempty, all points of ω(x0 ) are points of ω(B). Thus, in particular, if all motions with x0 ∈ B are bounded in positive time,

ω(x0 ) ⊂ ω(B) . x0 ∈B

However, the converse inclusion is not true in general. The relevant properties of the ω-limit set of a set, which extend those presented earlier in Lemma 9.1, can be summarized as follows [9.2]. Lemma 9.2

Let B be a nonempty bounded subset of Rn and suppose there is a number M such that |x(t, x0 )| ≤ M for all t ≥ 0 and all x0 ∈ B. Then ω(B) is a nonempty compact set, invariant under (9.1). Moreover, the distance of x(t, x0 ) from ω(B) tends to 0 as t → ∞, uniformly in x0 ∈ B. If B is connected, so is ω(B). Thus, as is the case for the ω-limit set of a point, the ω-limit set of a bounded set B, being compact and invariant, is filled with motions which exist for all t ∈ (−∞, +∞) and are bounded backward and forward in time. But, above all, the set in question is uniformly approached by motions with initial state x0 ∈ B. An important corollary of the property of uniform convergence is that, if ω(B) is contained in the interior of B, then ω(B) is also asymptotically stable.

Lemma 9.3

Let B be a nonempty bounded subset of Rn and suppose there is a number M such that |x(t, x0 )| ≤ M for all t ≥ 0 and all x0 ∈ B. Then ω(B) is a nonempty compact set, invariant under (9.1). Suppose also that ω(B) is contained in the interior of B. Then, ω(B) is asymptotically stable, with a domain of attraction that contains B.

9.3.2 Steady-State Behavior Consider now again system (9.1), with initial conditions in a closed subset X ⊂ Rn . Suppose the set X is positively invariant, which means that, for any initial condition x0 ∈ X, the solution x(t, x0 ) exists for all t ≥ 0 and x(t, x0 ) ∈ X for all t ≥ 0. The motions of this system are said to be ultimately bounded if there is a bounded subset B with the property that, for every compact subset X 0 of X, there is a time T > 0 such that x(t, x0 ) ∈ B for all t ≥ T and all x0 ∈ X 0 . In other words, if the motions of the system are ultimately bounded, every motion eventually enters and remains in the bounded set B. Suppose the motions of (9.1) are ultimately bounded and let B  = B be any other bounded subset with the property that, for every compact subset X 0 of X, there is a time T > 0 such that x(t, x0 ) ∈ B  for all t ≥ T and all x0 ∈ X 0 . Then, it is easy to check that ω(B  ) = ω(B). Thus, in view of the properties described in Lemma 9.2 above, the following definition can be adopted [9.3]. Definition 9.3

Suppose the motions of system (9.1), with initial conditions in a closed and positively invariant set X, are ultimately bounded. A steady-state motion is any motion with initial condition x(0) ∈ ω(B). The set ω(B) is the steady-state locus of (9.1) and the restriction of (9.1) to ω(B) is the steady-state behavior of (9.1).

9.4 Dynamical Systems with Inputs 9.4.1 Input-to-State Stability (ISS) In this section we show how to determine the stability properties of an interconnected system, on the basis of the properties of each individual component. The easiest interconnection to be analyzed is a cascade connection of two subsystems, namely a system of the

form x˙ = f (x, z) , z˙ = g(z) ,

(9.9)

with x ∈ Rn , z ∈ Rm in which we assume f (0, 0) = 0, g(0) = 0.

Control Theory for Automation: Fundamentals

x˙ = f (x, u) ,

(9.10)

with state x ∈ Rn and input u ∈ Rm , in which f (0, 0) = 0 and f (x, u) is locally Lipschitz on Rn × Rm . The input function u : [0, ∞) → Rm of (9.10) can be any piecewise-continuous bounded function. The set of all such functions, endowed with the supremum norm u(·)∞ = sup |u(t)| t≥0

is denoted by L m ∞. Definition 9.4

System (9.10) is said to be input-to-state stable if there exist a class KL function β(·, ·) and a class K function γ (·), called a gain function, such that, for any input n u(·) ∈ L m ∞ and any x0 ∈ R , the response x(t) of (9.10) in the initial state x(0) = x0 satisfies |x(t)| ≤ β(|x0 |, t) + γ (u(·)∞ ) ,

for all t ≥ 0 . (9.11)

It is common practice to replace the wording inputto-state stable with the acronym ISS. In this way, a system possessing the property expressed by (9.11) is said to be an ISS system. Since, for any pair β > 0,

γ > 0, max{β, γ } ≤ β + γ ≤ max{2β, 2γ }, an alternative way to say that a system is input-to-state stable is to say that there exists a class KL function β(·, ·) and a class K function γ (·) such that, for any input n u(·) ∈ L m ∞ and any x0 ∈ R , the response x(t) of (9.10) in the initial state x(0) = x0 satisfies |x(t)| ≤ max{β(|x0 |, t), γ (u(·)∞ )} , for all t ≥ 0 .

(9.12)

The property, for a given system, of being inputto-state stable, can be given a characterization which extends the criterion of Lyapunov for asymptotic stability. The key tool for this analysis is the notion of ISS-Lyapunov function, defined as follows. Definition 9.5

A C 1 function V : Rn → R is an ISS-Lyapunov function for system (9.10) if there exist class K∞ functions α(·), α(·), α(·), and a class K function χ(·) such that α(|x|) ≤ V (x) ≤ α(|x|) ,

for all x ∈ Rn

(9.13)

and ∂V f (x, u) ≤ −α(|x|) , ∂x for all x ∈ Rn and u ∈ Rm .

|x| ≥ χ(|u|) ⇒

(9.14)

An alternative, equivalent, definition is the following one. Definition 9.6

A C 1 function V : Rn → R is an ISS-Lyapunov function for system (9.10) if there exist class K∞ functions α(·), α(·), α(·), and a class K function σ (·) such that (9.13) holds and ∂V f (x, u) ≤ −α(|x|) + σ (|u|) , ∂x for all x ∈ Rn and all u ∈ Rm . (9.15) The importance of the notion of ISS-Lyapunov function resides in the following criterion, which extends the criterion of Lyapunov for global asymptotic stability to systems with inputs. Theorem 9.5

System (9.10) is input-to-state stable if and only if there exists an ISS-Lyapunov function. The comparison functions appearing in the estimates (9.13) and (9.14) are useful to obtain an estimate

155

Part B 9.4

If the equilibrium x = 0 of x˙ = f (x, 0) is locally asymptotically stable and the equilibrium z = 0 of the lower subsystem is locally asymptotically stable then the equilibrium (x, z) = (0, 0) of the cascade is locally asymptotically stable. However, in general, global asymptotic stability of the equilibrium x = 0 of x˙ = f (x, 0) and global asymptotic stability of the equilibrium z = 0 of the lower subsystem do not imply global asymptotic stability of the equilibrium (x, z) = (0, 0) of the cascade. To infer global asymptotic stability of the cascade, a stronger condition is needed, which expresses a property describing how – in the upper subsystem – the response x(·) is influenced by its input z(·). The property in question requires that, when z(t) is bounded over the semi-infinite time interval [0, +∞), then also x(t) be bounded, and in particular that, if z(t) asymptotically decays to 0, then also x(t) decays to 0. These requirements altogether lead to the notion of input-to-state stability, introduced and studied in [9.4, 5]. The notion in question is defined as follows (see also [9.6, Chap. 10] for additional details). Consider a nonlinear system

9.4 Dynamical Systems with Inputs

156

Part B

Automation Theory and Scientific Foundations

Part B 9.4

of the gain function γ (·) which characterizes the bound (9.12). In fact, it can be shown that, if system (9.10) possesses an ISS-Lyapunov function V (x), the sublevel set Ωu(·)∞ = {x ∈ Rn : V (x) ≤ α(χ(u(·)∞ ))} is invariant in positive time for (9.10). Thus, in view of the estimates (9.13), if the initial state of the system is initially inside this sublevel set, the following estimate holds   |x(t)| ≤ α−1 α(χ(u(·)∞ )) , for all t ≥ 0 , and one can obtain an estimate of γ (·) as γ (r) = α−1 ◦ α ◦ χ(r) . In other words, establishing the existence of an ISSLyapunov function V (x) is useful not only to check whether or not the system in question is input-tostate stable, but also to determine an estimate of the gain function γ (·). Knowing such estimate is important, as will be shown later, in using the concept of input-to-state stability to determine the stability of interconnected systems. The following simple examples may help understanding the concept of input-to-state stability and the associated Lyapunov-like theorem. Example 9.1: Consider a linear system

x˙ = Ax + Bu , with x ∈ Rn and u ∈ Rm and suppose that all the eigenvalues of the matrix A have negative real part. Let P > 0 denote the unique solution of the Lyapunov equation PA + A P = −I. Observe that the function V (x) = x Px satisfies a|x|2 ≤ V (x) ≤ a|x|2 , for suitable a > 0 and a > 0, and that ∂V (Ax + Bu) ≤ −|x|2 + 2|x||P||B||u| . ∂x Pick any 0 < ε < 1 and set c=

2 |P||B| , 1−ε

χ(r) = cr .

Then ∂V (Ax + Bu) ≤ −ε|x|2 . |x| ≥ χ(|u|) ⇒ ∂x

Thus, the system is input-to-state stable, with a gain function γ (r) = (c a/a) r which is a linear function. Consider now the simple nonlinear one-dimensional system x˙ = −axk + x p u , in which k ∈ N is odd, p ∈ N satisfies p < k, and a > 0. Choose a candidate ISS-Lyapunov function as V (x) = 1 2 2 x , which yields ∂V f (x, u) = ∂x − axk+1 + x p+1 u ≤ −a|x|k+1 + |x| p+1 |u| . Set ν = k − p to obtain

  ∂V f (x, u) ≤ |x| p+1 −a|x|ν + |u| . ∂x

Thus, using the class K∞ function α(r) = εr k+1 , with ε > 0, it is deduced that ∂V f (x, u) ≤ −α(|x|) ∂x provided that (a − ε)|x|ν ≥ |u| . Taking, without loss of generality, ε < a, it is concluded that condition (9.14) holds for the class K function  r 1 ν . χ(r) = a−ε Thus, the system is input-to-state stable. An important feature of the previous example, which made it possible to prove the system is inputto-state stable, is the inequality p < k. In fact, if this inequality does not hold, the system may fail to be input-to-state stable. This can be seen, for instance, in the simple example x˙ = −x + xu . To this end, suppose u(t) = 2 for all t ≥ 0. The state response of the system, to this input, from the initial state x(0) = x0 coincides with that of the autonomous system x˙ = x, i. e., x(t) = et x0 , which shows that the bound (9.11) cannot hold. We conclude with an alternative characterization of the property of input-to-state stability, which is useful in many instances [9.7].

Control Theory for Automation: Fundamentals

System (9.10) is input-to-state stable if and only if there exist class K functions γ0 (·) and γ (·) such that, for any n input u(·) ∈ L m ∞ and any x0 ∈ R , the response x(t) in the initial state x(0) = x0 satisfies x(·)∞ ≤ max{γ0 (|x0 |), γ (u(·)∞ )} , lim sup |x(t)| ≤ γ (lim sup |u(t)|) . t→∞

The property of input-to-state stability is of paramount importance in the analysis of interconnected systems. The first application consists of the analysis of the cascade connection. In fact, the cascade connection of two input-to-state stable systems turns out to be input-tostate stable. More precisely, consider a system of the form (Fig. 9.3)

(9.16)

in which x ∈ Rn , z ∈ Rm , f (0, 0) = 0, g(0, 0) = 0, and f (x, z), g(z, u) are locally Lipschitz. Theorem 9.7

Suppose that system x˙ = f (x, z) ,

(9.17)

viewed as a system with input z and state x, is input-tostate stable and that system z˙ = g(z, u) ,

z

. x = f (x, z)

Fig. 9.3 Cascade connection

asymptotically stable. This is in particular the case if system (9.9) has the special form x˙ = Ax + p(z) , z˙ = g(z) ,

t→∞

9.4.2 Cascade Connections

x˙ = f (x, z) , z˙ = g(z, u) ,

. z = g (z, u)

(9.18)

viewed as a system with input u and state z, is input-tostate stable as well. Then, system (9.16) is input-to-state stable. As an immediate corollary of this theorem, it is possible to answer the question of when the cascade connection (9.9) is globally asymptotically stable. In fact, if system x˙ = f (x, z) , viewed as a system with input z and state x, is input-to-state stable and the equilibrium z = 0 of the lower subsystem is globally asymptotically stable, the equilibrium (x, z) = (0, 0) of system (9.9) is globally

(9.19)

with p(0) = 0 and the matrix A has all eigenvalues with negative real part. The upper subsystem of the cascade is input-to-state stable and hence, if the equilibrium z = 0 of the lower subsystem is globally asymptotically stable, so is the equilibrium (x, z) = (0, 0) of the entire system.

9.4.3 Feedback Connections In this section we investigate the stability property of nonlinear systems, and we will see that the property of input-to-state stability lends itself to a simple characterization of an important sufficient condition under which the feedback interconnection of two globally asymptotically stable systems remains globally asymptotically stable. Consider the following interconnected system (Fig. 9.3) x˙ 1 = f 1 (x1 , x2 ) , x˙ 2 = f 2 (x1 , x2 , u) , ∈ Rn 1 ,

∈ Rn 2 ,

(9.20)

u ∈ Rm ,

in which x1 x2 and f 1 (0, 0) = 0, f 2 (0, 0, 0) = 0. Suppose that the first subsystem, viewed as a system with internal state x1 and input x2 , is input-to-state stable. Likewise, suppose that the second subsystem, viewed as a system with internal state x2 and inputs x1 and u, is input-to-state stable. In view of the results presented earlier, the hypothesis of input-to-state stability of the first subsystem is equivalent to the existence of functions β1 (·, ·), γ1 (·), the first of class KL

. x 1 = f 1 (x1, x2) x2

x1 . x 2 = f 2 (x1, x2, u)

Fig. 9.4 Feedback connection

u

157

Part B 9.4

u

Theorem 9.6

9.4 Dynamical Systems with Inputs

158

Part B

Automation Theory and Scientific Foundations

Part B 9.4

and the second of class K, such that the response x1 (·) to any input x2 (·) ∈ L n∞2 satisfies |x1 (t)| ≤ max{β1 (x1 (0), t), γ1 (x2 (·)∞ )} , for all t ≥ 0 . (9.21) Likewise the hypothesis of input-to-state stability of the second subsystem is equivalent to the existence of three class functions β2 (·), γ2 (·), γu (·) such that the response x2 (·) to any input x1 (·) ∈ L n∞1 , u(·) ∈ L m ∞ satisfies |x2 (t)| ≤ max{β2 (x2 (0), t), γ2 (x1 (·)∞ ), γu (u(·)∞ )} , for all t ≥ 0 . (9.22) The important result for the analysis of the stability of the interconnected system (9.20) is that, if the composite function γ1 ◦ γ2 (·) is a simple contraction, i. e., if γ1 (γ2 (r)) < r ,

for all r > 0 ,

(9.23)

the system in question is input-to-state stable. This result is usually referred to as the small-gain theorem. Theorem 9.8

If the condition (9.23) holds, system (9.20), viewed as a system with state x = (x1 , x2 ) and input u, is input-tostate stable. The condition (9.23), i. e., the condition that the composed function γ1 ◦ γ2 (·) is a contraction, is usually referred to as the small-gain condition. It can be written in different alternative ways depending on how the functions γ1 (·) and γ2 (·) are estimated. For instance, if it is known that V1 (x1 ) is an ISS-Lyapunov function for the upper subsystem of (9.20), i. e., a function such α1 (|x1 |) ≤ V1 (x1 ) ≤ α1 (|x1 |) , ∂V1 |x1 | ≥ χ1 (|x2 |) ⇒ f 1 (x1 , x2 ) ≤ −α(|x1 |) , ∂x1 then γ1 (·) can be estimated by γ1 (r) = α−1 1 ◦ α1 ◦ χ1 (r) . Likewise, if V2 (x2 ) is a function such that α2 (|x2 |) ≤ V2 (x2 ) ≤ α2 (|x2 |) , |x2 | ≥ max{χ2 (|x1 |), χu (|u|)} ⇒ ∂V2 f 2 (x1 , x2 , u) ≤ −α(|x2 |) , ∂x2

then γ2 (·) can be estimated by γ2 (r) = α−1 2 ◦ α2 ◦ χ2 (r) . If this is the case, the small-gain condition of the theorem can be written in the form −1 α−1 1 ◦ α1 ◦ χ1 ◦ α2 ◦ α2 ◦ χ2 (r) < r .

9.4.4 The Steady-State Response In this subsection we show how the concept of steady state, introduced earlier, and the property of inputto-state stability are useful in the analysis of the steady-state response of a system to inputs generated by a separate autonomous dynamical system [9.8]. Example 9.2: Consider an n-dimensional, single-input,

asymptotically stable linear system z˙ = Fz + Gu

(9.24)

forced by the harmonic input u(t) = u 0 sin(ωt + φ0 ). A simple method to analyze the asymptotic behavior of (9.24) consists of viewing the forcing input u(t) as provided by an autonomous signal generator of the form w ˙ = Sw , u = Qw , in which

0 ω S= , −ω 0

  Q= 1 0 ,

and in analyzing the state-state behavior of the associated augmented system w ˙ = Sw , z˙ = Fz + GQw .

(9.25)

As a matter of fact, let Π be the unique solution of the Sylvester equation ΠS = FΠ + GQ and observe that the graph of the linear map z = Πw is an invariant subspace for the system (9.25). Since all trajectories of (9.25) approach this subspace as t → ∞, the limit behavior of (9.25) is determined by the restriction of its motion to this invariant subspace. Revisiting this analysis from the viewpoint of the more general notion of steady-state introduced earlier, let W ⊂ R2 be a set of the form W = {w ∈ R2 : w ≤ c} ,

(9.26)

in which c is a fixed number, and suppose the set of initial conditions for (9.25) is W × Rn . This is in fact the

Control Theory for Automation: Fundamentals

ω(B) = {(w, z) ∈ R2 × Rn : w ∈ W, z = Πw} , i. e., that ω(B) is the graph of the restriction of the map z = Πw to the set W. The restriction of (9.25) to the invariant set ω(B) characterizes the steady-state behavior of (9.24) under the family of all harmonic inputs of fixed angular frequency ω and amplitude not exceeding c. Example 9.3: A similar result, namely the fact that the steady-state locus is the graph of a map, can be reached if the signal generator is any nonlinear system, with initial conditions chosen in a compact invariant set W. More precisely, consider an augmented system of the form

w ˙ = s(w) , z˙ = Fz + Gq(w) ,

(9.27)

in which w ∈ W ⊂ Rr , x ∈ Rn , and assume that: (i) all eigenvalues of F have negative real part, and (ii) the set W is a compact set, invariant for the the upper subsystem of (9.27). As in the previous example, the ω-limit set of W under the motion of the upper subsystem of (9.27) is the subset W itself. Moreover, since the lower subsystem of (9.27) is input-to-state stable, the motions of system (9.27), for initial conditions taken in W × Rn , are ultimately bounded. It is easy to check that the steady-state locus of (9.27) is the graph of the map π : W → Rn , w → π(w) , defined by 0 π(w) = lim

T →∞ −T

e−Fτ Gq(w(τ, w)) dτ .

(9.28)

There are various ways in which the result discussed in the previous example can be generalized; for instance, it can be extended to describe the steady-state response of a nonlinear system z˙ = f (z, u)

(9.29)

in the neighborhood of a locally exponentially stable equilibrium point. To this end, suppose that f (0, 0) = 0 and that the matrix   ∂f (0, 0) F= ∂z has all eigenvalues with negative real part. Then, it is well known (see, e.g., [9.9, p. 275]) that it is always possible to find a compact subset Z ⊂ Rn , which contains z = 0 in its interior and a number σ > 0 such that, if |z 0 | ∈ Z and u(t) ≤ σ for all t ≥ 0, the solution of (9.29) with initial condition z(0) = z 0 satisfies |z(t)| ∈ Z for all t ≥ 0. Suppose that the input u to (9.29) is produced, as before, by a signal generator of the form w ˙ = s(w) , u = q(w) ,

(9.30)

with initial conditions chosen in a compact invariant set W and, moreover, suppose that, q(w) ≤ σ for all w ∈ W. If this is the case, the set W × Z is positively invariant for w ˙ = s(w) , z˙ = f (z, q(w)) ,

(9.31)

and the motions of the latter are ultimately bounded, with B = W × Z. The set ω(B) may have a complicated structure but it is possible to show, by means of arguments similar to those which are used in the proof of the center manifold theorem, that if Z and B are small enough, the set in question can still be expressed as the graph of a map z = π(w). In particular, the graph in question is precisely the center manifold of (9.31) at (0, 0) if s(0) = 0, and the matrix   ∂s (0) S= ∂w has all eigenvalues on the imaginary axis. A common feature of the examples discussed above is the fact that the steady-state locus of a system of

159

Part B 9.4

case when the problem of evaluating the periodic response of (9.24) to harmonic inputs whose amplitude does not exceed a fixed number c is addressed. The set W is compact and invariant for the upper subsystem of (9.25) and, as is easy to check, the ω-limit set of W under the motion of the upper subsystem of (9.25) is the subset W itself. The set W × Rn is closed and positively invariant for the full system (9.25) and, moreover, since the lower subsystem of (9.25) is input-to-state stable, the motions of system of (9.25), for initial conditions taken in W × Rn , are ultimately bounded. It is easy to check that

9.4 Dynamical Systems with Inputs

160

Part B

Automation Theory and Scientific Foundations

Part B 9.5

the form (9.31) can be expressed as the graph of a map z = π(w). This means that, so long as this is the case, a system of this form has a unique well-defined steady-state response to the input u(t) = q(w(t)). As a matter of fact, the response in question is precisely z(t) = π(w(t)). Of course, this may not always be the case and multiple steady-state responses to a given input may occur. In general, the following property holds.

Lemma 9.4

Let W be a compact set, invariant under the flow of (9.30). Let Z be a closed set and suppose that the motions of (9.31) with initial conditions in W × Z are ultimately bounded. Then, the steady-state locus of (9.31) is the graph of a set-valued map defined on the whole of W.

9.5 Feedback Stabilization of Linear Systems 9.5.1 Stabilization by Pure State Feedback Definition 9.7

Consider a linear system, modeled by equations of the form x˙ = Ax + Bu , y = Cx ,

(9.32)

in which x ∈ Rn , u ∈ Rm , and y ∈ R p , and in which A, B, C are matrices with real entries. We begin by analyzing the influence, on the response of the system, of control law of the form u = Fx ,

System (9.32) is said to be stabilizable if, for all λ which is an eigenvalue of A and has nonnegative real part, the matrix M(λ) has rank n. This system is said to be controllable if, for all λ which is an eigenvalue of A, the matrix M(λ) has rank n. The two properties thus identified determine the existence of solutions of the problem of stabilization and, respectively, of the problem of eigenvalue assignment. In fact, the following two results hold.

(9.33)

in which F is an n × m matrix with real entries. This type of control is usually referred to as pure state feedback or memoryless state feedback. The imposition of this control law on the first equation of (9.32) yields the autonomous linear system x˙ = (A + BF)x . The purpose of the design is to choose F so as to obtain, if possible, a prescribed asymptotic behavior. In general, two options are sought: (i) the n eigenvalues of (A + BF) have negative real part, (ii) the n eigenvalues of (A + BF) coincide with the n roots of an arbitrarily fixed polynomial p(λ) = λn + an−1 λn−1 + · · · a1 λ + a0 of degree n, with real coefficients. The first option is usually referred to as the stabilization problem, while the second is usually referred to as the eigenvalue assignment problem. The conditions for the existence of solutions of these problems can be described as follows. Consider the n × (n + m) polynomial matrix   (9.34) M(λ) = (A − λI) B .

Theorem 9.9

There exists a matrix F such that A + BF has all eigenvalues with negative real part if and only if system (9.32) is stabilizable.

Theorem 9.10

For any choice of a polynomial p(λ) of degree n with real coefficients there exists a matrix F such that the n eigenvalues of A + BF coincide with the n roots of p(λ) if and only if system (9.32) is controllable. The actual construction of the matrix F usually requires a preliminary transformation of the equations describing the system. As an example, we illustrate how this is achieved in the case of a single-input system, for the problem of eigenvalue assignment. If the input of a system is one dimensional, the system is controllable if and only if the n × n matrix   P = B AB · · · An−1 B

(9.35)

is nonsingular. Assuming that this is the case, let γ denote the last row of P−1 , that is, the unique solution of

Control Theory for Automation: Fundamentals

γ B = γ AB = · · · = γ A

n−2

γA

n−1

B = 0,

B=1.

Then, simple manipulations show that the change of coordinates ⎛ ⎞ γ ⎜ ⎟ ⎜ γA ⎟ x˜ = ⎜ ⎟x ⎝ ··· ⎠ γ An−1 transforms system (9.32) into a system of the form ˜ , ˜ x + Bu x˙˜ = A˜ ˜x y = C˜ in which ⎛

0 ⎜0 ⎜ ˜ =⎜ A ⎜· ⎜ ⎝0 d0

1 0 · 0 d1

0 1 · 0 d2

(9.36)

⎞ ··· 0 0 ··· 0 0 ⎟ ⎟ ⎟ ··· · · ⎟, ⎟ ··· 0 1 ⎠ · · · dn−2 dn−1

⎞ 0 ⎜0⎟ ⎜ ⎟ ⎟ ˜B = ⎜ ⎜· · ·⎟ . ⎜ ⎟ ⎝0⎠ ⎛

1

This form is known as controllability canonical form of the equations describing the system. If a system is written in this form, the solution of the problem of eigenvalue assignment is straightforward. If suffices, in fact, to pick a control law of the form u = −(d0 + a0 )x˜1 − (d1 + a1 )x˜2 − · · · ˜x − (dn−1 + an−1 )x˜n := F˜

(9.37)

˜ F)˜ ˜ x ˜ +B x˙˜ = (A ⎛

0 1 0 ⎜ 0 0 1 ⎜ ˜ + B˜ F˜ = ⎜ A ⎜ · · · ⎜ ⎝ 0 0 0 −a0 −a1 −a2

The latter is known as Ackermann’s formula.

9.5.2 Observers and State Estimation The imposition of a control law of the form (9.33) requires the availability of all n components of the state x of system (9.32) for measurement, which is seldom the case. Thus, the issue arises of when and how the components in question could be, at least asymptotically, estimated by means of an appropriate auxiliary dynamical system driven by the only variables that are actually accessible for measurement, namely the input u and the output y. To this end, consider a n-dimensional system thus defined x˙ˆ = Aˆx + Bu + G(y − Cˆx) ,

(9.38)

viewed as a system with state xˆ ∈ Rn , driven by the inputs u and y. This system can be interpreted as a copy of the original dynamics of (9.32), namely x˙ˆ = Aˆx + Bu

to obtain a system

in which

vector γ ) and of the coefficients of the prescribed polynomial p(λ)  u = −γ (d0 + a0 )I + (d1 + a1 )A + · · ·  + (dn−1 + an−1 )An−1 x  = −γ a0 I + a1 A + · · ·  + an−1 An−1 + An x := Fx .

⎞ ··· 0 0 ··· 0 0 ⎟ ⎟ ⎟ ··· · · ⎟. ⎟ ··· 0 1 ⎠ · · · −an−2 −an−1

The characteristic polynomial of this matrix coincides with the prescribed polynomial p(λ) and hence the problem is solved. Rewriting the law (9.37) in the original coordinates, one obtains a formula that directly expresses the matrix F in terms of the parameters of the system (the n × n matrix A and the 1 × n row

corrected by a term proportional, through the n × p weighting matrix G, to the effect that a possible difference between x and xˆ has on the only available measurement. The idea is to determine G in such a way that x and xˆ asymptotically converge. Define the difference E = x − xˆ , which is called observation error. Simple algebra shows that e˙ = (A − GC)e . Thus, the observation error obeys an autonomous linear differential equation, and its asymptotic behavior is completely determined by the eigenvalues of (A − GC). In general, two options are sought: (i) the n eigenvalues of (A − GC) have negative real part, (ii) the n eigenvalues of (A − GC) coincide with the n roots of an

161

Part B 9.5

the set of equations

9.5 Feedback Stabilization of Linear Systems

162

Part B

Automation Theory and Scientific Foundations

Part B 9.5

arbitrarily fixed polynomial of degree n having real coefficients. The first option is usually referred to as the asymptotic state estimation problem, while the second does not carry a special name. Note that, if the eigenvalues of (A − GC) have negative real part, the state xˆ of the auxiliary system (9.38) satisfies lim [x(t) − xˆ (t)] = 0 ,

t→∞

i. e., it asymptotically tracks the state x(t) of (9.32) regardless of what the initial states x(0), xˆ (0) and the input u(t) are. System (9.38) is called an asymptotic state estimator or a Luenberger observer. The conditions for the existence of solutions of these problems can be described as follows. Consider the (n + p) × n polynomial matrix (A − λI) (9.39) N(λ) = . C Definition 9.8

System (9.32) is said to be detectable if, for all λ which is an eigenvalue of A and has nonnegative real part, the matrix N(λ) has rank n. This system is said to be observable if, for all λ which is an eigenvalue of A, the matrix N(λ) has rank n.

Theorem 9.11

There exists a matrix G such that A − GC has all eigenvalues with negative real part if and only if system (9.32) is detectable.

is nonsingular. Let this be the case and let β denote the last column of Q−1 , that is, the unique solution of the set of equations Cβ = CAβ = · · · = CAn−2 β = 0,

CAn−1 β = 1 .

Then, simple manipulations show that the change of coordinates −1  x x˜ = An−1 β · · · Aβ β transforms system (9.32) into a system of the form ˜ , ˜ x + Bu x˙˜ = A˜ ˜x y = C˜ in which ⎛

dn−1 ⎜d ⎜ n−2 ˜ =⎜ A ⎜ · ⎜ ⎝ d1 d0  ˜ C= 1 0

1 0 · 0 0

(9.41)

0 1 · 0 0

···

⎞ 0 0⎟ ⎟ ⎟ ·⎟ , ⎟ 1⎠ 0  0 0 .

··· ··· ··· ··· ···

0 0 · 0 0

This form is known as observability canonical form of the equations describing the system. If a system is written in this form, it is straightforward to write a matrix ˜ assigning the eigenvalues to (A ˜ C). ˜ If suffices, in ˜ −G G fact, to pick a ⎛ ⎞ dn−1 + an−1 ⎜ ⎟ d + an−2 ⎟ ˜ =⎜ G (9.42) ⎜ n−2 ⎟ ⎝ ⎠ ··· d0 + a0

Theorem 9.12

For any choice of a polynomial p(λ) of degree n with real coefficients there exists a matrix G such that the n eigenvalues of A − GC coincide with the n roots of p(λ) if and only if system (9.32) is observable. In this case, also, the actual construction of the matrix G is made simple by transforming the equations describing the system. If the output of a system is one dimensional, the system is observable if and only if the n × n matrix ⎞ ⎛ C ⎟ ⎜ ⎜ CA ⎟ (9.40) Q=⎜ ⎟ ⎝ ··· ⎠ CAn−1

to obtain a matrix ⎛ −an−1 ⎜−a ⎜ n−2 ˜C ˜ =⎜ ˜ −G A ⎜ · ⎜ ⎝ −a1 −a0

1 0 · 0 0

0 1 · 0 0

··· ··· ··· ··· ···

0 0 · 0 0

⎞ 0 0⎟ ⎟ ⎟ 0⎟ , ⎟ 1⎠ 0

whose characteristic polynomial coincides with the prescribed polynomial p(λ).

9.5.3 Stabilization via Dynamic Output Feedback Replacing, in the control law (9.33), the true state x by the estimate xˆ provided by the asymptotic observer

Control Theory for Automation: Fundamentals

F

. x = Ax + Bu y = Cx

u

y

. xˆ = Axˆ + Bu + G( y – Cxˆ )

Fig. 9.5 Observer-based control

(9.38) yields a dynamic, output-feedback, control law of the form u = Fˆx , xˆ˙ = (A + BF − GC)ˆx + Gy .

(9.43)

Controlling system (9.32) by means of (9.43) yields the closed-loop system (Fig. 9.5) x˙ A BF x (9.44) = . x˙ˆ GC A + BF − GC xˆ It is straightforward to check that the eigenvalues of the system thus obtained coincide with those of the two matrices (A + BF) and (A − GC). To this end, in fact, it suffices to replace xˆ by e = x − xˆ , which changes system (9.44) into an equivalent system x˙ A + BF −BF x (9.45) = e˙ 0 A − GC e in block-triangular form. From this argument, it can be concluded that the dynamic feedback law (9.43) suffices to yield a closedloop system whose 2n eigenvalues either have negative

real part (if system (9.32) is stabilizable and detectable) or even coincide with the roots of a pair of prescribed polynomials of degree n (if (9.32) is controllable and observable). In particular, the result in question can be achieved by means of a separate design of F and G, the former to control the eigenvalues of (A + BF) and the latter to control the eigenvalues of (A − GC). This possibility is usually referred to as the separation principle for stabilization via (dynamic) output feedback. It can be concluded from this argument that, if a system is stabilizable and detectable, there exists a dynamic, output feedback, law yielding a closed-loop system with all eigenvalues with negative real part. It is important to observe that also the converse of this property is true, namely the existence of a dynamic, output feedback, law yielding a closed-loop system with all eigenvalues with negative real part requires the controlled system to be stabilizable and detectable. The proof of this converse result is achieved by taking any arbitrary dynamic output-feedback law ¯ , ¯ + Gy ξ˙ = Fξ ¯ + Ky ¯ , u = Hξ yielding a closed-loop system ¯ BH ¯ x˙ A + BKC x = ¯ ¯ ˙ξ ξ GC F and proving, via the converse Lyapunov theorem for linear systems, that, if the eigenvalues of the latter have negative real part, necessarily there exist two matrices F and G such that the eigenvalues of (A + BF) and, respectively, (A − GC) have negative real part.

9.6 Feedback Stabilization of Nonlinear Systems 9.6.1 Recursive Methods for Global Stability Lemma 9.5

Stabilization of nonlinear systems is a very difficult task and general methods are not available. Only if the equations of the system exhibit a special structure do there exist systematic methods for the design of pure state feedback (or, if necessary, dynamic, output feedback) laws yielding global asymptotic stability of an equilibrium. In this section we review some of these special design procedures. We begin by a simple modular property which can be recursively used to stabilize systems in triangular form (see [9.10, Chap. 9] for further details).

Consider a system described by equations of the form z˙ = f (z, ξ) , ξ˙ = q(z, ξ) + b(z, ξ)u ,

(9.46)

in which (z, ξ) ∈ Rn × R, and the functions f (z, ξ), q(z, ξ), b(z, ξ) are continuously differentiable functions. Suppose that b(z, ξ) = 0 for all (z, ξ) and that f (0, 0) = 0 and q(0, 0) = 0. If z = 0 is a globally asymptotically stable equilibrium of z˙ = f (z, 0), there exists a differentiable function u = u(z, ξ) with

163

Part B 9.6



9.6 Feedback Stabilization of Nonlinear Systems

164

Part B

Automation Theory and Scientific Foundations

Part B 9.6

u(0, 0) = 0 such that the equilibrium at (z, ξ) = (0, 0) z˙ = f (z, ξ) , ξ˙ = q(z, ξ) + b(z, ξ)u(z, ξ) , is globally asymptotically stable. The construction of the stabilizing feedback u(z, ξ) is achieved as follows. First of all observe that, using the assumption b(z, ξ) = 0, the imposition of the preliminary feedback law u(z, ξ) =

1 (−q(z, ξ) + v) b(z, ξ)

is stabilizable by means of a virtual control law ξ = v (z). Consider again the system described by equations of the form (9.46). Suppose there exists a continuously differentiable function

z˙ = f (z, ξ) , ξ˙ = v .

ξ = v (z) ,

Then, express f (z, ξ) in the form f (z, ξ) = f (z, 0) + p(z, ξ)ξ , in which p(z, ξ) = [ f (z, ξ) − f (z, 0)]/ξ is at least continuous. Since by assumption z = 0 is a globally asymptotically stable equilibrium of z˙ = f (z, 0), by the converse Lyapunov theorem there exists a smooth real-valued function V (z), which is positive definite and proper, satisfying ∂V f (z, 0) < 0 , ∂z for all nonzero z. Now, consider the positive-definite and proper function 1 W(z, ξ) = V (z) + ξ 2 , 2 and observe that ∂W ∂V ∂V ∂W z˙ + ξ˙ = f (z, 0) + p(z, ξ)ξ + ξv . ∂z ∂ξ ∂z ∂z Choosing ∂V p(z, ξ) ∂z

z˙ = f (z, ξ)

Lemma 9.6

yields the simpler system

v = −ξ −

globally asymptotically stabilizes the equilibrium (z, ξ) = (0, 0) of the associated closed-loop system. In the next Lemma (which contains the previous one as a particular case) this result is extended by showing that, for the purpose of stabilizing the equilibrium (z, ξ) = (0, 0) of system (9.46), it suffices to assume that the equilibrium z = 0 of

(9.47)

yields ∂W ∂W ∂V z˙ + ξ˙ = f (z, 0) − ξ 2 < 0 , ∂z ∂ξ ∂z for all nonzero (z, ξ) and this, by the direct Lyapunov criterion, shows that the feedback law   1 ∂V u(z, ξ) = −q(z, ξ) − ξ − p(z, ξ) b(z, ξ) ∂z

with v (0) = 0, which globally asymptotically stabilizes the equilibrium z = 0 of z˙ = f (z, v (z)). Then there exists a differentiable function u = u(z, ξ) with u(0, 0) = 0 such that the equilibrium at (z, ξ) = (0, 0) z˙ = f (z, ξ) , ξ˙ = q(z, ξ) + b(z, ξ)u(z, ξ) is globally asymptotically stable. To prove the result, and to construct the stabilizing feedback, it suffices to consider the (globally defined) change of variables y = ξ − v (z) , which transforms (9.46) into a system z˙ = f (z, v (z) + y) , ∂v f (z, v (z) + y) + q(v (z) + y, ξ) y˙ = − ∂z + b(v (z) + y, ξ)u ,

(9.48)

which meets the assumptions of Lemma 9.5, and then follow the construction of a stabilizing feedback as described. Using repeatedly the property indicated in Lemma 9.6 it is straightforward to derive the expression of a globally stabilizing feedback for a system in triangular form z˙ = f (z, ξ1 ) , ξ˙1 = q1 (z, ξ1 ) + b1 (z, ξ1 )ξ2 , ξ˙2 = q2 (z, ξ1 , ξ2 ) + b2 (z, ξ1 , ξ2 )ξ3 , ··· ξ˙r = qr (z, ξ1 , ξ2 , . . . , ξr ) + br (z, ξ1 , ξ2 , . . . , ξr )u . (9.49)

Control Theory for Automation: Fundamentals

9.6.2 Semiglobal Stabilization via Pure State Feedback The global stabilization results presented in the previous section are indeed conceptually appealing but the actual implementation of the feedback law requires the explicit knowledge of a Lyapunov function V (z) for the system z˙ = f (z, 0) (or for the system z˙ = f (z, v∗ (z)) in the case of Lemma 9.6). This function, in fact, explicitly determines the structure of the feedback law which globally asymptotically stabilizes the system. Moreover, in the case of systems of the form (9.49) with r > 1, the computation of the feedback law is somewhat cumbersome, in that it requires to iterate a certain number of times the manipulations described in the proof of Lemmas 9.5 and 9.6. In this section we show how these drawbacks can be overcome, in a certain sense, if a less ambitious design goal is pursued, namely if instead of seeking global stabilization one is interested in a feedback law capable of asymptotically steering to the equilibrium point all trajectories which have origin in a a priori fixed (and hence possibly large) bounded set. Consider again a system satisfying the assumptions of Lemma 9.5. Observe that b(z, ξ), being continuous and nowhere zero, has a well-defined sign. Choose a simple control law of the form u = −k sign(b) ξ

(9.50)

to obtain the system z˙ = f (z, ξ) , ξ˙ = q(z, ξ) − k|b(z, ξ)|ξ .

(9.51)

Assume that the equilibrium z = 0 of z˙ = f (z, 0) is globally asymptotically but also locally exponentially stable. If this is the case, then the linear approximation of the first equation of (9.51) at the point (z, ξ) = (0, 0) is a system of the form z˙ = Fz + Gξ , in which F is a Hurwitz matrix. Moreover, the linear approximation of the second equation of (9.51) at the point (z, ξ) = (0, 0) is a system of the form ξ˙ = Qz + Rξ − kb0 ξ , in which b0 = |b(0, 0)|. It follows that the linear approximation of system (9.51) at the equilibrium

(z, ξ) = (0, 0) is a linear system x˙ = Ax in which F G . A= Q (R − kb0 ) Standard arguments show that, if the number k is large enough, the matrix in question has all eigenvalues with negative real part (in particular, as k increases, n eigenvalues approach the n eigenvalues of F and the remaining one is a real eigenvalue that tends to −∞). It is therefore concluded, from the principle of stability in the first approximation, that if k is sufficiently large the equilibrium (z, ξ) = (0, 0) of the closed-loop system (9.51) is locally asymptotically (actually locally exponentially) stable. However, a stronger result holds. It can be proven that, for any arbitrary compact subset K of Rn × R, there exists a number k∗ , such that, for all k ≥ k∗ , the equilibrium (z, ξ) = (0, 0) of the closed-loop system (9.51) is locally asymptotically stable and all initial conditions in K produce a trajectory that asymptotically converges to this equilibrium. In other words, the basin of attraction of the equilibrium (z, ξ) = (0, 0) of the closed-loop system contains the set K . Note that the number k∗ depends on the choice of the set K and, in principle, it increases as the size of K increases. The property in question can be summarized as follows (see [9.10, Chap. 9] for further details). A system x˙ = f (x, u) is said to be semiglobally stabilizable (an equivalent, but longer, terminology is asymptotically stabilizable with guaranteed basin of attraction) at a given point x¯ if, for each compact subset K ⊂ Rn , there exists a feedback law u = u(x), which in general depends on K , such that in the corresponding closed-loop system x˙ = f (x, u(x)) the point x = x¯ is a locally asymptotically stable equilibrium, and x(0) ∈ K ⇒ lim x(t) = x¯ t→∞

(i. e., the compact subset K is contained in the basin of attraction of the equilibrium x = x¯ ). The result described above shows that system (9.46), under the said assumptions, is semiglobally stabilizable at (z, ξ) = (0, 0), by means of a feedback law of the form (9.50). The arguments just shown can be iterated to deal with a system of the form (9.49). In fact, it is easy to realize that, if the equilibrium z = 0 of z˙ = f (z, 0) is globally asymptotically and also

165

Part B 9.6

To this end, in fact, it suffices to assume that the equilibrium z = 0 of z˙ = f (z, ξ) is stabilizable by means of a virtual law ξ = v (z), and that b1 (z, ξ1 ), b2 (z, ξ1 , ξ2 ), . . . , br (z, ξ1 , ξ2 , . . . , ξr ) are nowhere zero.

9.6 Feedback Stabilization of Nonlinear Systems

166

Part B

Automation Theory and Scientific Foundations

Part B 9.6

locally exponentially stable, if qi (z, ξ1 , ξ2 , . . . , ξi ) vanishes at (z, ξ1 , ξ2 , . . . , ξi ) = (0, 0, 0, . . . , 0) and bi (z, ξ1 , ξ2 , . . . , ξi ) is nowhere zero, for all i = 1, . . . , r, system (9.49) is semiglobally stabilizable at the point (z, ξ1 , ξ2 , . . . , ξr ) = (0, 0, 0, . . . , 0), actually by means of a control law that has the following structure u = α1 ξ1 + α2 ξ2 + · · · + αr ξr . The coefficients α1 , . . . , αr that characterize this control law can be determined by means of recursive iteration of the arguments described above.

9.6.3 Semiglobal Stabilization via Dynamic Output Feedback System (9.49) can be semiglobally stabilized, at the equilibrium (z, ξ1 , . . . , ξr ) = (0, 0, . . . , 0), by means of a simple feedback law, which is a linear function of the partial state (ξ1 , . . . , ξr ). If these variables are not directly available for feedback, one may wish to use instead an estimate – as is possible in the case of linear systems – provided by a dynamical system driven by the measured output. This is actually doable if the output y of (9.49) coincides with the state variable ξ1 . For the purpose of stabilizing system (9.49) by means of dynamic output feedback, it is convenient to reexpress the equations describing this system in a simpler form, known as normal form. Set η1 = ξ1 and define η2 = q1 (z, ξ1 ) + b1 (z, ξ1 )ξ2 , by means of which the second equation of (9.49) is changed into η˙ 1 = η2 . Set now ∂(q1 + b1 ξ2 ) η3 = f (z, ξ1 ) ∂z ∂(q1 + b1 ξ2 ) + [q1 + b1 ξ2 ] + b1 [q2 + b2 ξ3 ] , ∂ξ1 by means of which the third equation of (9.49) is changed into η˙ 2 = η3 . Proceeding in this way, it is easy to conclude that the system (9.49) can be changed into a system modeled by z˙ = f (z, η1 ) , η˙ 1 = η2 , η˙ 2 = η3 , ··· η˙ r = q(z, η1 , η2 , . . . , ηr ) + b(z, η1 , η2 , . . . , ηr )u , y = η1 , (9.52) in which q(0, 0, 0, . . . , 0) = (0, 0, 0, . . . , 0) and b(z, η1 , η2 , . . . , ηr ) is nowhere zero.

It has been shown earlier that, if the equilibrium z = 0 of z˙ = f (z, 0) is globally asymptotically and also locally exponentially stable, this system is semiglobally stabilizable, by means of a feedback law u = h 1 η1 + h 2 η2 + . . . + h r ηr ,

(9.53)

which is a linear function of the states η1 , η2 , . . . , ηr . The feedback in question, if the coefficients are appropriately chosen, is able to steer at the equilibrium (z, η1 , . . . , ηr ) = (0, 0, . . . , 0) all trajectories with initial conditions in a given compact set K (whose size influences, as stressed earlier, the actual choice of the parameters h 1 , . . . , h r ). Note that, since all such trajectories will never exit, in positive time, a (possibly larger) compact set, there exists a number L such that |h 1 η1 (t) + h 2 η2 (t) + . . . + h r ηr (t)| ≤ L , for all t ≥ 0 whenever the initial condition of the closed loop is in K . Thus, to the extent of achieving asymptotic stability with a basin of attraction including K , the feedback law (9.53) could be replaced with a (nonlinear) law of the form u = σ L (h 1 η1 + h 2 η2 + . . . + h r ηr ) ,

(9.54)

in which σ (r) is any bounded function that coincides with r when |r| ≤ L. The advantage of having a feedback law whose amplitude is guaranteed not to exceed a fixed bound is that, when the partial states ηi will be replaced by approximate estimates, possibly large errors in the estimates will not cause dangerously large control efforts. Inspection of the equations (9.52) reveals that the state variables used in the control law (9.54) coincide with the measured output y and its derivatives with respect to time, namely ηi = y(i−1) ,

i = 1, 2, . . . , r .

It is therefore reasonable to expect that these variables could be asymptotically estimated in some simple way by means of a dynamical system driven by the measured output itself. The system in question is actually of the form η˙˜ 1 = η˜ 2 − κcr−1 ( y − η˜ 1 ) , η˜˙ 2 = η˜ 3 − κ 2 cr−2 ( y − η˜ 1 ) , ··· η˙˜ r = −κ r c0 ( y − η˜ 1 ) .

(9.55)

It is easy to realize that, if η˜ 1 (t) = y(t), then all η˜ i (t), for i = 2, . . . , r, coincide with ηi (t). However, there is

Control Theory for Automation: Fundamentals

p(λ) = λr + cr−1 λr−1 + . . . + c1 λ + c0 , and if the parameter κ is sufficiently large, the rough estimates η˜ i of ηi provided by (9.55) can be used to replace the true states ηi in the control law (9.54). This results in a controller, which is a dynamical system modeled by equations of the form (Fig. 9.6) ˜ , ˜ η + Gy η˙˜ = F˜ u = σ L (Hη) ,

(9.56)

able to solve a problem of semiglobal stabilization for (9.52), if its parameters are appropriately chosen (see [9.6, Chap. 12] and [9.11, 12] for further details).

9.6.4 Observers and Full State Estimation The design of observers for nonlinear systems modeled by equations of the form x˙ = f (x, u) , y = h(x, u) ,

(9.57)

with state x ∈ input u ∈ and output y ∈ R usually requires the preliminary transformation of the equations describing the system, in a form that suitably corresponds to the observability canonical form describe earlier for linear systems. In fact, a key requirement for the existence of observers is the existence of a global changes of coordinates x˜ = Φ(x) carrying system (9.57) into a system of the form x˜˙ 1 = f˜1 (x˜1 , x˜2 , u) , Rn ,

Rm ,

x˙˜ 2 = f˜2 (x˜1 , x˜2 , x˜3 , u) , ··· x˙˜ n−1 = f˜n−1 (x˜1 , x˜2 , . . . , x˜n , u) , x˙˜ n = f˜n (x˜1 , x˜2 , . . . , x˜n , u) , ˜ x˜1 , u) , y = h(

ηˆ

u

σL (·)

H

. x = f (x) + g(x) u y = h (x)

η˜ = F˜ η˜ + G˜ y

Fig. 9.6 Control via partial-state estimator

for all x˜ ∈ Rn , and all u ∈ Rm . This form is usually referred to as the uniform observability canonical form. The existence of canonical forms of this kind can be obtained as follows [9.13, Chap. 2]. Define – recursively – a sequence of real-valued functions ϕi (x, u) as follows ϕ1 (x, u) := h(x, u) , .. . ∂ϕi−1 f (x, u) , ϕi (x, u) := ∂x for i = 1, . . . , n. Using these functions, define a sequence of i-vector-valued functions Φi (x, u) as follows ⎛

⎞ ϕ1 (x, u) ⎜ . ⎟ ⎟ Φi (x, u) = ⎜ ⎝ .. ⎠ , ϕi (x, u) for i = 1, . . . , n. Finally, for each of the Φi (x, u), compute the subspace 

∂Φi K i (x, u) = ker ∂x

 , (x,u)

in which ker[M] denotes the subspace consisting of all vectors v such that Mv = 0, that is the so-called null space of the matrix M. Note that, since the entries of the matrix ∂Φi ∂x

(9.58)

˜ x˜1 , u) and f˜i (x˜1 , x˜2 , . . . , x˜i+1 , u) satisfy in which the h( ∂ h˜ ∂ f˜i

= 0 , and

= 0 , ∂ x˜1 ∂ x˜i+1 for all i = 1, . . . , n − 1 (9.59)

are in general dependent on (x, u), so is its null space K i (x, u). The role played by the objects thus defined in the construction of the change of coordinates yielding an observability canonical form is explained in this result.

y

167

Part B 9.6

no a priori guarantee that this can be achieved and hence system (9.55) cannot be regarded as a true observer of the partial state η1 , . . . , ηr of (9.52). It happens, though, that if the reason why this partial state needs to be estimated is only the implementation of the feedback law (9.54), then an approximate observer such as (9.55) can be successfully used. The fact is that, if the coefficients c0 , . . . , cr−1 are coefficients of a Hurwitz polynomial

9.6 Feedback Stabilization of Nonlinear Systems

168

Part B

Automation Theory and Scientific Foundations

Part B 9.6

system (9.58) provided that the two following technical hypotheses hold:

Lemma 9.7

Consider system (9.57) and the map x˜ = Φ(x) defined by ⎞ ⎛ ϕ1 (x, 0) ⎟ ⎜ ⎜ϕ2 (x, 0)⎟ ⎟ Φ(x) = ⎜ ⎜ .. ⎟ . ⎝ . ⎠ ϕn (x, 0) Suppose that Φ(x) has a globally defined and continuously differentiable inverse. Suppose also that, for all i = 1, . . . , n, dim[K i (x, u)] = n − i , for all u ∈ Rm and for all x ∈ Rn K i (x, u) = independent of u .

Once a system has been changed into its observability canonical form, an asymptotic observer can be built as follows. Take a copy of the dynamics of (9.58), corrected by an innovation term proportional to the difference between the output of (9.58) and the output of the copy. More precisely, consider a system of the form f˜1 (xˆ1 , xˆ2 , u) + κcn−1 (y − h(xˆ1 , u)) , f˜2 (xˆ1 , xˆ2 , xˆ3 , u) + κ 2 cn−2 (y − h(xˆ1 , u)) ,

··· x˙ˆ n−1 = x˙ˆ n =

f˜n−1 (ˆx, u) + κ n−1 c1 (y − h(xˆ1 , u)) , f˜n (ˆx, u) + κ n c0 (y − h(xˆ1 , u)) ,

(9.60)

in which κ and cn−1 , cn−2 , . . . , c0 are design parameters. The state of the system thus defined is able to asymptotically track, no matter what the initial conditions x(0), x˜ (0) and the input u(t) are, the state of



α (xˆ )

σL (·)

u

. x = f (x, u) y = h (x, u) . xˆ = f (xˆ , u) + G( y – h (xˆ , u))

Fig. 9.7 Observer-based control for a nonlinear system

for all x˜ ∈ Rn , and all u ∈ Rm . Let the observation error be defined as ei = xˆi − x˜i ,

Then, system (9.57) is globally transformed, via Φ(x), into a system in uniform observability canonical form.

x˙ˆ 1 = x˙ˆ 2 =

(i) Each of the maps f˜i (x˜1 , . . . , x˜i , x˜i+1 , u), for i = 1, . . . , n, is globally Lipschitz with respect to (x˜1 , . . . , x˜i ), uniformly in x˜i+1 and u, (ii) There exist two real numbers α, β, with 0 < α < β, such that  ∂ f˜   ∂ h˜    i   α≤  ≤ β , and α ≤  ≤β, ∂ x˜1 ∂ x˜i+1 for all i = 1, . . . , n − 1 ,

y

i = 1, 2, . . . , n .

The fact is that, if the two assumptions above hold, there is a choice of the coefficients c0 , c1 , . . . , cn−1 and there is a number κ ∗ such that, if κ ≥ κ ∗ , the observation error asymptotically decays to zero as time tends to infinity, regardless of what the initial states x˜ (0), xˆ (0) and the input u(t) are. For this reason the observer in question is called a high-gain observer (see [9.13, Chap. 6] for further details). The availability of such an observer makes it possible to design a dynamic, output feedback, stabilizing control law, thus extending to the case of nonlinear systems the separation principle for stabilization of linear systems. In fact, consider a system in canonical form (9.58), rewritten as x˙˜ = f˜(˜x, u) , ˜ x, u) . y = h(˜ Suppose a feedback law is known u = α(˜x) that globally asymptotic stabilizes the equilibrium point x˜ = 0 of the closed-loop system x˜˙ = f˜(˜x, α(˜x)) . Then, an output feedback controller of the form (Fig. 9.7) ˜ x, u)] , xˆ˙ = f˜(ˆx, u) + G[y − h(ˆ u = σ L (α(ˆx)) , whose dynamics are those of system (9.60) and σ L : R → R is a bounded function satisfying σ L (r) = r for all |r| ≤ L, is able to stabilize the equilibrium (˜x, xˆ ) = (0, 0) of the closed-loop system, with a basin of attraction that includes any a priori fixed compact set K × K , if its parameters (the coefficients c0 , c1 , . . . , cn−1 and the parameter κ of (9.60) and the parameter L of σ L (·)) are appropriately chosen (see [9.13, Chap. 7] for details).

Control Theory for Automation: Fundamentals

9.7 Tracking and Regulation

9.7.1 The Servomechanism Problem A central problem in control theory is the design of feedback controllers so as to have certain outputs of a given plant to track prescribed reference trajectories. In any realistic scenario, this control goal has to be achieved in spite of a good number of phenomena which would cause the system to behave differently than expected. These phenomena could be endogenous, for instance, parameter variations, or exogenous, such as additional undesired inputs affecting the behavior of the plant. In numerous design problems, the trajectory to be tracked (or the disturbance to be rejected) is not available for measurement, nor is it known ahead of time. Rather, it is only known that this trajectory is simply an (undefined) member in a set of functions, for instance, the set of all possible solutions of an ordinary differential equation. Theses cases include the classical problem of the set-point control, the problem of active suppression of harmonic disturbances of unknown amplitude, phase and even frequency, the synchronization of nonlinear oscillations, and similar others. In general, a tracking problem of this kind can be cast in the following terms. Consider a finitedimensional, time-invariant, nonlinear system modeled by equations of the form x˙ = f (w, x, u) , e = h(w, x) , y = k(w, x) ,

(9.61)

in which x ∈ Rn is a vector of state variables, u ∈ Rm is a vector of inputs used for control purposes, w ∈ Rs is a vector of inputs which cannot be controlled and include exogenous commands, exogenous disturbances, and model uncertainties, e ∈ R p is a vector of regulated outputs which include tracking errors and any other variable that needs to be steered to 0, and y ∈ Rq is a vector of outputs that are available for measurement and hence used to feed the device that supplies the control action. The problem is to design a controller, which receives y(t) as input and produces u(t) as output, able to guarantee that, in the resulting closed-loop system, x(t) remains bounded and lim e(t) = 0 ,

t→∞

(9.62)

regardless of what the exogenous input w(t) actually is.

As observed at the beginning, w(t) is not available for measurement, nor it is known ahead of time, but it is known to belong to a fixed family of functions of time, the family of all solutions obtained from a fixed ordinary differential equation of the form w ˙ = s(w)

(9.63)

as the corresponding initial condition w(0) is allowed to vary on a prescribed set. This autonomous system is known as the exosystem. The control law is to be provided by a system modeled by equations of the form ξ˙ = ϕ(ξ, y) , u = γ (ξ, y) ,

(9.64)

with state ξ ∈ Rν . The initial conditions x(0) of the plant (9.61), w(0) of the exosystem (9.63), and ξ(0) of the controller (9.64) are allowed to range over fixed compact sets X ⊂ Rn , W ⊂ Rs , and Ξ ⊂ Rν , respectively. All maps characterizing the model of the controlled plant, of the exosystem, and of the controller are assumed to be sufficiently differentiable. The generalized servomechanism problem (or problem of output regulation) is to design a feedback controller of the form (9.64) so as to obtain a closedloop system in which all trajectories are bounded and the regulated output e(t) asymptotically decays to 0 as t → ∞. More precisely, it is required that the composition of (9.61), (9.63), and (9.64), that is, the autonomous system w ˙ = s(w) , x˙ = f (w, x, γ (ξ, k(w, x))) , ξ˙ = ϕ(ξ, k(w, x)) ,

(9.65)

with output e = h(w, x) be such that:





The positive orbit of W × X × Ξ is bounded, i. e., there exists a bounded subset S of Rs × Rn × Rν such that, for any (w0 , x0 , ξ 0 ) ∈ W × X × Ξ , the integral curve (w(t), x(t), ξ(t)) of (9.65) passing through (w0 , x0 , ξ 0 ) at time t = 0 remains in S for all t ≥ 0. limt→∞ e(t) = 0, uniformly in the initial condition; i. e., for every ε > 0 there exists a time t¯, depending only on ε and not on (w0 , x0 , ξ 0 ) such that the integral curve (w(t), x(t), ξ(t)) of (9.65) passing through (w0 , x0 , ξ 0 ) at time t = 0 satisfies e(t) ≤ ε for all t ≥ t¯.

Part B 9.7

9.7 Tracking and Regulation

169

170

Part B

Automation Theory and Scientific Foundations

Part B 9.7

9.7.2 Tracking and Regulation for Linear Systems We show in this section how the servomechanism problem is treated in the case of linear systems. Let system (9.61) and exosystem (9.63) be linear systems, modeled by equations of the form w ˙ = Sw , x˙ = Pw + Ax + Bu , e = Qw + Cx ,

(9.66)

and suppose that y = e, i. e., that regulated and measured variables coincide. We also consider, for simplicity, the case in which m = 1 and p = 1. Without loss of generality, it is assumed that all eigenvalues of S are simple and are on the imaginary axis. A convenient point of departure for the analysis is the identification of conditions for the existence of a solution of the design problem. To this end, consider a dynamic, output-feedback controller ξ˙ = Fξ + Ge , u = Hξ

x = Πw , ξ = Σw , for some Π and Σ. These matrices, in turn, are solutions of the Sylvester equation Π P A BH Π (9.70) S= + . Σ GQ GC F Σ All trajectories asymptotically converge to the steady state. Thus, in view of the expression thus found for the steady-state locus, it follows that lim [x(t) − Πw(t)] = 0 ,

t→∞

lim [ξ(t) − Σw(t)] = 0 .

t→∞

In particular, it is seen from this that (9.67)

and the associated closed-loop system w ˙ = Sw , x˙ P A BH x = w+ . ˙ξ GQ GC F ξ

necessarily the graph of a linear map, which expresses the x and ξ components of the state vector as functions of the w component. In other terms, the steady-state locus is the set of all triplets (w, x, ξ) in which w is arbitrary, while x and ξ are expressed as

(9.68)

If the controller solves the problem at issue, all trajectories are bounded and e(t) asymptotically decays to zero. Boundedness of all trajectories implies that all eigenvalues of A BH (9.69) GC F have nonpositive real part. However, if some of the eigenvalues of this matrix were on the imaginary axis, the property of boundedness of trajectories could be lost as a result of infinitesimal variations in the parameters of (9.66) and/or (9.67). Thus, only the case in which the eigenvalues of (9.69) have negative real part is of interest. If the controller is such that this happens, then necessarily the pair of matrices (A, B) is stabilizable and the pair of matrices (A, C) is detectable. Observe now that, if the matrix (9.69) has all eigenvalues with negative real part, system (9.68) has a well-defined steady state, which takes place on an invariant subspace (the steady-state locus). The latter, as shown earlier, is

lim e(t) = lim [CΠ + Q]w(t) .

t→∞

t→∞

Since w(t) is a persistent function (none of the eigenvalues of S has negative real part), it is concluded that the regulated variable e(t) converges to 0 as t → ∞ only if the map e = Cx + Qw is zero on the steady-state locus, i. e., if 0 = CΠ + Q .

(9.71)

Note that the Sylvester equation (9.70) can be split into two equations, the former of which ΠS = P + AΠ + BHΣ , having set Γ := HΣ, can be rewritten as ΠS = AΠ + BΓ + P , while the second one, bearing in mind the constraint (9.71), reduces to ΣS = FΣ . These arguments have proven – in particular – that, if there exists a controller that controller solves the problem, necessarily there exists a pair of matrices Π, Γ such that ΠS = AΠ + BΓ + P 0 = CΠ + Q .

(9.72)

Control Theory for Automation: Fundamentals

This condition is usually referred to as the nonresonance condition. In summary, it has been shown that, if there exists a controller that solves the servomechanism problem, necessarily the controlled plant (with w = 0) is stabilizable and detectable and none of the eigenvalues of S is a root of (9.73). These necessary conditions turn out to be also sufficient for the existence of a controller that solves the servomechanism problem. A procedure for the design of a controller is described below. Let ψ(λ) = λs + ds−1 λs−1 + · · · + d1 λ + d0 denote the minimal polynomial of S. Set ⎛ ⎞ 0 1 0 ··· 0 0 ⎜ 0 0 1 ··· 0 0 ⎟ ⎜ ⎟ ⎜ ⎟ Φ=⎜ · · · ··· · · ⎟, ⎜ ⎟ ⎝ 0 0 0 ··· 0 1 ⎠ −d0 −d1 −d2 · · · −ds−2 −ds−1 ⎛ ⎞ 0 ⎜0⎟ ⎜ ⎟ ⎜ ⎟ G = ⎜· · ·⎟ , ⎜ ⎟ ⎝0⎠

(9.75)

in which the matrices Φ, G, H are those defined before and K, L, M are matrices to be determined. Consider now the associated closed-loop system, which can be written in the form w ˙ = Sw , ⎛ ⎞ ⎛ ⎞ ⎛ ⎞⎛ ⎞ x˙ P A BH BM x ⎜˙⎟ ⎜ ⎟ ⎜ ⎟⎜ ⎟ = w + ⎝ξ ⎠ ⎝GQ⎠ ⎝GC Φ 0 ⎠ ⎝ξ ⎠ . η˙ LQ LC 0 K η (9.76)

By assumption, the pair of matrices (A, B) is stabilizable, the pair of matrices (A, C) is detectable, and none of the eigenvalues of S is a root of (9.73). As a consequence, in view of the special structure of Φ, G, H, also the pair A BH B , GC Φ 0

is detectable. This being the case, it is possible to pick K, L, M in such a way that all eigenvalues of ⎛ ⎞ A BH BM ⎜ ⎟ ⎝GC Φ 0 ⎠ LC 0 K

  H = 1 0 0 ··· 0 0 . Let Π, Γ be a solution pair of (9.72) and note that the matrix ⎛ ⎞ Γ ⎜ ⎟ ⎜ ΓS ⎟ Υ =⎜ ⎟ ⎝ ··· ⎠ Γ Ss−1 satisfies Γ = HΥ .

ξ˙ = Φξ + Ge , η˙ = Kη + Le , u = Hξ + Mη ,

is stabilizable and the pair   A BH , C 0 GC Φ

1

Υ S = ΦΥ ,

Define a controller as follows:

(9.74)

have negative real part. As a result, all trajectories of (9.76) are bounded. Using (9.72) and (9.74) it is easy to check that the graph of the mapping ⎛ ⎞ Π ⎜ ⎟ π : w → ⎝Υ ⎠ w 0 is invariant for (9.76). This subspace is actually the steady-state locus of (9.76) and e = Cx + Qw is zero on this subspace. Hence all trajectories of (9.76) are such that e(t) converges to 0 as t → ∞. The construction described above is insensitive to small arbitrary variations of the parameters, except for

171

Part B 9.7

The (linear) equations thus found are known as the regulator equations [9.14]. If, as observed above, the controller is required to solve the problem is spite of arbitrary (small) variations of the parameters of (9.66), the existence of solutions (9.72) is required to hold independently of the specific values of P and Q. This occurs if and only if none of the eigenvalues of S is a root of A − λI B (9.73) det =0. C 0

9.7 Tracking and Regulation

172

Part B

Automation Theory and Scientific Foundations

Part B 9

the case of parameter variations in the exosystem. The case of parameter variations in the exosystem requires a different design, as explained e.g., in [9.15]. A state-

of-the-art discussion of the servomechanism problem for suitable classes of nonlinear systems can be found in [9.16].

9.8 Conclusion This chapter has reviewed the fundamental methods and models of control theory as applied to automation. The

following two chapters address further advancements in this area of automation theory.

References 9.1 9.2 9.3

9.4 9.5

9.6 9.7

9.8

G.D. Birkhoff: Dynamical Systems (Am. Math. Soc., Providence 1927) ˜es, W.M. Oliva: Dynamics in J.K. Hale, L.T. Magalha Infinite Dimensions (Springer, New York 2002) A. Isidori, C.I. Byrnes: Steady-state behaviors in nonlinear systems with an application to robust disturbance rejection, Annu. Rev. Control 32, 1–16 (2008) E.D. Sontag: On the input-to-state stability property, Eur. J. Control 1, 24–36 (1995) E.D. Sontag, Y. Wang: On characterizations of the input-to-state stability property, Syst. Control Lett. 24, 351–359 (1995) A. Isidori: Nonlinear Control Systems II (Springer, London 1999) A.R. Teel: A nonlinear small gain theorem for the analysis of control systems with saturations, IEEE Trans. Autom. Control AC-41, 1256–1270 (1996) Z.P. Jiang, A.R. Teel, L. Praly: Small-gain theorem for ISS systems and applications, Math. Control Signal Syst. 7, 95–120 (1994)

9.9 9.10 9.11

9.12

9.13

9.14

9.15

9.16

W. Hahn: Stability of Motions (Springer, Berlin, Heidelberg 1967) A. Isidori: Nonlinear Control Systems, 3rd edn. (Springer, London 1995) H.K. Khalil, F. Esfandiari: Semiglobal stabilization of a class of nonlinear systems using output feedback, IEEE Trans. Autom. Control AC-38, 1412–1415 (1993) A.R. Teel, L. Praly: Tools for semiglobal stabilization by partial state and output feedback, SIAM J. Control Optim. 33, 1443–1485 (1995) J.P. Gauthier, I. Kupka: Deterministic Observation Theory and Applications (Cambridge Univ. Press, Cambridge 2001) B.A. Francis, W.M. Wonham: The internal model principle of control theory, Automatica 12, 457–465 (1976) A. Serrani, A. Isidori, L. Marconi: Semiglobal nonlinear output regulation with adaptive internal model, IEEE Trans. Autom. Control AC-46, 1178–1194 (2001) L. Marconi, L. Praly, A. Isidori: Output stabilization via nonlinear luenberger observers, SIAM J. Control Optim. 45, 2277–2298 (2006)

173

Control Theor 10. Control Theory for Automation – Advanced Techniques

Analysis and design of control systems is a complex field. In order to develop appropriate concepts and methods to cover this field, mathematical models of the processes to be controlled are needed to apply. In this chapter mainly continuous-time linear systems with multiple input and multiple output (MIMO systems) are considered. Specifically, stability, performance, and robustness issues, as well as optimal control strategies are discussed in detail for MIMO linear systems. As far as system representations are concerned, transfer function matrices, matrix fraction descriptions, and state-space models are applied in the discussions. Several interpretations of all stabilizing controllers are shown for stable and unstable processes. Performance evaluation is supported by applying H2 and H∞ norms. As an important class for practical applications, predictive controllers are also discussed. In this case, according to the underlying implementation technique, discrete-time process models are considered. Transformation methods using state variable feedback are discussed, making the operation of nonlinear dynamic systems linear in the complete range of their operation. Finally, the sliding control concept is outlined.

10.1 MIMO Feedback Systems ........................ 173 10.1.1 Transfer Function Models ............ 175

10.1.2 10.1.3

State-Space Models .................... 175 Matrix Fraction Description.......... 176

10.2 All Stabilizing Controllers ...................... 176 10.3 Control Performances............................ 181 10.3.1 Signal Norms ............................. 181 10.3.2 System Norms ............................ 182 10.4 H2 Optimal Control ................................ 10.4.1 State-Feedback Problem ............. 10.4.2 State-Estimation Problem ........... 10.4.3 Output-Feedback Problem ..........

183 183 184 184

10.5 H∞ Optimal Control .............................. 10.5.1 State-Feedback Problem ............. 10.5.2 State-Estimation Problem ........... 10.5.3 Output-Feedback Problem ..........

185 185 185 186

10.6 Robust Stability and Performance .......... 186 10.7 General Optimal Control Theory ............. 189 10.8 Model-Based Predictive Control ............. 191 10.9 Control of Nonlinear Systems ................. 10.9.1 Feedback Linearization ............... 10.9.2 Feedback Linearization Versus Linear Controller Design .... 10.9.3 Sliding-Mode Control..................

193 193 195 195

10.10 Summary ............................................. 196 References .................................................. 197

10.1 MIMO Feedback Systems This chapter on advanced automatic control for automation follows the previous introductory chapter. In this section continuous-time linear systems with multiple input and multiple output (MIMO systems) will be considered. As far as the mathematical models are con-

cerned, transfer functions, matrix fraction descriptions, and state-space models will be used [10.1]. Regarding the notations concerned, transfer functions will always be denoted by explicitly showing the dependence of the complex frequency operator s, while variables in

Part B 10

˝ Hetthéssy, Ruth Bars István Vajk, Jeno

174

Part B

Automation Theory and Scientific Foundations

Part B 10.1 Fig. 10.3 Rolling mill

Fig. 10.1 Distillation column in an oil-refinery plant

bold face represent vectors or matrices. Thus, A(s) is a scalar transfer function, A(s) is a transfer function matrix, while A is a matrix. Considering the structure of the systems to be discussed, feedback control systems will be studied. Feedback is the most inherent step to create practical

control systems, as it allows one to change the dynamical and steady-state behavior of various processes to be controlled to match technical expectations [10.2–7]. In this chapter mainly continuous-time systems such as those in Figs. 10.1–10.3 will be discussed [10.8]. Note that special care should be taken to derive their appropriate discrete-time counterparts [10.9–12]. The well-known advantages of feedback structures, also called closed-loop systems, range from the servo property (i. e., to force the process output to follow a prescribed command signal) to effective disturbance rejection through robustness (the ability to achieve the control goals in spite of incomplete knowledge available on the process) and measurement noise attenuation. When designing a control system, however, stability should always remain the most important task. Figure 10.4 shows the block diagram of a conventional closed-loop system with negative feedback, where r is the set point, y is the controlled variable (output), u is the process input, d i and d o are the input and output disturbances acting on the process, respectively, while d n represents the additive measurement noise [10.2].

r

e

K (s)

u

di

do G (s)



dn

Fig. 10.2 Automated production line

Fig. 10.4 Multivariable feedback system

y

Control Theory for Automation – Advanced Techniques

• • • •

Closed-loop and internal stability (just as it will be addressed in this section) Good command following (servo property) Good disturbance rejection Good measurement noise attenuation.

In addition, to keep operational costs low, small process input values are preferred over large excursions in the control signal. Also, as the controller design is based on a model of the process, which always implies uncertainties, design procedures aiming at stability and desirable performance based on the nominal plant model should be extended to tolerate modeling uncertainties as well. Thus the list of the design objectives is to be completed by:

• • •

Achieve reduced input signals Achieve robust stability Achieve robust performance.

Some of the above design objectives could be conflicting; however, the performance-related issues typically emerge in separable frequency ranges. In this section linear multivariable feedback systems will be discussed with the following representations.

10.1.1 Transfer Function Models Consider a linear process with n u control inputs arranged into a u ∈ Rn u input vector and n y outputs arranged into a y ∈ Rn y output vector. Then the transfer function matrix contains all possible transfer functions between any of the inputs and any of the outputs ⎞ ⎛ y1 (s) ⎟ ⎜ .. ⎟ ⎜ . ⎟ = G(s)u(s) ⎜ y(s) = ⎜ ⎟ ⎝ yn y −1 (s)⎠ yn y (s)



G 1,1 (s) G 1,2 (s) ⎜ .. .. ⎜ . . =⎜ ⎜ ⎝G n y −1,1 (s) G n y −1,2 (s) G n y ,1 (s) G n y ,2 (s) ⎛ ⎞ u 1 (s) ⎜ ⎟ .. ⎜ ⎟ . ⎜ ⎟, ⎜ ⎟ ⎝u n u −1 (s)⎠ u n u (s)

175

⎞ G 1,n u (s) ⎟ .. ⎟ . ⎟ ⎟ . . . G n y −1,n u (s)⎠ . . . G n y ,n u (s)

... .. .

where s is the Laplace operator and G k,l (s) denotes the transfer function from the l-th component of the input u to the k-th component of the output y. The transfer function approach has always been an emphasized modeling tool for control practice. One of the reasons is that the G k,l (s) transfer functions deliver the magnitude and phase frequency functions via a formal substitu tion of G k,l (s)s=iω = Ak,l (ω) eiφk,l (ω) . Note that for real physical processes limω→∞ Ak,l (ω) = 0. The transfer function matrix G(s) is stable if each of its elements is a stable transfer function. Also, the transfer function matrix G(s) will be called proper if each of its elements is a proper transfer function.

10.1.2 State-Space Models Introducing n x state variables arranged into an x ∈ Rn x state vector, the state-space model of a MIMO system is given by the following equations x˙ (t) = Ax(t) + Bu(t) , y(t) = Cx(t) + Du(t) , where A ∈ Rn x × n x , B ∈ Rn x × n u ,C ∈ Rn y × n x , and D ∈ Rn y × n u are the system parameters [10.14, 15]. Important notions (state variable feedback, controllability, stabilizability, observability and detectability) have been introduced to support the deep analysis of state-space models [10.1, 2]. Roughly speaking a statespace representation is controllable if an arbitrary initial state can be moved to any desired state by suitable choice of control signals. In terms of state-space realizations, feedback means state variable feedback realized by a control law of u = −Kx, K ∈ Rn u × n x . Regarding controllable systems, state variable feedback can relocate all the poles of the closed-loop system to arbitrary locations. If a system is not controllable, but the modes (eigenvalues) attached to the uncontrollable states are stable, the complete system is still stabilizable. A statespace realization is said to be observable if the initial

Part B 10.1

In the figure G(s) denotes the transfer function matrix of the process and K(s) stands for the controller. For the designer of the closed-loop system, G(s) is given, while K(s) is the result of the design procedure. Note that G(s) is only a model of the process and serves here as the basis to design an appropriate K(s). In practice the signals driving the control system are delivered by a given process or technology and the control input is in fact applied to the given process. The main design objectives are [10.2, 6, 13]:

10.1 MIMO Feedback Systems

176

Part B

Automation Theory and Scientific Foundations

Part B 10.2

state x(0) can be determined from the output function y(t), 0 ≤ t ≤ tfinal . A system is said to be detectable if the modes (eigenvalues) attached to the unobservable states are stable. Using the Laplace transforms in the state-space model equations the relation between the state-space model and the transfer function matrix can easily be derived as G(s) = C(sI − A)−1 B + D . As far as the above relation is concerned the condition limω→∞ Ak,l (ω) = 0 raised for real physical processes leads to D = 0. Note that the G(s) transfer function contains only the controllable and observable subsystem represented by the state-space model {A, B, C, D}.

10.1.3 Matrix Fraction Description Transfer functions can be factorized in several ways. As matrices, in general, do not commute, the matrix fraction description (MFD) form exists as a result of right and left factorization, respectively [10.2, 6, 13] −1 G(s) = BR (s)A−1 R (s) = AL (s)BL (s) ,

where AR (s), BR (s), AL (s), and BL (s) are all stable transfer function matrices. In [10.2] it is shown that the right and left MFDs can be related to stabilizable and detectable state-space models, respectively. To outline the procedure consider first the right matrix fraction description (RMFD) G(s) = BR (s)A−1 R (s). For the sake of simplicity the practical case of D = 0 will be considered. Assuming that {A, B} is stabilizable, apply a state feedback to stabilize the closed-loop system using a gain matrix K ∈ Rn u × n x u(t) = −Kx(t) , then the RMFD components can be derived in a straightforward way as BR (s) = C(sI − A + BK)−1 B , AR (s) = I − K(sI − A + BK)−1 B .

It can be shown that G(s) = BR (s)A−1 R (s) will not be a function of the stabilizing gain matrix K, however, the proof is rather involved [10.2]. Also, following the above procedure, both BR (s) and AR (s) will be stable transfer function matrices. In a similar way, assuming that {A, C} is detectable, apply a state observer to detect the closed-loop system using a gain matrix L. Then the left matrix fraction description (LMFD) components can be obtained as BL (s) = C(sI − A + LC)−1 B , AL (s) = I − C(sI − A + LC)−1 L , being stable transfer function matrices. Again, G(s) = A−1 L (s)BL (s) will be independent of L. Concerning the coprime factorization, an important relation, the Bezout identity, will be used, which holds for the components of the RMFD and LMFD coprime factorization AR (s) −YR (s) XL (s) YL (s) −BL (s) AL (s) BR (s) XR (s) XL (s) YL (s) AR (s) −YR (s) =I, = BR (s) XR (s) −BL (s) AL (s) where YR (s) = K(sI − A + BK)−1 L , XR (s) = I + C(sI − A + BK)−1 L , YL (s) = K(sI − A + LC)−1 L , XL (s) = I + K(sI − A + LC)−1 B . Note that the Bezout identity plays an important role in control design. A good review on this can be found in [10.1]. Also note that a MFD factorization can be accomplished by using the Smith–McMillan form of G(s) [10.1]. As a result of this procedure, however, AR (s), BR (s), AL (s), and BL (s) will be polynomial matrices. Moreover, both AR (s) and AL (s) will be diagonal matrices.

10.2 All Stabilizing Controllers In general, a feedback control system follows the structure shown in Fig. 10.5, where the control configuration consists of two subsystems. In this general setup any of the subsystems S1 (s) or S2 (s) may play the role of the process or the controller [10.3]. Here {u1 , u2 } and {y1 , y2 } are multivariable external input and output sig-

nals in general sense, respectively. Moreover, S1 (s) and S2 (s) represent transfer function matrices according to y1 (s) = S1 (s)[u1 (s) + y2 (s)] , y2 (s) = S2 (s)[u2 (s) + y1 (s)] .

Control Theory for Automation – Advanced Techniques

u1

e1

y2

y1

S1 (s)

S2 (s)

e2

u2

d r

10.2 All Stabilizing Controllers

Wd (s)

Gd (s)

Wr (s)

K (s)

u

y

G (s)



are asymptotically stable, where

e1 (s) u1 (s) + y2 (s) = e2 (s) u2 (s) + y1 (s) u1 (s) H11 (s) H12 (s) = H21 (s) H22 (s) u2 (s) [I − S2 (s)S1 (s)]−1 [I − S2 (s)S1 (s)]−1 S2 (s) = [I − S1 (s)S2 (s)]−1 S1 (s) [I − S1 (s)S2 (s)]−1 u1 (s) . × u2 (s)

Also, from e1 (s) = u1 (s) + y2 (s) = u1 (s) + S2 (s)e2 (s) , e2 (s) = u2 (s) + y1 (s) = u2 (s) + S1 (s)e1 (s) , we have H11 (s) H12 (s) e1 u1 (s) = H21 (s) H22 (s) u2 (s) e2 −1 u1 I −S2 (s) , = −S1 (s) I u2

Wz1 (s) Wz2 (s)

u

z P(s)

z2

Fig. 10.7 A sample closed-loop control system

so for internal stability we need the transfer function matrix [I − S2 (s)S1 (s)]−1 S2 (s) [I − S2 (s)S1 (s)]−1 [I − S1 (s)S2 (s)]−1 S1 (s) [I − S1 (s)S2 (s)]−1 −1 I −S2 (s) = −S1 (s) I to be asymptotically stable [10.13]. In the control system literature a more practical, but still general closed-loop control scheme is considered, as shown in Fig. 10.6 with a generalized plant P(s) and controller K(s) [10.6,13,14]. In this configuration u and y represent the process input and output, respectively, w denotes external inputs (command signal, disturbance or noise), z is a set of signals representing the closedloop performance in general sense. The controller K(s) is to be adjusted to ensure a stable closed-loop system with appropriate performance. As an example Fig. 10.7 shows a possible control loop for tracking and disturbance rejection. Once the disturbance signal d and the command signal (or set point) signal r are combined to a vector-valued signal w, the block diagram can easily be redrawn to match the general scheme in Fig. 10.6. Note the Wd (s), Wr (s), and Wz (s) filters introduced just to shape the system performance. Since any closed-loop system can be redrawn to the general configuration shown in Fig. 10.5, d1

w

z1

u

y

G (s)

y K (s)

e



r

K (s)

Fig. 10.6 General control system configuration

Fig. 10.8 Control system configuration including set point and input disturbance

Part B 10.2

Fig. 10.5 A general feedback configuration

Being restricted to linear systems the closed-loop system is internally stable if and only if all the four entries of the transfer function matrix H11 (s) H12 (s) H21 (s) H22 (s)

177

178

Part B

Automation Theory and Scientific Foundations

Part B 10.2

the block diagram in Fig. 10.8 will be considered in the sequel. Adopting the condition earlier developed for internal stability with S1 (s) = G(s) and S2 (s) = −K(s) it is seen that now we need asymptotic stability for the following four transfer functions [I + K(s)G(s)]−1 K(s) [I + K(s)G(s)]−1 . −[I + G(s)K(s)]−1 G(s) [I + G(s)K(s)]−1

A quick evaluation for the Youla parameterization should point out a fundamental difference between designing an overall transfer function T(s) from the r(s) reference signal to the y(s) output signal using a nonlinear parameterization by K(s) y(s) = T(s)r(s) = G(s)K(s)[I + G(s)K(s)]−1 r(s) versus a design by y(s) = T(s)r(s) = G(s)Q(s)r(s)

At the same time the block diagram of Fig. 10.8 suggests e(s) = r(s) − y(s) = r(s) − G(s)K(s)e(s) ⇒ e(s) = [I + G(s)K(s)]−1 r(s) , which leads to u(s) = K(s)e(s) = K(s)[I + G(s)K(s)]−1 r(s) = Q(s)r(s) , Q(s) = K(s)[I + G(s)K(s)]−1 . It can easily be shown that, in the case of a stable G(s) plant, any stable Q(s) transfer function, in other words Q parameter, results in internal stability. Rearranging the above equation K(s) parameterized by Q(s) exhibits all stabilizing controllers K(s) = [I − Q(s)G(s)]−1 Q(s) . This result is known as the Youla parameterization [10.13, 16]. Recalling u(s) = Q(s)r(s) and y(s) = G(s)u(s) = G(s)Q(s)r(s) allows one to draw the block diagram of the closed-loop system explicitly using Q(s) (Fig. 10.9). The control scheme shown in Fig. 10.9 satisfies u(s) = Q(s)r(s) and y(s) = G(s)Q(s)r(s), moreover the process modeling uncertainties (G(s) of the physical process and G(s) of the model, as part of the controller are different) are also taken into account. This is the well-known internal model controller (IMC) scheme [10.17, 18]. Plant

Q (s)

u

y

G (s)

– Controller

G (s) Model

Fig. 10.9 Internal model controller

• •

where

r

linear in Q(s). Further analysis of the relation by y(s) = T(s)r(s) = G(s)Q(s)r(s) indicates that Q(s) = G−1 (s) is a reasonable choice to achieve an ideal servo controller to ensure y(s) = r(s). However, to intend to set Q(s) = G−1 (s) is not a practical goal for several reasons [10.2]:



• • •

Non-minimum-phase processes would exhibit unstable Q(s) controllers and closed-loop systems Problems concerning the realization of G−1 (s) are immediately seen regarding processes with positive relative degree or time delay The ideal servo property would destroy the disturbance rejection capability of the closed-loop system Q(s) = G−1 (s) would lead to large control effort Effects of errors in modeling the real process by G(s) need further analysis.

Replacing the exact inverse G−1 (s) by an approximated inverse is in harmony with practical demands. To discuss the concept of handling processes with time delay consider single-input single-output (SISO) systems and assume that G(s) = G p (s) e−sTd , where G p (s) = B(s)/A(s) is a proper transfer function with no time delay and Td > 0 is the time delay. Recognizing that the time delay characteristics is not invertible y(s) = Tp (s) e−sTd r(s) = G p (s)Q(s) e−sTd r(s) can be assigned as the overall transfer function to be achieved. Updating Fig. 10.9 for G(s) = B(s)/ A(s) e−sTd , Fig. 10.10 illustrates the control scheme. A key point is here, however, that the parameterization by Q(s) should consider only G p (s) to achieve G p (s)Q(s) specified by the designer. Note that, in the model shown in Fig. 10.10, uncertainties in G p (s) and in the time delay should both be taken into account when studying the closed-loop system.

Control Theory for Automation – Advanced Techniques

u B (s)

Q(s)

A(s)



y

e–sTd

Q(s) = K (s)[I + G p (s)K (s)]−1 .

Controller B (s) –sTd e A(s)



Model

Plant

u B (s)

K(s) –

A(s)



y

e–sTd

Controller B (s) A(s)

e–sTd

−1 G(s) = BR (s)A−1 R (s) = AL (s)BL (s) ,



−1 K(s) = YR (s)X−1 R (s) = XL (s)YL (s) ,

Model

Fig. 10.11 Controller using Smith predictor

The control scheme in Fig. 10.10 has been immediately derived by applying the Youla parameterization concept for processes with time delay. The idea, however, of letting the time delay appear in the overall transfer function and restricting the design procedure to a process with no time delay is more than 50 years old and comes from Smith [10.19]. The fundamental concept of the design procedure called the Smith predictor is to set up a closed-loop system to control the output signal predicted ahead by the time delay. Then, to meet the causality requirement, the predicted output is delayed to derive the real system output. All these conceptional steps can be summarized in a control scheme; just redraw Fig. 10.10 to Fig. 10.11 r

Plant

u

G (s)

–1

X L0 (s)



The fact that the output of the internal loop can be considered as the predicted value of the process output explains the name of the controller. Note that the Smith predictor is applicable for unstable processes as well. In the case of unstable plants, stabilization of the closed-loop system needs a more involved discussion. In order to separate the unstable (in a more general sense, the undesired) poles both the plant and the controller transfer function matrices will be factorized to (right or left) coprime transfer functions

y –

YL0 (s)

where BR (s), AR (s), BL (s), AL (s), YR (s), XR (s), YL (s), and XL (s) are all stable coprime transfer functions. Stability implies that BR (s) should contain all the right half plane (RHP)-zeros of G(s), and AR (s) should contain as RHP-zeros all the RHP-poles of G(s). Similar statements are valid for the left coprime pairs. As far as the internal stability analysis is concerned, assuming that G(s) is strictly proper and K(s) is proper, the coprime factorization offers the stability analysis via checking the stability of −1 −1 XL (s) YL (s) AR (s) −YR (s) and , BR (s) XR (s) −BL (s) AL (s) respectively. According to the Bezout identity [10.6, 13, 20] there exist XL (s) and YL (s) as stable transfer function matrices satisfying XL (s)AR (s) + YL (s)BR (s) = I .

u

YR0 (s)

y –

G (s)

–1



X R0 (s)

Q (s)

Q (s)

BL (s)

r

Plant

AL (s)

AR (s)

Fig. 10.12 Two different realizations of all stabilizing controllers for unstable processes

BR (s)

Part B 10.2

Fig. 10.10 IMC control of a plant with time delay

r

179

with

Plant

r

10.2 All Stabilizing Controllers

180

Part B

Automation Theory and Scientific Foundations

r

Plant

u

G (s)

w

y

u

– K (sI – A+ LC)–1 L

K(sI – A+ LC)–1 B

z P (s)

y

J (s)



Part B 10.2

Q (s)

Q (s) C(sI– A+ LC)–1 L



C (sI –A+LC)–1 B

Fig. 10.16 General control system using Youla

parameterization Fig. 10.13 State-space realization of all stabilizing controllers de−1 The stabilizing K(s) = YR (s)X−1 R (s) = XL (s)YL (s) controllers can be parameterized as follows. Assume that the Bezout identity results in a given stabilizing −1 −1 controller K = Y0R (s)X0R (s) = X0L (s)Y0L (s), then

rived from LMFD components r

Plant

u

G (s)

y –

XR (s) = X0R (s) − BR (s)Q(s) ,

K(sI – A+ BK)–1 L C (sI –A + BK)–1 L



Q (s) –

C(sI –A +BK)–1 B

Fig. 10.14 State-space realization of all stabilizing controllers de-

rived from RMFD components r

Plant

G (s)

y



L B

∫...dt

– C

A –

XL (s) = X0L (s) − Q(s)BL (s) , YL (s) = Y0L (s) + Q(s)AL (s) ,

K(sI –A+BK)–1 B

u

YR (s) = Y0R (s) + AR (s)Q(s) ,

K

– Q (s)

Fig. 10.15 State-space realization of all stabilizing controllers

In a similar way, a left coprime pair of transfer function matrices XR (s) and YR (s) can be found by BL (s)YR (s) + AL (s)XR (s) = I .

delivers all stabilizing controllers parameterized by any stable proper Q(s) transfer function matrix with appropriate size. Though the algebra of the controller design procedure may seem rather involved, in terms of block diagrams it can be interpreted in several ways. In Fig. 10.12 two possible realizations are shown to support the reader in comparing the results obtained for unstable processes with those shown earlier in Fig. 10.9 to control stable processes. Another obvious interpretation of the general design procedure can also be read out from the realizations of Fig. 10.12. Namely, the immediate loops around −1 −1 G(s) along Y0L (s) and X0L (s) or along X0R (s) and Y0R (s), respectively, stabilize the unstable plant, then Q(s) serves the parameterization in a similar way as originally introduced for stable processes. Having the general control structure developed using LMFD or RMFD components (Fig. 10.12 gives the complete review), respectively, we are in the position to show how the control of the state-space model introduced earlier in Sect. 10.1 can be parameterized with Q(s). To visualize this capability recall 0 K(s) = X−1 L (s)YL (s) and BL (s) = C(sI − A + LC)−1 B , AL (s) = I − C(sI − A + LC)−1 L ,

Control Theory for Automation – Advanced Techniques

and apply these relations in the control scheme of Fig. 10.12 using LMFD components. −1 Similarly, recall K(s) = Y0R (s)X0R (s) and BR (s) = C(sI − A + BK)−1 B , AR (s) = I − K(sI − A + BK)−1 B ,

LMFD and RMFD components lead to identical control scheme. In addition, any of the realizations shown in Figs. 10.12–10.15 can directly be redrawn to form the general control system scheme most frequently used in the literature to summarize the structure of the Youla parameterization. This general control scheme is shown in Fig. 10.16. In fact, the state-space realization by Fig. 10.15 follows the general control scheme shown in Fig. 10.16, assuming z = 0 and w = r. The transfer function J(s) itself is realized by the state estimator and state feedback using the gain matrices L and K, as shown in Fig. 10.15. Note that Fig. 10.16 can also be derived from Fig. 10.6 by interpreting J(s) as a controller stabilizing P(s), thus allowing one to apply an additional all stabilizing Q(s) controller.

10.3 Control Performances So far we have derived various closed-loop structures and parameterizations attached to them only to ensure internal stability. Stability, however, is not the only issue for the control system designer. To achieve goals in terms of the closed-loop performance needs further considerations [10.2, 6, 13, 17]. Just to see an example: in control design it is a widely posed requirement to ensure zero steady-state error while compensating steplike changes in the command or disturbance signals. The practical solution suggests one to insert an integrator into the loop. The same goal can be achieved while using the Youla parameterization, as well. To illustrate this action SISO systems will be considered. Apply stable Q 1 (s) and Q 2 (s) transfer functions to form Q(s) = sQ 1 (s) + Q 2 (s) . Then Fig. 10.9 suggests the transfer function between r and r − y to be 1 − Q(s)G(s) .

Alternatively, using state models the selection according to Q(0) = 1/[C(−A + BK + LC)−1 B] will insert an integrator to the loop. Several criteria exist to describe the required performances for the closed-loop performance. To be able to design closed-loop systems with various performance specifications, appropriate norms for the signals and systems involved should be introduced [10.13, 17].

10.3.1 Signal Norms One possibility to characterize the closed-loop performance is to integrate various functions derived from the error signal. Assume that a generalized error signal z(t) has been constructed. Then ∞ 1/v |z|v dt z(t)v = 0

To ensure 1 − [Q(s)G(s)]s=0 = 0 we need Q 2 (s)(0) = [G(0)]−1 .

defines the L v norm of z(t) with v as a positive integer. The relatively easy calculations required for the evaluations made the L 2 norm the most widely used criterion in control. A further advantage of the quadratic function is that energy represented by a given signal can also be taken into account in this way in many cases. Moreover, applying the Parseval’s theorem the L 2

181

Part B 10.3

and apply these relations in the control scheme of Fig. 10.12 using RMFD components. To complete the discussion on the various interpretations of the all stabilizing controllers, observe that the control schemes in Figs. 10.13 and 10.14 both use 4 × n state variables to realize the controller dynamics beyond Q(s). As Fig. 10.15 illustrates, equivalent reduction of the block diagrams of Figs. 10.13 and 10.14, respectively, both lead to the realization of the all stabilizing controllers. Observe that the application of the

10.3 Control Performances

182

Part B

Automation Theory and Scientific Foundations

norm can be evaluated using the signal described in the frequency domain. Namely having z(s) as the Laplace transform of z(t) ∞ z(s) =

z(t) e−st dt

0

g(t) as the unit impulse response of G(s) the Parseval’s theorem suggests expressing the H2 system norm by the L 2 signal norm ∞ G22 =



trace[g (t)g(t)] dt .

Part B 10.3

0

the Parseval’s theorem offers the following closed form to calculate the L 2 norm as  z(t)2 = z(s)s=iω 2 = z(iω)2  1/2 ∞ 1 =  |z(iω)|2 dω . 2π −∞

Another important selection for v takes v → ∞, which results in z(t)∞ = sup |z(t)| t

and is interpreted as the largest or worst-case error.

10.3.2 System Norms Frequency functions are extremely useful tools to analyze and design SISO closed-loop control systems. MIMO systems, however, exhibit an input-dependent, variable gain at a given frequency. Consider a MIMO system given by a transfer function matrix G(s) and driven by an input signal w and delivering an output signal z. The norm z(iω) = G(iω)w(iω) of the system output z depends on both the magnitude and direction of the input vector w(iω), where . . . denotes Euclidean norm. The associated norms are therefore called induced norms. Bounds for z(iω) are given by G(iω)w(iω) ≤ σ(G(iω)) , σ(G(iω)) ≤ w(iω) where σ (G(iω)) and σ(G(iω)) denote the minimum and maximum values of the singular values of G(iω), respectively. The most frequently used system norms are the H2 and H∞ norms defined as follows  1/2 ∞ 1  trace[G (−iω)G(iω)] dω G2 = 2π −∞

and G∞ = sup σ(G(iω)) . ω

It is clear that the system norms – as induced norms – can be expressed by using signal norms. Introducing

Further on, the H∞ norm can also be expressed as  G(iω)w G∞ = sup max where w = 0 w w ω  nw , and w ∈ C where w denotes a complex-valued vector. For a dynamic system the above expression leads to   z(t)2 where w(t)2 = 0 , G∞ = sup w(t)2 w if G(s) is stable and proper. The above expression means that the H∞ norm can be expressed by L 2 signal norm. Assume a linear system given by a state model {A, B, C} and calculate its H2 and H∞ norms. Transforming the state model to a transfer function matrix G(s) = C(sI − A)−1 B the H2 norm is obtained by 



G22 = trace(CP0 C ) = trace(B Pc B) , where Pc and P0 are delivered by the solution of the Lyapunov equations 



AP0 + P0 A + BB = 0 , 



Pc A + A P c + C C = 0 . The calculation of the H∞ norm can be performed via an iterative procedure, where in each step an H2 norm is to be minimized. Assuming a stable system, construct the Hamiltonian matrix  1 A 2 BB γ . H=   −C C −A For large γ the matrix H has n x eigenvalues with negative real part and n x eigenvalues with positive real part. As γ decreases these eigenvalues eventually hit the imaginary axis. Thus G∞ = inf (γ ∈ R : H has no eigenvalues γ >0

with zero real part) .

Control Theory for Automation – Advanced Techniques

the closed-loop system. In the sequel the focus will be turned on design procedures resulting in both stable operation and expected performance. Controller design techniques to achieve appropriate performance measures via optimization procedures related to the H2 and H∞ norms will be discussed, respectively [10.6, 21].

10.4 H2 Optimal Control To start the discussion consider the general control system configuration shown in Fig. 10.6 describe the plant by the transfer function w z G11 G12 = u G21 G22 y or equivalently, by a state model ⎞⎛ ⎞ ⎛ ⎞ ⎛ x A B1 B2 x˙ ⎟⎜ ⎟ ⎜ ⎟ ⎜ ⎝ z ⎠ = ⎝C1 0 D12 ⎠ ⎝w⎠ . u C2 D21 0 y Assume that (A, B1 ) is controllable, (A, B2 ) is stabilizable, (C1 , A) is observable, and (C2 , A) is detectable.  For the sake of simplicity nonsingular D12 D12 and    D21 D21 matrices, as well as D12 C1 = 0 and D21 B1 = 0 will be considered. Using a feedback via K(s) u = −K(s)y , the closed-loop system becomes z = F[G(s), K(s)]w , where F[G(s), K(s)] = G11 (s) − G12 (s)[I + K(s)G22 (s)]−1 K(s)G21 (s) . Aiming at designing optimal control in H2 sense the J2 = F(G(iω), K(iω))22 norm is to be minimized by a realizable K(s). Note that this control policy can be interpreted as a special case of the linear quadratic (LQ) control problem formulation. To show this relation assume a weighting matrix Qx assigned for the state variables and a weighting matrix Ru assigned for the input variables. Choosing 1/2 Qx 0 C1 = and D12 = 1/2 Ru 0

and z = C1 x + D12 u as an auxiliary variable the well-known LQ loss function can be reproduced with  1/2  1/2  1/2  1/2 Qx and Ru = Ru Ru . Qx = Qx Up to this point the feedback loop has been set up and the design problem has been formulated to find K(s) minimizing J2 = F(G(iω), K(iω))22 . Note that the optimal controller will be derived as a solution of the state-feedback problem. The optimization procedure is discussed below.

10.4.1 State-Feedback Problem If all the state variables are available then the state variable feedback u(t) = −K2 x(t) is used with the gain 



K2 = (D12 D12 )−1 B2 Pc , where Pc represents the positive-definite or positivesemidefinite solution of the   −1    A Pc + Pc A − Pc B2 D12 D12 B2 Pc + C1 C1 = 0 Riccati equation. According to this control law the A − B2 K2 matrix will determine the closed-loop stability. As far as the solution of the Riccati equation is concerned, an augmented problem setup can turn this task to an equivalent eigenvalue–eigenvector decomposition (EVD). In details, the EVD decomposition of the Hamiltonian matrix   −1  A −B2 D12 D12 B2 H=   −C1 C1 −A

183

Part B 10.4

Note that each step within the γ -iteration procedure is after all equivalent to solve an underlying Riccati equation. The solution of the Riccati equation will be detailed later on in Sect. 10.4. So far stability issues have been discussed and signal and system norms, as performance measures, have been introduced to evaluate the overall operation of

10.4 H2 Optimal Control

184

Part B

Automation Theory and Scientific Foundations

Part B 10.4

will separate the eigenvectors belonging to stable and unstable eigenvalues, then the positive-definite Pc matrix can be calculated from the eigenvectors belonging to the stable eigenvalues. Denote  the diagonal matrix containing the stable eigenvalues and collect the associated eigenvectors to a block matrix F , G

y

a) C ur

u

∫ dt

B

x

K

– A

i. e.,

F F H = . G G

Then it can be shown that the solution of the Riccati equation is obtained by Pc = GF−1 .

b)

u B

y

L

∫ dt



C



– A

At the same time it should be noted that there exist further, numerically advanced procedures to find Pc . Fig. 10.17 Duality of state control and state estimation

10.4.2 State-Estimation Problem Remark 3: State control and state estimation exhibit dual properties and share some common structural features. Comparing Fig. 10.17a and b it is seen that the structure of the state feedback control and that of the full order observer resemble each other to a large exx˙ˆ (t) = Aˆx(t) + B2 u(t) + L2 [y(t) − C2 xˆ (t)] , tent. The output signal, as well as the L and C matrices in the observer, play idenwhere   −1 tical role as the control signal, as do the B L2 = P0 C2 (D21 D21 ) and K matrices in state feedback control. Parameters in the matrices L and K are to and the P0 matrix is the positive-definite or positivebe freely adjusted for the observer and for semidefinite solution of the Riccati equation the state feedback control, respectively. In    −1  P0 A + AP0 − P0 C2 D21 D21 C2 P0 + B1 B1 = 0 . a sense, calculating the controller and observer feedback gain matrices represent dual Note that the problems. In this case duality means that     −1 A − P0 C2 D21 D21 C2 any of the structures shown in Fig. 10.17a,b can be turned to its dual form by reversmatrix characterizing the closed-loop system is stable, ing the direction of the signal propagation, i. e., all its eigenvalues are on the left-hand half plane. interchanging the input and output signals Remark 1: Putting the problem just discussed so far into (u ↔ y), and transforming the summation a stochastic environment the above state espoints to signal nodes and vice versa. timation is also called a Kalman filter. Remark 2: The gains L2 ∈ Rn x × n y and K2 ∈ Rn u × n x 10.4.3 Output-Feedback Problem have been introduced and applied in earlier stages in this chapter to create the LMFD If the state variables are not available for feedback the and RMFD descriptions, respectively. Here optimal control law utilizes the reconstructed states. In their optimal values have been derived in H2 case of designing optimal control in H2 sense the control law u(t) = −K2 x(t) is replaced by u(t) = −K2 xˆ (t). sense. The optimal state estimation (state reconstruction) is the dual of the optimal control task [10.1, 4]. The estimated states are derived as the solution of the following differential equation:

Control Theory for Automation – Advanced Techniques

This form clearly shows that the poles introduced by the controller and those introduced by the observer are separated from each other. The concept is therefore called the separation principle. The importance of this observation lies in the fact that, in the course of the design procedure the controller poles and the observer poles can be assigned independently from each other. Note that the control law u(t) = −K2 xˆ (t) still exhibits an optimal controller in the sense that F(G(iω), K(iω))2 is minimized.

10.5 H∞ Optimal Control Herewith below the optimal control in H∞ sense will be discussed. The H∞ optimal control minimizes the H∞ norm of the overall transfer function of the closed-loop system J∞ = F(G(iω), K(iω))∞ using a state variable feedback with a constant gain, where F[G(s), K(s)] denotes the overall transfer function matrix of the closed-loop system [10.2, 6, 6, 13]. To minimize J∞ requires rather involved procedures. As one option, γ -iteration has already been discussed earlier. In short, as earlier discussions on the norms pointed out, the H∞ norm can be calculated using L 2 norms by   z2  sup : w2 = 0 . J∞ = w2

10.5.1 State-Feedback Problem If all the state variables are available then the state variable feedback

represents a stable system (i. e., all the eigenvalues are on the left-hand half plane). Once Pc belonging to the minimal γ value has been found the state variable feedback is realized by using the feedback gain matrix of 

10.5.2 State-Estimation Problem The optimal state estimation in H∞ sense requires to minimize   z − zˆ 2 0 =  sup : w2 = 0 J∞ w2 as a function of L. Again, minimization can be performed by γ -iteration. Specifically, γmin is looked for to 0 < γ with γ > 0 for all w. To find the optimal satisfy J∞ L∞ gain the symmetrical positive-definite or positivesemidefinite solution of the following Riccati equation is required    −1 P0 A + AP0 − P0 C2 D12 D12 C2 P0 



+ γ −2 P0 C1 C1 P0 + B1 B1 = 0

u(t) = −K∞ x(t) is used with the gain K∞ minimizing J∞ . Similarly to the H2 optimal control discussed earlier, in each step of the γ -iteration K∞ can be obtained via Pc as the symmetrical positive-definite or positive-semidefinite solution of the   −1   A Pc + Pc A − Pc B2 D12 D12 B2 Pc 



K∞ = (D12 D12 )−1 B2 Pc .



+ γ −2 Pc B1 B1 Pc + C1 C1 = 0 Riccati equation, provided that the matrix   −1   A − B2 D12 D12 B2 Pc + γ −2 B1 B1 Pc

provided that   −1  A − P0 C2 D12 D12 C2 + γ −2 P0 C1 C1

represents a stable system, i. e., it has all its eigenvalues on the left-hand half plane. Finding the solution P0 belonging to the minimal γ value, the optimal feedback gain matrix is obtained by   −1 . L∞ = P0 C2 D21 D21 Then x˙ˆ (t) = Aˆx(t) + B2 u(t) + L∞ [y(t) − C2 xˆ (t)]

185

Part B 10.5

It is important to prove that the joint state estimation and control lead to stable closed-loop control. The proof is based on observing that the complete system satisfies the following state equations x x˙ A − B2 K2 B2 K2 = x − xˆ 0 A − L2 C2 x˙ − x˙ˆ B1 w. + B1 − L2 D21

10.5 H∞ Optimal Control

186

Part B

Automation Theory and Scientific Foundations

is in complete harmony with the filtering procedure obtained earlier for the state reconstruction in H2 sense.



10.5.3 Output-Feedback Problem

Part B 10.6

If the state variables are not available for feedback then a K(s) controller satisfying J∞ < γ is looked for. This controller, similarly to the procedure followed by the H2 optimal control design, can be determined in two phases: first the unavailable states are to be estimated, then state feedback driven by the estimated states is to be realized. As far as the state feedback is concerned, similarly to the H2 optimal control law, the H∞ optimal control is accomplished by



then the state estimation applies the above gain according to  x˙ˆ (t) = (A + B1 γ −2 B1 Pc )ˆx(t) + B2 u(t) + L∗∞ [y(t) − C2 xˆ (t)] .

Reformulating the above results into a transfer function form gives   K(s) = K∞ sI − A − B1 γ −2 B1 Pc + B2 K∞ −1 + L∗∞ C2 L∗∞ . The above K(s) controller satisfies the norm inequality F(G(iω), K(iω))∞ < γ and it results in a stable control strategy if the following three conditions are satisfied [10.6]:



+ γ −2 Pc B1 B1 Pc + C1 C1 = 0 , provided that 





A − B2 (D12 D12 )−1 B2 Pc + γ −2 B1 B1 Pc



is stable. P0 is a symmetrical positive-semidefinite solution of the algebraic Riccati equation    −1 P0 A + AP0 − P0 C2 D21 D21 C2 P0 



+ γ −2 P0 C1 C1 P0 + B1 B1 = 0 , provided that

u(t) = −K∞ xˆ (t) . However, the H∞ optimal state estimation is more involved than the H2 optimal state estimation. Namely the H∞ optimal state estimation includes the worst-case estimation of the exogenous w input, and the feedback matrix L∞ needs to be modified, too. The L∗∞ modified feedback matrix takes the following form −1  L∗∞ = I − γ −2 P0 Pc L∞  −1   = I − γ −2 P0 Pc P0 C2 (D21 D21 )−1 ,

Pc is a symmetrical positive-semidefinite solution of the algebraic Riccati equation   −1   A Pc + Pc A − Pc B2 D12 D12 B2 Pc

  −1  A − P0 C2 D21 D21 C2 + γ −2 P0 C1 C1



is stable. The largest eigenvalue of Pc P0 is smaller than γ 2 ρ(Pc P0 ) < γ 2 .

The H∞ optimal output feedback control design procedure minimizes the F(G(iω), K(iω))∞ norm via γ -iteration and while γmin is looked for all the three conditions above should be satisfied. The optimal control in H∞ sense is accomplished by K(s) belonging to γmin . Remark: Now that we are ready to design optimal controllers in H2 or H∞ sense, respectively, it is worth devoting a minute to analyze what can be expected from these procedures. To compare the nature of the H2 versus H∞ norms a relation for G2 should be found, where the G2 norm is expressed by the singular values. It can be shown that  1/2 ∞

1 2 σi (G(iω)) dω . G2 = 2π −∞

i

Comparing now the above expression with G∞ = sup σ (G(iω)) ω

it is seen that G∞ represents the largest possible singular value, while G2 represents the sum of all the singular values over all frequencies [10.6].

10.6 Robust Stability and Performance When designing control systems, the design procedure needs a model of the process to be controlled. So far it has been assumed that the design procedure

is based on a perfect model of the process. Stability analysis based on the nominal process model can be qualified as nominal stability (NS) analysis. Sim-

Control Theory for Automation – Advanced Techniques

G(s) = G0 (s) + a (s) , G(s) = G0 (s)[I + i (s)] , G(s) = [I + o (s)]G0 (s) , where a (s) represents an additive perturbation, i (s) an input multiplicative perturbation, and o (s) an output multiplicative perturbation. These perturbations are assumed to be frequency independent with bounded • (s)∞ norms concerning their size. Frequency dependence can easily be added to the perturbations by using appropriate pre- and post-filters. All the above three perturbation models can be transformed to a common form

Δ (s) yΔ

uΔ w

z

P (s) u

y K (s)

Fig. 10.18 Standard model of control system extended by uncertainties

rest of the components. It may involve additional output signals (z) and a set of external signals (w) including the set point. Using a priori knowledge on the plant the concept of the uncertainty modeling can further be improved. Separating identical and independent technological components into groups the perturbations can be expressed as structured uncertainties (s) = diag [1 (s), 2 (s), . . . , r (s)] , i (s)∞ ≤ 1 i = 1 . . . r .

where

Structured uncertainties clearly lead to less conservative design as the unstructured uncertainties may want to take care of perturbations never occurring in practice. Consider the following control system (Fig. 10.19) as one possible realization of the standard model shown in Fig. 10.18. As a matter of fact here the common form of the perturbations is used. Derivation is also straightforward from the standard form of Fig. 10.18 with z = 0 and w = r. Handling the nominal plant and the feedback as one single unit described by R(s) = [I + K(s)G0 (s)]−1 K(s), condition for the robust stability can easily be derived by applying the small gain theorem (Fig. 10.20). The small gain theorem is the most fundamental result in robust stabilization under unstructured perturbations. According to the small gain theorem any closed-loop system consisting of two stable subsystems G1 (s) and G2 (s) results in stable closed-loop system provided that G1 (iω)∞ G2 (iω)∞ < 1 .

G(s) = G0 (s) + W1 (s)(s)W2 (s) , where (s)∞ ≤ 1. Uncertainties extend the general control system configuration outlined earlier in Fig. 10.6. The nominal plant now is extended by a block representing the uncertainties and the feedback is still applied in parallel as Fig. 10.18 shows. This standard model removes (s), as well as the K(s) controller from the closed-loop system and lets P(s) represent the

187

W1 (s) Δ(s) W2 (s) r

K (s)

u

G0 (s)



Fig. 10.19 Control system with uncertainties

y

Part B 10.6

ilarly, closed-loop performance analysis based on the nominal process model can be qualified as nominal performance (NP) analysis. It is evident, however, that some uncertainty is always present in the model. Moreover, an important purpose of using feedback is even to reduce the effects of uncertainty involved in the model. The classical approach introduced the notions of the phase margin and gain margin as measures to handle uncertainty. However, these measures are rather crude and contradictory [10.13, 22]. Though they work fine in a number of practical applications, they are not capable of supporting the design for processes exhibiting unusual frequency behavior (e.g., slightly damped poles). The postmodern era of control theory places special emphasis on modeling of uncertainties. Specifically, wide classes of structured, as well as additively or multiplicatively unstructured uncertainties have been introduced and taken into account in the design procedure. Modeling, analysis, and synthesis methods have been developed under the name robust control [10.17, 23, 24]. Note that the linear quadratic regulator (LQR) design method inherits some measures of robustness, however, in general the pure structure of the LQR regulators does not guarantee stability margins [10.1, 6]. As far as the unstructured uncertainties are concerned, let G0 (s) denote the nominal transfer function matrix of the process. Then the true plant behavior can be expressed by

10.6 Robust Stability and Performance

188

Part B

Automation Theory and Scientific Foundations

W1 (s) Δ (s) W2 (s) – R(s)

Fig. 10.20 Reduced control system with uncertainties

Part B 10.6

Applying the small gain theorem to the stability analysis of the system shown in Fig. 10.20, the condition W2 (iω)R(iω)W1 (iω)(iω)∞ < 1 guarantees closed-loop stability. As W2 (iω)R(iω)W1 (iω)(iω)∞ ≤ W2 (iω)R(iω)W1 (iω)∞ ∞ and (iω)∞ ≤ 1 , thus the stability condition reduces to W2 (iω)R(iω)W1 (iω)∞ < 1 . To support the closed-loop design procedure for robust stability introduce the γ -norm W2 (iω)R(iω) W1 (iω) (iω)∞ = γ < 1. Finding K(s) such that the γ -norm is kept at its minimum the maximally stable robust controller can be constructed. The performance of a closed-loop system can be rather conservative in case of having structural information on the uncertainties. To avoid this drawback in the design procedure the so-called structural singular value is used instead of the H∞ norm (being equal to the maximum of the singular value). The structured singular value of a matrix M is defined as  = min(k| det(I − kMΔ) = 0 μΔ (M) −1 for structured Δ, σ(Δ) ≤ 1) , where Δ has a block-diagonal form of Δ = diag(. . . Δi . . .) and σ(Δ) ≤ 1. This definition suggests the following interpretation: a large value of μΔ (M) indicates that even a small perturbation can make the I − MΔ matrix singular. On the other hand a small value of μΔ (M) represents favorable conditions in this sense. The structured singular value can be considered as the generalization of the maximal singular value [10.6, 13]. Using the notion of the structured singular value the condition for robust stability (RS) can be formulated as follows. Robust stability of the closed-loop system is guaranteed if the maximum of the structured singular value of W2 (iω)R(iω)W1 (iω) lies within the unity uncertainty radius sup μΔ (W2 (iω)R(iω)W1 (iω)) < 1 . ω

Designing robust control systems is a far more involved task than testing robust stability. The design procedure minimizing the supremum of the structured singular value is called structured singular value synthesis or μ-synthesis. At this moment there is no direct method to synthesize a μ-optimal controller. Related algorithms to perform the minimization are discussed in the literature under the term DK-iteration [10.6]. In [10.6] not only a detailed discussion is presented, but also a MATLAB program is shown to provide better understanding of the iterations to improve the robust performance conditions. So far the robust stability issue has been discussed in this section. It has been shown that the closed-loop system remains stable, i. e., it is robustly stable, if stability is guaranteed for all possible uncertainties. In a similar way, the notion of robust performance (RP) is to be worked out. The closed-loop system exhibits robust performance if the performance measures are kept within a prescribed limit even for all possible uncertainties, including the worst case, as well. Design considerations for the robust performance have been illustrated in Fig. 10.18. As the system performance is represented by the signal z, robust performance analysis is based on investigating the relation between the external input signal w and the performance output z z = F[G(s), K(s), Δ(s)]w . Defining a performance measure on the transfer function matrix F[G(s), K(s), Δ(s)] by J[F(G, K, Δ)] the performance of the transfer function from the exogenous inputs w and to outputs z can be calculated. The maximum of the performance – even in the worst case possibly delivered by the uncertainties – can be evaluated by sup{J[F(G, K, Δ)] : Δ∞ < 1} . Δ

Based on this value the robust performance of the system can be judged. If the robust performance analysis is to be performed in H∞ sense, the measure to be applied is J∞ = F[G(iω), K(iω), Δ(iω)]∞ . In this case the prespecified performance can be normalized and the limit can be selected as 1. So equivalently, the robust performance requirement can be formulated

Control Theory for Automation – Advanced Techniques

Δ (s) yΔ

w

z

P(s) y K (s)

Fig. 10.21 Design for robust performance traced back to

robust stability

as F[G(iω), K(iω), Δ(iω)]∞ < 1 , ∀Δ(iω)∞ ≤ 1 .

Δp 0 0 Δ matrix of the uncertainties gives a pleasant way to trace back the robust performance problem to the robust stability problem. If robust performance synthesis is used the performance measure must be minimized. In this case μ-optimal design problem can be solved as an extended robust stability design problem.

10.7 General Optimal Control Theory In the previous sections design techniques have been presented to control linear or linearized plants. Minimization of L 2 , H2 or H∞ loss functions all resulted in linear control strategies. In practice, however, both the processes and the control actions are mostly nonlinear, e.g., control inputs are typically constrained or saturated in various technologies, and time-optimal control needs to alter the control input instantaneously. To cover a wider class of control problems the control tasks minimizing loss functions can be formulated in a more general framework [10.25–31]. Restricted to deterministic problems consider the following process to be controlled x˙ (t) = f (x(t), u(t), t) ,

0≤t≤T , x(0) : given ,

where x(t) denotes the state variables available for state feedback, and u(t) is the control input. The control performance is expressed via the loss function constructed by penalizing terms VT and V T J = VT (x(T ), T ) +

V [x(t), u(t), t] dt . 0

Designing an optimal controller is equivalent to minimize the above loss function. Denote by J ∗ (x(t), t) the optimal value of the loss function while the system is governed from an initial

state x(0) to a final state x(T ). The principle of dynamic programming [10.32] determines the optimal control law by   ∂J ∗ [x(t), t] f [x(t), u(t), t] min V [x(t), u(t), t] +  u∈U ∂x ∗ ∂J [x(t), t] , =− ∂t where the optimal loss function satisfies J ∗ [x(T ), T ] = VT [x(T ), T ] . The equation of the optimal control law is called the Hamilton–Jacobi–Bellman (HJB) equation in the control literature. Note that the L 2 and H2 optimal control policies discussed earlier can be regarded as the special case of the dynamic programming, where the process is linear and the loss function is quadratic, and moreover the control horizon is infinitely large and the control input is not restricted. Thus the linear system x˙ (t) = Ax(t) + Bu(t) with the loss function ∞  1    x Qx x + u Ru u dt J= 2 0

requires the optimal control via state-variable feedback 

u(t) = −R−1 u B Px(t) ,

Part B 10.7

u

189

Robust performance analysis can formally be traced back to robust stability analysis. In this case a fictitious Δp uncertainty block representing the nominal performance requirements should be inserted across w and z (Fig. 10.21). Then introducing the

Δ p (s)



10.7 General Optimal Control Theory

190

Part B

Automation Theory and Scientific Foundations

1

1

1

–1

–1

–1 1

1

1

–1

–1

–1

Part B 10.7

Fig. 10.22 Relay, relay with dead zone, and saturation function to be applied for each vector entry

where Qx ≥ 0 and Ru > 0, and finally the P matrix is derived as the solution of the following algebraic Riccati equation A



 P + PA − PBR−1 u B P + Qx

=0.

At this point it is important to restate the importance of stabilizability and detectability conditions. Without satisfying these conditions, an optimizing controller merely optimizes the cost function and may not stabilize the closed-loop system. In particular, for the LQR problem just discussed, it is important to state that {A, B} is 1/2 stabilizable and {A, Qx } is detectable. The HJB equation can be reformulated. To do so, introduce the auxiliary variable λ(t) along the optimal trajectory by ∂J[x(t), t] . ∂x Apply λ(t) to define the following Hamiltonian function λ(t) =



H(x, u, t) =V (x, u, t) + λ f (x, u, t) . Then according to the Pontryagin minimum principle ∂H = x˙ (t) , ∂λ ∂H ˙ , = −λ(t) ∂x as well as u∗ (t) = arg min H u∈U

hold. (Note that if the control input is not restricted then the last equation can be written as ∂H/∂u = 0.) Applying the minimum principle for time-invariant linear dynamic systems with constrained input (|u i | ≤ 1, i = 1 . . . n u ), various loss functions will lead to special

optimal control laws. Having the Hamiltonian function in a general form of 

H(x, u) = V (x, u) + λ (Ax + Bu) , various optimal control strategies can be formulated by assigning suitable V (x(t), t) loss functions:

• • •

If the goal is to achieve minimal transfer time, then assign V (x, u) = 1. If the goal is to minimize fuel consumption, then  assign V (x, u) = u sign (u). If the goal is to minimize energy consumption, then  assign V (x, u) = 12 u u.

Then the application of the minimum principle provides closed forms for the optimal control, namely:

• • •



Minimal transfer time requires u0 (t) = −sign (B λ) (relay control) Minimal fuel consumption requires u0 (t) = −sgzm  (B λ) (relay with dead zone) Minimal energy consumption requires u0 (t) =  −sat (B λ) (saturation).

These examples clarify that even for linear systems the optimal control policies can be (and typically are) nonlinear. The nonlinear relations participating in the above control laws are shown in Fig. 10.22. Dynamic programming is a general concept allowing the exact mathematical handling of various control strategies. Apart from the simplest cases, however, the optimal control law needs demanding computer-aided calculations. In the next section a special class of optimal controllers will be considered. These controllers are called predictive controllers and they require restricted calculation complexity, however, result in good performance.

Control Theory for Automation – Advanced Techniques

10.8 Model-Based Predictive Control

191

10.8 Model-Based Predictive Control

y(k ˆ + 1) = func[u(k), u(k − 1), u(k − 2), u(k − 3), . . .] . Repeating the above one-step-ahead prediction for further time instants as

at time k y∗ (k + 1) = func[u(k) = u(k − 1), u(k − 1), u(k − 2), u(k − 3), . . .] , ∗ y (k + 2) = func[u(k + 1) = u(k − 1), u(k) = u(k − 1), u(k − 1), u(k − 2), . . .] , y∗ (k + 3) = func[u(k + 2) = u(k − 1), u(k + 1) = u(k − 1), u(k) = u(k − 1), u(k − 1), . . .] , .. . Using the free response just introduced above the predicted process outputs can be expressed by y(k ˆ + 1) = s1 Δu(k) + y∗ (k + 1) y(k ˆ + 2) = s1 Δu(k + 1) + s2 Δu(k) + y∗ (k + 2) y(k ˆ + 3) = s1 Δu(k + 2) + s2 Δu(k + 1) + s3 Δu(k) + y∗ (k + 3) , .. . where Δu(k + i) = u(k + i) − u(k + i − 1) , and si denotes the i-th sample of the discrete-time-step response of the process. Now a more compact form of Predicted value = Forced response + Free response is looked for in vector/matrix form

y(k ˆ + 2) = func[u(k + 1), u(k), u(k − 1), u(k − 2), . . .] , y(k ˆ + 3) = func[u(k + 2), u(k + 1), u(k), u(k − 1), . . .] , .. . requires the knowledge of future control actions u(k + 1), u(k + 2), u(k + 3), . . . , as well. Introduce the free response involving the future values of the process input obtained provided no change occurs in the control input



⎞ ⎞⎛ ... Δu(k) ⎟ ⎟⎜ . . .⎟ ⎜Δu(k + 1)⎟ ⎟ ⎟⎜ ⎟ ⎜ . . .⎟ ⎠ ⎝Δu(k + 2)⎠ .. .. . . ⎞ ∗ y (k + 1) ⎜ ∗ ⎟ ⎜ y (k + 2)⎟ ⎟ +⎜ ∗ ⎜ y (k + 3)⎟ . ⎝ ⎠ .. .

⎞ ⎛ y(k s1 ˆ + 1) ⎜ ⎟ ⎜ ⎜ y(k ˆ + 2)⎟ ⎜s2 ⎜ ⎟=⎜ ⎜ y(k ⎟ ⎜ ⎝ ˆ + 3)⎠ ⎝s3 .. .. . . ⎛

0 s1 s2 .. .

0 0 s1 .. .

Part B 10.8

As we have seen so far most control system design methods assume a mathematical model of the process to be controlled. Given a process model and knowledge on the external system inputs the process output can be predicted to some extent. To characterize the behavior of the closed-loop system a combined loss function can be constructed from the values of the predicted process outputs and that of the associated control inputs. Control strategies minimizing this loss function are called model-based predictive control (MPC). Related algorithms using special loss functions can be interpreted as an LQ (linear quadratic) problem with finite horizon. The performance of well-tuned predictive control algorithms is outstanding for processes with dead time. Specific model-based predictive control algorithms are also known as dynamic matrix control (DMC), generalized predictive control (GPC), and receding horizon control (RHC) [10.33–41]. Due to the nature of the model-based control algorithms the discrete-time (sampled-data) version of the control algorithms will be discussed in the sequel. Also, most of the detailed discussion is reduced for SISO systems in this section. The fundamental idea of predictive control can be demonstrated through the DMC algorithm [10.40], where the process output sample y(k + 1) is predicted by using all the available process input samples up to the discrete time instant k (k = 0, 1, 2 . . .) via a linear function func

192

Part B

Automation Theory and Scientific Foundations

Apply here the following notations ⎛ ⎞ s1 0 0 . . . ⎜ ⎟ ⎜s2 s1 0 . . .⎟ ⎟ S=⎜ ⎜s3 s2 s1 . . .⎟ , ⎝ ⎠ .. .. .. . . . . . .

then the control signal becomes    Δu(k) = 1(S S + λI)−1 S Y ref − Y ∗ , where

Part B 10.8



Yˆ = [ y(k ˆ + 1), y(k ˆ + 2), y(k ˆ + 3), . . .] , 

ΔU = [Δu(k), Δu(k + 1), Δu(k + 2), . . .] , 

Y ∗ = [y∗ (k + 1), y∗ (k + 2), y∗ (k + 3), . . .] . Utilizing these notations Yˆ = SΔU + Y ∗ holds. Assuming that the reference signal (set point) yref (k) is available for the future time instants, define the loss function to be minimized by Ny



2

yref (k + i) − y(k ˆ + i)

.

i=1

If no restriction for the control signal is taken into account the minimization leads to   ΔU opt = S−1 Y ref − Y ∗ , where Y ref has been constructed from the samples of the future set points. The receding horizon control concept utilizes only the very first element of the ΔU opt vector according to Δu(k) = 1ΔU opt , where 1 = (1, 0, 0, . . .). Observe that RHC needs to recalculate Y ∗ and to update ΔU opt in each step. The application of the RHC algorithm results in zero steadystate error; however, it requires considerable control effort while minimizing the related loss function. Smoothing in the control input can be achieved:

• •

By extending the loss function with another component penalizing the control signal or its change By reducing the control horizon as follows ΔU = [Δu(k), Δu(k + 1), Δu(k + 2), . . . , 

Δu(k + Nu − 1), 0, 0, . . . , 0] . Accordingly, define the loss function by Ny



2

yref (k + i) − y(k ˆ + i)

i=1



Nu

[u(k + i) − u(k + i − 1)]2 , i=1



s1 s2 s3 .. .

0 s1 s2 .. .

... ... ... .. .

0 0 0 .. .

0 0 0 .. .



⎜ ⎟ ⎜ ⎟ ⎜ ⎟ ⎜ ⎟ ⎜ ⎟ S=⎜ ⎟. ⎜ ⎟ ⎜ ⎟ ⎜ ⎟ ⎝s N y −1 s N y −2 . . . s N y −Nu +1 s N y −Nu ⎠ s N y s N y −1 . . . s N y −Nu +2 s N y −Nu +1 All the above relations can easily be modified to cover the control of processes with known time delay. Simply replace y(k + 1) by y(k + d + 1) to consider y(k + d + 1) as the earliest sample of the process output effected by the control action taken at time k, where d > 0 represents the discrete time delay. As the idea of the model-based predictive control is quite flexible, a number of variants of the above discussed algorithms exist. The tuning parameters of the algorithm are the prediction horizon N y , the control horizon Nu , and the λ penalizing factor. Predictions related to disturbances can also be included. Just as an example, a loss function      Yˆ − Y ref W y Yˆ − Y ref + U c Wu U c can be assigned to incorporate weighting matrices Wu and W y , respectively, and a reduced version of the control signals can be applied according to U = Tc U c , where Tc is an a priori defined matrix typically containing zeros and ones. Then minimization of the loss function results in    −1   Tc S W y Δu(k) = 1Tc Tc S W y STc + Wu ∗ (Y ref − Y ) . Constraints existing for the control input open a new class for the control algorithms. In this case a quadratic programming problem (conditional minimization of a quadratic loss function to satisfy control constraints represented by inequalities) should be solved in each step. In detail, the loss function      Yˆ − Y ref W y Yˆ − Y ref + U c Wu U c is to be minimized again by U c , where Yˆ = STc U c + Y ∗

Control Theory for Automation – Advanced Techniques

under the constraint Δu min ≤ Δu( j) ≤ Δu max u min ≤ u( j) ≤ u max .

or

C(q −1 ) ζk . Δ where A(q −1 ), B(q −1 ), and C(q −1 ) are polynomials of the backward shift operator q −1 , and Δ = 1 − q −1 . Moreover, ζk is a discrete-time white-noise sequence. Then the conditional expected value of the loss function     E Yˆ − Y ref W y (Yˆ − Y ref ) + U c Wu U c |k A(q −1 )y(k) = B(q −1 )u(k − d) +

is to be minimized by U c . Note that model-based predictive control algorithms can be extended for MIMO and nonlinear systems. While LQ design supposing infinite horizon provides stable performance, predictive control with finite horizon using receding horizon strategy lacks stability guarantees. Introduction of terminal penalty in the cost function including the quadratic deviations of the states from their final values is one way to ensure stable performance. Other methods leading to stable performance with detailed stability analysis, as well as proper handling of constraints, are discussed in [10.35, 36, 42], where mainly sufficient conditions have been derived for stability. For real-time applications fast solutions are required. Effective numerical methods to solve optimization problems with reduced computational demand and suboptimal solutions have been developed [10.33]. MPC with linear constraints and uncertainties can be formulated as a multiparametric programming problem, which is a technique to obtain the solution of an optimization problem as a function of the uncertain parameters (generally the states). For the different ranges of the states the calculation can be executed offline [10.33,43]. Different predictive control approaches for robust constrained predictive control of nonlinear systems are also in the forefront of interest [10.33, 44].

10.9 Control of Nonlinear Systems In this section results available for linear control systems will be extended for a special class of nonlinear systems. For the sake of simplicity only SISO systems will be considered. In practice all control systems exhibit nonlinear behavior to some extent [10.45, 46]. To avoid facing problems caused by nonlinear effects linear models around a nominal operating point are considered. In fact, most systems work in a linear region for small changes. However, at various operating points the linearized models are different from each other according to the nonlinear nature. In this section transformation methods will be discussed making the operation of nonlinear dynamic systems linear over the complete range of their operation. Clearly, this treatment, even though the original process to be controlled remains nonlinear, will allow us to apply all the design techniques developed for linear systems. As a common feature the

transformation methods developed for a special class of nonlinear systems all apply state-variable feedback. In the past decades a special tool, called Lie algebra, was developed by mathematicians to extend notions such as controllability or observability for nonlinear systems [10.45]. The formalism offered by the Lie algebra will not be discussed here; however, considerations behind the application of this methodology will be presented.

10.9.1 Feedback Linearization Define the state vector x ∈ Rn and the mappings { f (x), g(x) : Rn → Rn } as functions of the state vector. Then the Lie derivative of g(x) is defined by = L f g(x)

∂g(x) ∂x



f (x)

193

Part B 10.9

The classical DMC approach is based on the samples of the step response of the process. Obviously, the process model can also be represented by a unit impulse response, a state-space model or a transfer function. Consequently, beyond the control input, the process output prediction can utilize the process output, the state variables or the estimated state variables, as well. Note that the original DMC is an open-loop design method in nature, which should be extended by a closed-loop aspect or be combined with an IMC-compatible concept to utilize the advantages offered by the feedback concept. A further remark relates to stochastic process models. As an example, the generalized predictive control concept [10.38, 39] applies the model

10.9 Control of Nonlinear Systems

194

Part B

Automation Theory and Scientific Foundations

and the Lie product of g(x) and f (x) is defined by ad f g(x) =

∂g(x) 

f (x) −

∂ f (x)

∂x ∂x ∂g(x) = L f g(x) − f (x) .  ∂x



g(x)

Part B 10.9

Consider now a SISO nonlinear dynamic system given by

where x = (x1 , x2 , . . . , xn ) is the state vector, u is the input, and y is the output, while f , g, and h are unknown smooth nonlinear functions with { f (x), g(x) : Rn → Rn }, {h(x) : Rn → R}, and f (0) = 0. The above SISO system has relative degree r at a point x0 if: L g L kf h(x) = 0 for all x in a neighborhood of x0 and for all k < r − 1 L g L r−1 f h(x0 ) = 0.

It can be shown that the above system equation can be transformed to a form having identical structure for the first r entries z˙1 = z 2 , z˙2 = z 3 , .. . z˙r−1 = zr , z˙r = a(z) + b(z)u , z˙r+1 = qr+1 (z) , .. . z˙n = qn (z) , and y = z1 , where the last n − r equations are called the zero dynamics. The above equation will be referred to later on as canonical form, where the new state vector is  z = (z 1 , z 2 , . . . , z n ) . Using the Lie derivatives, a(z) and b(z) can be found by a(z) = L g L r−1 f h(x) , b(z) = L rf h(x) .

∂L r−2 f h(x) ∂x



x˙ = L r−1 f h(x) .

Assuming that 



z 1 = T1 (x) = y = h(x) , ∂h z 2 = T2 (x) = y˙ =  x˙ = L f h(x) , ∂x .. . zr = Tr (x) = y(r) =

x˙ = f (x) + g(x)u , y = h(x) ,



The normal form related to the original system equations can be defined by the diffeomorphism T as follows

L g h(x) = 0 , L g L f h(x) = 0 , .. . L g L r−2 f h(x) = 0 , all the remaining Tr+1 (x), . . . , Tn (x) elements of the transformation matrix can be determined in a similar way. The geometric conditions for the existence of such a global normal form have been studied in [10.45]. Now, concerning the feedback linearization, the following result serves as a starting point for further analysis: a nonlinear system with the above assumptions can be locally transformed into a controllable linear system by state feedback. The transformation of coordinates can be achieved if and only if  rank g(x0 ), ad f g(x0 ), . . . , adn−1 f g(x0 ) = n at a given x0 point and  g, ad f g, . . . , adn−2 f g is involutive near x0 . Introducing v = z˙r and using the canonical form it is seen that the feedback according to u = [v − a(z)]/b(z) results in a linear relationship between v and y in such a way that v is simply the r-th derivative of y. In other words the linearizing feedback establishes a relation from v to y equivalent to r cascaded integrators. Note that the outputs of the integrators determine r states, while the remaining (n − r) states correspond to the zero dynamics.

Control Theory for Automation – Advanced Techniques

10.9.2 Feedback Linearization Versus Linear Controller Design Assume a single-input single-output linear system given by the following state-space representation x˙ = Ax + Bu , y = Cx . The derivatives of the output can sequentially be generated as y = Cx , y˙ = C˙x = CAx , y¨ = CA˙x = CA2 x , .. . y(r) = CAr−1 x˙ = CAr x + CAr−1 Bu , where CAi B = 0 for i < r − 1 and CAr−1 B = 0 with r being the relative degree. Note that r is invariant to similarity transformations. Observe that this derivation is in close harmony with the canonical form derived earlier. The conditions of the exact state control (r = n) are that:

• •

The system is controllable: rank(B, AB, A2 B, . . .) = n The relative degree is equal to the system order (r = n), which could be interpreted as the involutive condition for the linear case.

A more direct relation between the feedback linearization and the linear control design is seen if the linear system is assumed to be given by an input–output (transfer function) representation Bn−r (s) y = , u An (s) where the transfer function of the process has appropriate Bn−r (s) and An (s) polynomials of the complex frequency operator s. The subindices refer to the degree of the polynomials. If the system has a relative degree

195

of r, the feedback generates y(r) = v , which is formally equivalent to a series compensator of An (s) 1 . C(s) = Bn−r (s) sr The above compensator is realizable in the sense that the numerator and the denominator polynomials are of identical order n. To satisfy practical needs when realizing a controller in a stable manner it is required that the zeros of the process must be in the left half plane. This condition is equivalent to the requirement that the zero dynamics remains exponentially stable as the feedback linearization has been performed.

10.9.3 Sliding-Mode Control Coming back to the nonlinear case, now a solution is looked for to transform nonlinear dynamic systems via state-variable feedback to dynamic systems with relative degree of 1. Achieving this goal the nonlinear dynamic system with n state variables could be handled as one single integrator, which is evidently easy to control [10.47]. The key point of the solution is to create a fictitious system with relative degree of 1. Additionally, it will be explained that the internal dynamics of the closed-loop system can be defined by the designer. For the sake of simplicity assume that the nonlinear system is given in controllable form by y(n) = f (x) + g(x)u , where the state variables are x = (y, y, ˙ y, ¨ . . . , y(n−1) ) . Create the fictitious output signal as the linear combination of these state variables 

z = h x = h 0 y + h 1 y˙ + h 2 y¨ + . . . + y(n−1) . Now use the method elaborated earlier for the feedback linearization. Consider the derivative of the fictitious output signal and, taking y(n) = f (x) + g(x)u into account, 

...

z˙ = h x˙ = h 0 y˙ + h 1 y¨ + h 2 y + . . . + f (x) + g(x)u is obtained. Observe that z˙ appears to be a function of the input u, meaning that the relative degree of the fictitious system is 1. Now expressing u, and just as before, introducing the new input signal v, ... 1  v − h 0 y˙ − h 1 y¨ − h 2 y − . . . − h n−2 y(n−1) u= g(x)  − f (x)

Part B 10.9

The importance of the feedback linearization lies in the fact that the linearized structure allows us to apply the wide selection in the control design methods available for linear systems. Before going into the details of such applications an engineering interpretation of the design aspects of the feedback linearization will be given. Specifically, the feedback linearization technique developed for nonlinear systems will be applied for linear plants.

10.9 Control of Nonlinear Systems

196

Part B

Automation Theory and Scientific Foundations

is obtained. Consequently, the complete system can become realized as one single integrator by z˙ = v. The internal dynamics of the closed-loop system is governed by the h i coefficients. Using Laplace transforms and defining H(s) = h 0 + h 1 s + . . . + h n−2 sn−2 + sn−1 ,

Part B 10.10

z(s) can be expressed by z(s) = H(s)y(s) . Introduce the z ref reference signal for z z(s) ˜ = z(s) − z ref (s) = H(s)[y(s) − yref (s)] = H(s) y(s) ˜ , where z ref (s) = H(s)yref (s) . The question is, what happens to y(t) if ˜ = L −1 [ y(s)] ˜ tends to zero in steady state. Clearly, z(t) ˜ ˜ = L −1 [z(s)] having all the roots of H(s) on the left half plane, y(t) ˜ will tend to zero together with z(t) ˜ according to 1 z(s) y(s) ˜ . ˜ = H(s) Both y(t) → yref (t) and z(t) ˜ → 0 is highly expected from a well-designed control loop. In addition, the dynamic behavior of the y(t) → yref (t) transient response depends on the location of the roots of H(s). One possible setting for H(s) is H(s) = (s + λ)n−1 . Note that in practice, taking model uncertainties into account, the relation between v and z becomes z˙ ≈ v. To see how to control an approximated integrator effectively recall the Lyapunov stability theory with the Lyapunov function 1 V (z(t)) = z(t) ˜ 2. ˜ 2 Then z(t) ˜ → 0 if V˙ (z) ˜ = z˙˜z˜ < 0; moreover, z(t) ˜ = 0 can be reached in finite time, if the above Lyapunov function satisfies V˙ (z) , ˜ < −η|z(t)| ˜

where η is some positive constant. By differentiating V (z) ˜ the above stability condition reduces to ˙˜ ≤ −η sign[z(t)] z(t) . ˜ The above control technique is called the reaching law. Here η is to be tuned by the control engineer to compensate the knowledge about the uncertainties involved. According to the above considerations the control loop discussed earlier should be extended with a discontinuous component given by , v(t) = −K s sign[z(t)] ˜ where K s > η. To reduce the switching gain and to increase the speed of convergence, the discontinuous part of the controller can be extended by a proportional feedback v(t) = −K s sign[z(t)] ˜ − K p z(t) ˜ . Note that the application of the above control law may lead to chattering in the control signal. To avoid this undesired phenomenon the saturation function is used instead of the signum function in practice. To conclude the discussion on sliding control it has been shown that this concept consists of two phases. In the first phase the sliding surface is to be reached (reaching mode), while in the second the system is controlled to move along the sliding surface (sliding mode). In fact, these two phases can be designed independently from each other. Reaching the sliding surface can be realized by appropriate switching elements. The way to reach the sliding surface can be modified via the parameters in the discontinuous part of the controller. Forcing the system to move along the sliding surface can be effected by assigning various parameters in the H(s). The algorithm shown here can be regarded as a special version of the variable-structure controller design.

10.10 Summary In this chapter advanced control system design methods have been discussed. Advanced methods reflect the current state of the art of the related applied research activity in the field and a number of advanced methods are available today for demanding control applications. One of the driving forces behind browsing among various advanced techniques has been the applicability of the

control algorithms for practical applications. Starting with the stability issues, then covering performance and robustness issues, MIMO techniques, optimal control strategies, and predictive control algorithms have been discussed. The concept of feedback linearization for certain nonlinear systems has also been shown. Sliding control as a representative of the class of variable-

Control Theory for Automation – Advanced Techniques

structure controllers has been outlined. To check the detailed operation of the presented control techniques

References

197

in numerical examples the reader is kindly asked to use the various toolboxes [10.48].

References

10.2

10.3 10.4

10.5 10.6 10.7 10.8

10.9

10.10

10.11

10.12

10.13 10.14 10.15

10.16

10.17 10.18

T. Kailath: Linear Systems (Prentice Hall, Upper Saddle River 1980) G.C. Goodwin, S.F. Graebe, M.E. Salgado: Control System Design (Prentice Hall, Upper Saddle River 2000) W.S. Levine (Ed.): The Control Handbook (CRC, Boca Raton 1996) B.G. Lipták (Ed.): Instrument Engineers’ Handbook, Process Control and Optimization, 4th edn. (CRC, Boca Raton 2006) P.K. Sinha: Multivariable Control (Marcel Dekker, New York 1984) S. Skogestad, I. Postlethwaite: Multivariable Feedback Control (Wiley, New York 2005) A.F. D’Souza: Design of Control Systems (Prentice Hall, Upper Saddle River 1988) R. Bars, P. Colaneri, L. Dugard, F. Allgöwer, A. Kleimenow, C. Scherer: Trends in theory of control system design, 17th IFAC World Congr., Seoul, ed. by M.J. Chung, P. Misra (IFAC Coordinating Committee on Design Methods, San Francisco 2008) pp. 93–104 K.J. Åström, B. Wittenmark: Computer-Controlled Systems: Theory and Design (Prentice Hall, Upper Saddle River 1997) G.C. Goodwin, R.H. Middleton: Digital Control and Estimation: a Unified Approach (Prentice Hall, Upper Saddle River 1990) R. Isermann: Digital Control Systems, Vol. I. Fundamentals, Deterministic Control (Springer, Berlin Heidelberg 1989) R. Isermann: Digital Control Systems, Vol. II. Stochastic Control, Multivariable Control, Adaptive Control, Applications (Springer, Berlin Heidelberg 1991) J.M. Maciejowski: Multivariable Feedback Design (Addison-Wesley, Indianapolis 1989) J.D. Aplevich: The Essentials of Linear State-Space Systems (Wiley, New York 2000) R.A. Decarlo: Linear Systems. A State Variable Approach with Numerical Implementation (Prentice Hall, Upper Saddle River 1989) D.C. Youla, H.A. Jabs, J.J. Bongiorno: Modern Wiener–Hopf design of optimal controller, IEEE Trans. Autom. Control 21, 319–338 (1976) M. Morari, E. Zafiriou: Robust Process Control (Prentice Hall, Upper Saddle River 1989) E.C. Garcia, M. Morari: Internal model control: 1. A unifying review and some new results, Ind. Eng. Chem. Process Des. Dev. 21, 308–323 (1982)

10.19 10.20 10.21 10.22 10.23 10.24

10.25 10.26 10.27 10.28 10.29 10.30

10.31 10.32

10.33 10.34 10.35 10.36 10.37 10.38

10.39

10.40

O.J.M. Smith: Close control of loops with dead time, Chem. Eng. Prog. 53, 217–219 (1957) V. Kuˇcera: Diophantine equations in control – a survey, Automatica 29, 1361–1375 (1993) J.B. Burl: Linear Optimal Control: H2 and H∞ Methods (Addison-Wesley, Indianapolis 1999) J.C. Doyle, B.A. Francis, A.R. Tannenbaum: Feedback Control Theory (Macmillan, London 1992) K. Zhou, J.C. Doyle, K. Glover: Robust and Optimal Control (Prentice Hall, Upper Saddle River 1996) M. Vidyasagar, H. Kimura: Robust controllers for uncertain linear multivariable systems, Automatica 22, 85–94 (1986) B.D.O. Anderson, J.B. Moore: Optimal Control (Prentice Hall, Upper Saddle River 1990) A.E. Bryson, Y. Ho: Applied Optimal Control (Hemisphere/Wiley, New York 1975) A.E. Bryson: Dynamic Optimization (AddisonWesley, Indianapolis 1999) H. Kwakernaak, R. Sivan: Linear Optimal Control Systems (Wiley-Interscience, New York 1972) F.L. Lewis, V.L. Syrmos: Optimal Control (Wiley, New York 1995) S.I. Lyashko: Generalized Optimal Control of Linear Systems with Distributed Parameters (Kluwer, Dordrecht 2002) D.S. Naidu: Optimal Control Systems (CRC, Boca Raton 2003) D.P. Bertsekas: Dynamic Programming and Optimal Control, Vol. I,II (Athena Scientific, Nashua 2001) E.F. Camacho, C. Bordons: Model Predictive Control (Springer, Berlin Heidelberg 2004) D.W. Clarke (Ed.): Advances in Model-Based Predictive Control (Oxford Univ. Press, Oxford 1994) J.M. Maciejowski: Predictive Control with Constraints (Prentice Hall, Upper Saddle River 2002) J.A. Rossiter: Model-Based Predictive Control – a Practical Approach (CRC, Boca Raton 2003) R. Soeterboek: Predictive Control – a Unified Approach (Prentice Hall, Upper Saddle River 1992) D.W. Clarke, C. Mohtadi, P.S. Tuffs: Generalised predictive control – Part 1. The basic algorithm, Automatica 23, 137 (1987) D.W. Clarke, C. Mohtadi, P.S. Tuffs: Generalised predictive control – Part 2. Extensions and interpretations, Automatica 23, 149 (1987) C.R. Cutler, B.L. Ramaker: Dynamic matrix control – a computer control algorithm, Proc. JACC (San Francisco 1980)

Part B 10

10.1

198

Part B

Automation Theory and Scientific Foundations

10.41

10.42

10.43

Part B 10

10.44

C.E. Garcia, D.M. Prett, M. Morari: Model predictive control: theory and practice – a survey, Automatica 25, 335–348 (1989) D.Q. Mayne, J.B. Rawlings, C.V. Rao, P.O.M. Scokaert: Constrained model predictive control: stability and optimality, Automatica 36, 789–814 (2000) F. Borrelli: Constrained Optimal Control of Linear and Hybrid Systems (Springer, Berlin Heidelberg 2003) T.A. Badgewell, S.J. Qin: Nonlinear Predictive Control Chapter: Review of Nonlinear Model Pre-

10.45 10.46 10.47 10.48

dictive Control Application, IEE Control Eng. Ser., Vol. 61, ed. by M.B. Cannon (Kouvaritabis, London 2001) A. Isidori: Nonlinear Control Systems (Springer, Berlin Heidelberg 1995) J.J.E. Slotine, W. Li: Applied Nonlinear Control (Prentice Hall, Upper Saddle River 1991) V.I. Utkin: Sliding Modes in Control and Optimization (Springer, Berlin Heidelberg 1992) Control system toolbox for use with MATLAB. User’s guide (The Math Works Inc. 1998)

199

Control of Un 11. Control of Uncertain Systems

˙ Jianming Lian, Stanislaw H. Zak

Automation is commonly understood as the replacement of manual operations by computer-based methods. Automation is also defined as the condition of being automatically controlled or operated. Thus control is an essential ingredient of automation. The goal of control is to specify the controlled system inputs that force the system outputs to behave in a prespecified manner. This specification of the appropriate system inputs is realized by a controller being developed by a control engineer. In any controller design problem, the first step is to construct the so-called truth model of the dynamics of the process to be controlled, where the process is often referred to as the plant. Because the truth model contains all the relevant characteristics of the plant, it is too complicated to be used for the controller design and is mainly used as a simulation model to test the performance of the developed controller [11.1]. Thus, a simplified model

11.1

Background and Overview ..................... 200

11.2 Plant Model and Notation ..................... 203 11.3 Variable-Structure Neural Component .... 11.3.1 Center Grid .................................. 11.3.2 Adding RBFs ................................ 11.3.3 Removing RBFs ............................ 11.3.4 Uniform Grid Transformation ......... 11.3.5 Remarks......................................

203 205 205 207 208 208

11.4 State Feedback Controller Development .. 209 11.4.1 Remarks...................................... 211 11.5 Output Feedback Controller Construction 211 11.6 Examples ............................................. 213 11.7 Summary ............................................. 216 References .................................................. 217

that contains the essential features of the plant has to be derived to be used as design model for the controller design. However, it is often infeasible in real applications to obtain a quality mathematical model because the underlying dynamics of the plant may not be understood well enough. Thus, the derived mathematical model may contain uncertainties, which may come from lack of parameter values, either constant or time varying, or result from imperfect knowledge of system inputs. In addition, the inaccurate modeling can introduce uncertainties to the mathematical model as well. Examples of uncertain systems are robotic manipulators or chemical reactors [11.2]. In robotic manipulators, inertias as seen by the drive motors vary with the end-effector position and the load mass so that the robot’s dynamical model varies with the robot’s attitude. For chemical reactors, their transfer functions vary according to the mix of reagents

Part B 11

Novel direct adaptive robust state and output feedback controllers are presented for the output tracking control of a class of nonlinear systems with unknown system dynamics and disturbances. Both controllers employ a variable-structure radial basis function (RBF) network that can determine its structure dynamically to approximate unknown system dynamics. Radial basis functions are added or removed online in order to achieve the desired tracking accuracy and prevent to network redundancy. The raised-cosine RBF is employed to enable fast and efficient training and output evaluation of the RBF network. The direct adaptive robust output feedback controller is constructed by utilizing a high-gain observer to estimate the tracking error for the controller implementation. The closed-loop systems driven by the variable neural direct adaptive robust controllers are actually switched systems.

200

Part B

Automation Theory and Scientific Foundations

and catalysts in the vessel and change as the reaction progresses [11.2]. Hence, effective approaches to the

control of uncertain systems with high performance are in demand.

11.1 Background and Overview

Part B 11.1

One approach to the control of uncertain systems is socalled deterministic robust control. Deterministic robust controllers use fixed nonlinear feedback control to ensure the stability of the closed-loop system over a specified range of a class of parametric variations [11.3]. Deterministic control includes variable-structure control and Lyapunov min–max control. Variable structure control was first introduced by Emel’yanov et al. in the early 1960s. It is a nonlinear switching feedback control, which has discontinuity on one or more manifolds in the state space [11.4]. A particular type of variablestructure control is sliding mode control [11.5,6]. Under sliding mode control, the system states are driven to and are then constrained within a neighborhood of the intersection of all the switching manifolds. The Lyapunov min–max control was proposed in [11.7], where nonlinear controllers are developed based on Lyapunov functions and uncertainty bounds. Deterministic robust controllers can guarantee transient performance and final tracking accuracy by compensating for parametric uncertainties and input disturbances with robustifying components. However, they usually involve high-gain feedback or switching, which, in turn, results in highfrequency chattering in the responses of the controlled systems. On the other hand, high-frequency chattering may also excite the unmodeled high-frequency dynamics. Smoothing techniques to eliminate high-frequency chattering were proposed in [11.8]. The resulting controllers are continuous within a boundary layer in the neighborhood of the switching manifold so that the high-frequency chattering can be prevented. However, this is achieved at the price of degraded control performance. Another effective approach to the control of uncertain systems is adaptive control [11.9–11]. Adaptive controllers are different from deterministic robust controllers because they have a learning mechanism that adjusts the controller’s parameters automatically by adaptation laws in order to reduce the effect of the uncertainties. There are two kinds of adaptive controllers: indirect and direct adaptive controllers. In indirect adaptive control strategies, the plant’s parameters are estimated online and the controller’s parameters are adjusted based on these estimates, see [11.12, p. 14] and [11.13, p. 14]. In contrast, in direct adaptive con-

trol strategies, the controller’s parameters are directly adjusted to improve a given performance index without the effort to identify the plant’s parameters [11.12, p. 14]. Several adaptive controller design methodologies for uncertain systems have been introduced such as adaptive feedback linearization [11.14, 15], adaptive backstepping [11.11, 16–18], nonlinear damping and swapping [11.19] and switching adaptive control [11.20–22]. Adaptive controllers are capable of achieving asymptotic stabilization or tracking for systems subject to only parametric uncertainties without high-gain feedback. However, the adaptation laws of adaptive controllers may lead to instability even when small disturbances appears [11.23]. When adaptive controllers utilize function approximators to approximate unknown system dynamics, the robustness of the adaptation laws to approximation errors needs to be considered as well. The robustness issues make the applicability of adaptive controllers questionable, because there are always disturbances, internal or external, in real systems. To address this problem, robust adaptive controllers were developed to ensure the stability of adaptive controllers [11.23–26]. However, there is a disadvantage shared by adaptive controllers and robust adaptive controllers: their transient performance cannot be guaranteed and the final tracking accuracy usually depends on the approximation errors and disturbances. Thus, adaptive robust controllers, which effectively combine the design techniques of adaptive control and deterministic robust control, were proposed [11.27–33]. In particular, various adaptive (robust) control strategies for feedback linearizable uncertain systems have been proposed. A feedback linearizable system model can be transformed into equivalent linear models by a change of coordinates and a static-state feedback so that linear control design methods can be applied to achieve the desired performance. This approach has been successfully applied to the control of both single-input single-output (SISO) systems [11.10, 27– 29, 32–40] and multi-input multi-output (MIMO) systems [11.41–46]. The above adaptive (robust) control strategies have been developed under the assumption that all system states are available in the controller implementation. However, in practical applications, only the system outputs are usually available. To overcome

Control of Uncertain Systems

11.1 Background and Overview

Table 11.1 Limitations of different tracking control

Table 11.2 Advantages of different tracking control

strategies

strategies

L1

Prior knowledge of f and/or g

A1

Uses system outputs only

L2

No disturbance

A2

Guaranteed transient performance

L3

Needs fuzzy rules describing the system operation

A3

Guaranteed final tracking accuracy

L4

Requires offline determination of the appropriate

A4

Avoids defining basis functions

network structure

A5

No need for offline neural network structure

L5

Availability of the plant states

L6

Restrictive assumptions on the controller architecture

L7

Tracking performance depends on function approximation error

determination A6

Removes the controller singularity problem completely

terized by simpler structures, faster computation time, and superior adaptive performance. Variable-structure neural-network-based adaptive (robust) controllers have recently been proposed for SISO feedback linearizable uncertain systems. In [11.52], a constructive waveletnetwork-based adaptive state feedback controller was developed. In [11.53–56], variable-structure RBF networks are employed in the adaptive (robust) controller design. Variable-structure RBF networks preserve the advantages of the RBF network and, at the same time, overcome the limitations of fuzzy-logic systems and the fixed-structure RBF network. In [11.53], a growing RBF network was utilized for function approximation, and in [11.54–57] self-organizing RBF networks that can both grow and shrink were used. However, all these variable-structure RBF networks are subject to the problem of infinitely fast switching between different structures because there is no time constraint on two consecutive switchings. To overcome this problem, a dwelling-time requirement is introduced into the structure variation of the RBF network in [11.58]. In this chapter, the problem of output tracking control is considered for a class of SISO feedback linearizable uncertain systems modeled by ⎧ ⎪ i = 1, . . . , n − 1 ⎪ ⎨x˙i = xi+1 , (11.1) x˙n = f (x) + g(x)u + d ⎪ ⎪ ⎩ y = x1 , 

where x = (x1 , . . . , xn ) ∈ Rn is the state vector, u ∈ R is the input, y ∈ R is the output, d models the disturbance, and f (x) and g(x) are unknown functions with g(x) bounded away from zero. A number of adaptive (robust) tracking control strategies have been reported in the literature. In this chapter, novel direct adaptive robust state and output feedback controllers are presented. Both controllers employ the variable-structure RBF network presented in [11.58], which is an improved version of the network considered in [11.56, 57], for

Part B 11.1

the problem of inaccessibility of the system states, output feedback controllers that employ state observers in feedback implementation were developed. In particular, a high-gain observer has been employed in the design of output feedback-based control strategies for nonlinear systems [11.38, 46–51]. The advantage of using a high-gain observer is that the control problem can be formulated in a standard singular perturbation format and then the singular perturbation theory can be applied to analyze the closed-loop system stability. The performance of the output feedback controller utilizing a high-gain observer would asymptotically approach the performance of the state feedback controller [11.49]. To deal with dynamical uncertainties, adaptive (robust) control strategies often involve certain types of function approximators to approximate unknown system dynamics. The use of fuzzy-logic systems for function approximation has been introduced [11.28, 29, 32, 33, 36, 39, 40, 42–44, 46]. However, the fuzzy rules required by the fuzzy-logic systems may not be available. On the other hand, one-layer neural-networkbased adaptive (robust) control approaches have been reported [11.26, 27, 34, 38] that use radial basis function (RBF) networks to approximate unknown system dynamics. However, fixed-structure RBF networks require offline determination of the appropriate network structure, which is not suitable for the online operation. In [11.10,35,41], multilayer neural-network-based adaptive robust control strategies were proposed to avoid some limitations associated with one-layer neural network such as defining a basis function set or choosing some centers and variations of radial basis type of activation functions [11.35]. Although it is not required to define a basis function set for multilayer neural network, it is still necessary to predetermine the number of hidden neurons. Moreover, compared with multilayer neural networks, RBF networks are charac-

201

202

Part B

Automation Theory and Scientific Foundations

Table 11.3 Types of tracking control strategies T1

Direct state feedback adaptive controller

T2

Direct output feedback adaptive controller

T3

Includes robustifying component

T4

Fuzzy logic system based function approximation

T5

Fixed-structure neural-network-based function approximation

T6

Multilayer neural-network-based function approximation

T7

Variable-structure neural-network-based function approximation

Part B 11.1

function approximation. This variable-structure RBF network avoids selecting basis functions offline by determining its structure online dynamically. It can add or remove RBFs according to the tracking performance in order to ensure tracking accuracy and prevent network redundancy simultaneously. Moreover, a dwelling-time requirement is imposed on the structure

variation to avoid the problem of infinitely fast switching between different structures as in [11.52, 59]. The raised-cosine RBF presented in [11.60] is employed instead of the commonly used Gaussian RBF because the raised-cosine RBF has compact support, which can significantly reduce computations for the RBF network’s training and output evaluation [11.61]. The direct adaptive robust output feedback controller is constructed by incorporating a high-gain observer to estimate the tracking error for the controller implementation. The closed-loop systems driven by the direct adaptive robust controllers are characterized by guaranteed transient performance and final tracking accuracy. The lists of limitations and advantages of different tracking control strategies found in the recent literature are given in Tables 11.1 and 11.2, respectively. In Table 11.3, different types of tracking control strategies are listed. In Table 11.4, these tracking control strategies are compared with each other. The control strategy in this chapter shares the same disadvantages and advantages as that in [11.56, 57].

Table 11.4 Comparison of different tracking control strategies Controller

Reference

types [11.50] T2 T3

[11.62] [11.49]

Limitations L1 √

L2 √









[11.40] T1 T3 T4

[11.32] [11.29]

T1 T4

[11.36] [11.28]

T1 T5

[11.37] [11.63]

T1 T3 T5

[11.27] [11.34]

T2 T3 T5

[11.38]

T1 T6

[11.64]

T1 T3 T6

[11.10]

√ √



[11.54] [11.52]

T1 T3 T7

[11.53] [11.55]

T2 T3 T7

[11.56, 57]

L5

L6 √

L7



A1 √

A2 √

A3 √















































√ √



√ √























A5

A6

√ √ √

√ √



√ √ √

√ √

A4



√ √

√ √

√ √



[11.35] T1 T7

L4



√ √

Advantages L3































































Control of Uncertain Systems

11.3 Variable-Structure Neural Component

203

11.2 Plant Model and Notation The system dynamics (11.1) can be represented in a canonical controllable form as ⎧ ⎨x = Ax + b f (x) + g(x)u + d  , ˙ (11.2) ⎩ y = cx , where

0 b = n−1 1

,

and 0n−1 denotes the (n − 1)-dimensional zero vector. For the above system model, it is assumed in this chapter that f (x) and g(x) are unknown Lipschitz continuous functions. Without loss of generality, g(x) is assumed to be strictly positive such that 0 < g ≤ g(x) ≤ g, where g and g are lower and upper bounds of g(x). The disturbance d could be in the form of d(t), d(x) or d(x, t). It is assumed that d is Lipschitz-continuous in x and piecewise-continuous in t. It is also assumed that |d| ≤ d0 , where d0 is a known constant. The control objective is to develop a tracking control strategy such that the system output y tracks a reference signal yd as accurately as possible. It is assumed that the desired trajectory yd has bounded derivatives up to the n-th order, that is, yd(n) ∈ Ω yd , where Ω yd is a compact subset of R. The desired system state vector xd is then defined as   (n−1) xd = yd , y˙d , . . . , yd . We have xd ∈ Ω xd , where Ω xd is a compact subset of Rn . Let e = y − yd

(11.3)

  e = x − xd = e, e˙ , . . . , e(n−1)

(11.4)

denote the system tracking error. Then the tracking error dynamics can be described as   (11.5) e˙ = Ae + b y(n) − yd(n)   = Ae + b f (x) + g(x)u − yd(n) + d . (11.6) Consider the following controller,   1 ua = − fˆ(x) + yd(n) − ke , gˆ (x)

(11.7)

where fˆ(x) and gˆ (x) are approximations of f (x) and g(x), respectively, and k is selected such that Am = A − bk is Hurwitz. The controller u a in (11.7) consists of a feedforward term − fˆ(x) + yd(n) for model compensation and a linear feedback term −ke for stabilization. Substituting (11.7) into (11.6), the tracking error dynamics become e˙ = Am e + bd˜ ,

(11.8)

where     d˜ = f (x) − fˆ(x) + g(x) − gˆ (x) u a + d .

(11.9)

It follows from (11.8) that, if only u a is applied to the plant, the tracking error does not converge to zero if d˜ is present. Therefore, an additional robustifying component is required to ensure the tracking performance in the presence of approximation errors and disturbances.

11.3 Variable-Structure Neural Component In this section, the variable-structure RBF network employed to approximate f (x) and g(x) over a compact set Ω x ⊂ Rn is first introduced. This variable-structure RBF network is an improved version of the selforganizing RBF network considered in [11.56], which in turn was adapted from [11.61]. The RBF adding and removing operations are improved and a dwelling time Td is introduced into the structure variation of

the network to prevent fast switching between different structures. The employed self-organizing RBF network has N different admissible structures, where N is determined by the design parameters discussed later. For each admissible structure illustrated in Fig. 11.1, the self-organizing RBF network consists of n input neurons, Mv hidden neurons, where v ∈ {1, . . . , N}

Part B 11.3

0n−1 I n−1 A= ,  0 0n−1  1 , c = 0n−1

denote the output tracking error and let

204

Part B

Automation Theory and Scientific Foundations

Input layer

Hidden layer

ξ1,υ

Output layer ωf 1,υ ωf 2,υ

x1

ωf Mυ,υ

x2

ξ2 ,υ ωg2,υ

xn

fˆυ (x)

Fig. 11.2 Plot of one-dimensional (1-D) raised-cosine radial basis functions

ωg1,υ

ωgMυ,υ

gˆ υ (x)

Part B 11.3

ξMυ ,υ

Fig. 11.1 Self-organizing radial basis function network

denotes the scalar index, and two output neurons corresponding to fˆv (x) and gˆ v (x). For a given input  x = (x1 , x2 , . . . , xn ) , the output fˆv (x) is represented as fˆv (x) =

Mv

j=1

=

Mv

j=1

ω f j,v ξ j,v (x)

(11.10)

   n # xi − cij,v  , ω f j,v ψ δij,v

(11.11)

The support of the GRBF is unbounded. The compact support of the RBF plays an important role in achieving fast and efficient training and output evaluation of the RBF network, especially as the size of the network and the dimensionality of the input space increase. Therefore, the raised-cosine RBF (RCRBF) presented in [11.60] which has compact support is employed herein. The one-dimensional raised-cosine RBF shown in Fig. 11.2 is described as ⎧    ⎪ ⎨ 1 1 + cos π(x−c) if |x − c| ≤ δ δ ξ(x) = 2 ⎪ ⎩0 if |x − c| > δ , (11.15)

i=1

where ω f j,v is the adjustable weight from the j-th hidden neuron to the output neuron and ξ j,v (x) is the radial basis function for the j-th hidden neuron. The parameter cij,v is the i-th coordinate of the center of ξ j,v (x), δij,v is the radius of ξ j,v (x) in the i-th coordinate, and ψ : [0, ∞) → R+ is the activation function. In the above, the symbol R+ denotes the set of nonnegative real numbers. Usually, the activation function ψ is constructed so that it is radially symmetric with respect to its center. The largest value of ψ is obtained when xi = cij,v , and the  ψ vanishes or becomes very  value of small for large xi − cij,v . Let   ω f,v = ω f 1,v , ω f 2,v , . . . , ω f Mv ,v (11.12) be the weight vector and let   ξ v (x) = ξ1,v (x), ξ2,v (x), . . . , ξ Mv ,v (x) . 

whose support is the compact set [c − δ, c + δ]. In the n-dimensional space, the raised-cosine RBF centered at c = [c1 , c2 , . . . , cn ] with δ = [δ1 , δ2 , . . . , δn ] can be represented as the product of n one-dimensional raisedcosine RBFs ξ(x) =

n #

ξ(xi )

(11.16)

i=1

⎧$   π(xi −ci )  n 1 ⎪ ⎪ δi ⎨ i=1 2 1 + cos = − c | ≤ δ if |x i i i for all i , ⎪ ⎪ ⎩ 0 if |xi − ci | > δi for some i . (11.17)

(11.13)

Then (11.11) can be rewritten as fˆv (x) = ω f,v ξ v (x), and the output gˆ v (x) can be similarly represented as gˆ v (x) =  ωg,v ξ v (x). One of the most popular types of radial basis functions is the Gaussian RBF (GRBF) that has the form   (x − c)2 . (11.14) ξ(x) = exp − 2δ2

A plot of a two-dimensional raised-cosine RBF is shown in Fig. 11.3. Unlike fixed-structure RBF networks that require offline determination of the network structure, the employed self-organizing RBF network is capable of determining the parameters Mv , cij,v , and δij,v dynamically according to the tracking performance. Detailed descriptions are given in the following subsections.

Control of Uncertain Systems

ξ RC (x) 1 0.8 0.6 0.4 0.2 0 2 2

1

x2

0 –2

–2

Fig. 11.3 Plot of a two-dimensional (2-D) raised-cosine radial basis function

11.3.1 Center Grid Recall that the unknown functions are approximated over a compact set Ω x ⊂ Rn . It is assumed that Ω x can be represented as  (11.18) Ω x = x ∈ R n : x l ≤ x ≤ xu  = x ∈ Rn : xli ≤ xi ≤ xui , 1 ≤ i ≤ n , (11.19) where the n-dimensional vectors xl and xu denote lower and upper bounds of x, respectively. To locate the centers of RBFs inside the approximation region Ω x , an n-dimensional center grid with layer hierarchy is utilized, where each grid node corresponds to the center of one RBF. The center grid is initialized with its nodes located at (xl1 , xu1 ) × (xl2 , xu2 ) × · · · × (xln , xun ), where × denotes the Cartesian product. The 2n grid nodes of the initial center grid are referred to as boundary grid Layer 5 4 3 2

nodes and cannot be removed. Additional grid nodes will be added and then can be removed within this initial grid as the controlled system evolves in time. The centers of new RBFs can only be placed at the potential locations. The potential grid nodes are determined coordinate-wise. In each coordinate, the potential grid nodes of the first layer are the two fixed boundary grid nodes. The second layer has only one potential grid node in the middle of the boundary grid nodes. Then the potential grid nodes of the subsequent layers are in the middle of the adjacent potential grid nodes of all the previous layers. The determination of potential grid nodes in one coordinate is illustrated in Fig. 11.4.

11.3.2 Adding RBFs As the controlled system evolves in time, the output tracking error e is measured. If the magnitude of e exceeds a predetermined threshold emax , and if the dwelling time of the current network structure has been greater than the prescribed Td , the network tries to add new RBFs at potential grid nodes, that is, add new grid nodes. First, the nearest-neighboring grid node, denoted c(nearest) , to the current input x is located among existing grid nodes. Then the nearer-neighboring grid node denoted c(nearer) is located, where ci(nearer) is determined such that xi is between ci(nearest) and ci(nearer) . Next, the adding operation is performed for each coordinate independently. In the i-th coordinate, if the distance between xi and ci(nearest) is smaller than a prescribed threshold di(threshold) or smaller than a quarter of the distance between ci(nearest) and ci(nearer) , no new grid node is added in the i-th coordinate. Otherwise, a new grid node located at half of the sum of ci(nearest) and ci(nearer) is added in the i-th coordinate. The design parameter di(threshold) specifies the minimum grid distance in the ith coordinate. The above procedures for adding RBFs are illustrated with two-dimensional examples shown in Fig. 11.5. In case 1, no RBFs are added. In case 2, new grid nodes are added out of the first coordinate. In case 3, new grid nodes are added out of both coordinates. In summary, a new grid node is added in the i-th coordinate if the following conditions are satisfied:

1 Potential grids nodes Boundary nodes

Fig. 11.4 Example of determining potential grid nodes in one coordinate

1. |e| > emax . 2. The elapsed time since last operation, adding or rethan Td .  moving, is greater  3. xi − ci(nearest)   > max ci(nearest) − ci(nearer) /4, di(threshold) .

205

Part B 11.3

0

–1

x1

11.3 Variable-Structure Neural Component

206

Part B

Automation Theory and Scientific Foundations

c2(nearest)

c2(nearest)

c2(nearest)

d2(threshold) No new RBFs out of first coordinate

Case 1 d1(threshold) c2(nearer)

c2(nearer) c1(nearest)

c1(nearer)

c2(nearer) c1(nearest)

c2(nearest)

No new RBFs out of second coordinate

c1(nearer)

c1(nearest)

c2(nearest)

c2(nearest)

Part B 11.3

New RBFs out of first coordinate

Case 2 c2(nearer)

No new RBFs out of second coordinate

c2(nearer) c1(nearest)

c1(nearer)

c2(nearer) c1(nearest)

c2(nearest)

c1(nearer)

c2(nearest)

Case 3

c1(nearest)

New RBFs out of second coordinate

c2(nearer) c1(nearest)

c1(nearer)

c1(nearer)

c2(nearest)

New RBFs out of first coordinate

c2(nearer)

c1(nearer)

c2(nearer) c1(nearest)

c1(nearer)

c1(nearest)

c1(nearer)

The nearest-neighboring center c(nearest) The nearer-neighboring center c(nearer)

Fig. 11.5 Two-dimensional examples of adding RBFs

The layer of the i-th coordinate assigned to the newly added grid node is one level higher than the highest layer of the two adjacent existing grid nodes in the same coordinate. A possible scenario of formation of the layer hierarchy in one coordinate is shown in Fig. 11.6. The white circles denote potential grid nodes, and the black circles stand for existing grid nodes. The number in

1

3

5

2

4

7

6

1

Layer No.1 5 4 5 3 5 4 5 2 5 4 5 3 5 4 5 1

Fig. 11.6 Example of formation of the layer hierarchy in one coor-

dinate

the black circles shows the order in which the corresponding grid node is added. The two black circles with number 1 are the initial grid nodes in this coordinate, so they are in the first layer. Suppose the adding operation is being implemented in this coordinate after the grid initialization. Then a new grid node is added in the middle of two boundary nodes 1 – see the black circle with number 2 in Fig. 11.6. This new grid node is assigned to the second layer because of the resulting resolution it yields. Then all the following grid nodes are added one by one. Note that nodes 3 and 4 belong to the same third layer because they yield the same resolution. On the other hand, node 5 belongs to the fourth layer because it yields higher resolution than nodes 2 and 3.

Control of Uncertain Systems

Nodes 6 and 7 are assigned to their layers in a similar fashion.

11.3.3 Removing RBFs When the magnitude of the output tracking error e falls within the predetermined threshold emax and the dwelling-time requirement has been satisfied, the network attempts to remove some of the existing RBFs, that is, some of the existing grid nodes, in order to avoid

1

2

2

1

1

Layer No.

1

2

1

Layer No.

network redundancy. The RBF removing operation is also implemented for each coordinate independently. If ci(nearest) is equal to xli or xui , then no grid node is removed from the i-th coordinate. Otherwise, the grid node located at ci(nearest) is removed from the i-th coordinate if this grid node is in the higher than or in the same layer as the highest layer of the two neighboring grid nodes in the same coordinate, and the distance between xi and ci(nearest) is smaller than a fraction τ of the distance between ci(nearest) and ci(nearer) , where

1

2

Remove RBFs out of first coordinate

1

Remove RBFs out of second coordinate

1

1

Layer No.

1

1

Case 1: All conditions are satisfied for both coordinates

1

1

1 RBFs not removed out of first coordinate

2

2

2

1

1

1

Layer No.

1

2

1

Layer No.

1

1

Layer No.

Remove RBFs out of second coordinate

1

1

Case 2: The third condition is not satisfied for the first coordinate

1

1

1

RBFs not removed out of second coordinate

Remove RBFs out of first coordinate 2

2

2

3

3

3

1

1

1

Layer No.

1

2

1

Layer No.

1

1

Layer No.

1

Case 3: The fourth condition is not satisfied for the second coordinate The nearest-neighboring center c(nearest) The gride node in the i-th coordinate with its i-th coordinate to be ci(nearest)

Fig. 11.7 Two-dimensional examples of removing RBFs

207

Part B 11.3

1

11.3 Variable-Structure Neural Component

1

208

Part B

Automation Theory and Scientific Foundations

the fraction τ is a design parameter between 0 and 0.5. The above conditions for the removing operation to take place in the i-th coordinate can be summarized as: 1. |e| ≤ emax . 2. The elapsed time since last operation, adding or removing, is greater than   Td . / xli , xui . 3. ci(nearest) ∈ 4. The grid node in the i-th coordinate with its coordinate equal to ci(nearest) is in a higher than or in the same layer as the highest layer of the two neighbor same coordinate.  ing grid nodes in the 5. xi − ci(nearest)  < τ ci(nearest) − ci(nearer) , τ ∈ (0, 0.5).

Part B 11.3

Two-dimensional examples of removing RBFs are illustrated in Fig. 11.7, where the conditions (1), (2), and (5) are assumed to be satisfied for both coordinates.

11.3.4 Uniform Grid Transformation The determination of the radius of the RBF is much easier in a uniform grid than in a nonuniform grid because the RBF is radially symmetric with respect to its center. Unfortunately, the center grid used to locate RBFs is usually nonuniform. Moreover, the structure of the center grid changes after each adding or removing operation, which further complicates the problem. In order to simplify the determination of the radius, the one to-one mapping z(x) = [z 1 (x1 ), z 2 (x2 ), . . . , z n (xn )] , proposed in [11.60], is used to transform the center grid into a uniform grid. Suppose that the self-organizing RBF network is now with the v-th admissible structure after the adding or removing operation and there are Mi,v distinct elements in Si , ordered as ci(1) < ci(2) < · · · < ci(Mi,v ) , where ci(k) is the k-th element with ci(1) = xli and ci(Mi,v ) = xui . Then the mapping function z i (xi ) : [xli , xui ] → [1, Mi,v ] takes the following form: z i (xi ) = k +

xi − ci(k) , ci(k+1) − ci(k)

ci(k) ≤ xi < ci(k+1) , (11.20)

which maps ci(k) into the integer k. Thus, the transformation z(x) : Ω x → Rn maps the center grid into a grid with unit spacing between adjacent grid nodes such that the radius of the RBF can be easily chosen. For the raised-cosine RBF, the radius in every coordinate is selected to be equal to one unit, that is, the radius will touch but not extend beyond the neighboring grid nodes in the uniform grid. This particular choice of the radius guarantees that for a given input x, the number of

nonzero raised-cosine RBFs in the uniform grid is at most 2n . To simplify the implementation, it is helpful to reorder the Mv grid nodes into a one-dimensional array of points using a scalar index j. Let the vector q v ∈ Rn be the index vector of the grid nodes, where  q v = (q1,v , . . . , qn,v ) with 1 ≤ qi,v ≤ Mi,v . Then the scalar index j can be uniquely determined by the index vector q v , where j = (qn,v − 1)Mn−1,v · · · M2,v M1,v + · · · + (q3,v − 1)M2,v M1,v + (q2,v − 1)M1,v + q1,v . (11.21) 

Let c j,v = (c1 j,v , . . . , cn j,v ) denote the location of the q v -th grid node in the original grid. Then the corresponding grid node in the uniform grid is located at  z j,v = z(c j,v ) = (q1,v , . . . , qn,v ) . Using the scalar index j in (11.21), the output fˆi,v (x) of the self-organizing raised-cosine RBF network implemented in the uniform grid can be expressed as fˆv (x) =

Mv

ω f j,v ξ j,v (x)

j=1

=

Mv

ω f j,v

j=1

n #   ψ z i (xi ) − qi,v  ,

(11.22)

i=1

where the radius is one unit in each coordinate. When implementing the output feedback controller, the state vector estimate xˆ is used rather than the actual state vector x. It may happen that xˆ ∈ / Ω x . In such a case, the definition of the transformation (11.20) is extended as ⎧ ⎨z ( x ) = 1 if xˆi < ci(1) i ˆi (11.23) ⎩z (xˆ ) = M if xˆ > c , i

i

i,v

i

i(Mi,v )

for i = 1, 2, . . . , n. If xˆ ∈ Ω x , the transformation (11.20) is used. Therefore, it follows from (11.20) and (11.23) that the function z(x) maps the whole n-dimensional space Rn into the compact set [1, M1,v ] × [1, M2,v ] × · · · × [1, Mn,v ].

11.3.5 Remarks 1. The internal structure of the self-organizing RBF network varies as the output tracking error trajectory evolves. When the output tracking error is large, the network adds RBFs in order to achieve better model compensation so that the large output tracking error

Control of Uncertain Systems

work’s output evaluation, which is impractical for real-time applications, especially for higher-order systems. However, for the RCRBF network, most of the terms in (11.22) are zero and therefore do not have to be evaluated. Specifically, for a given input x, the number of nonzero raised-cosine RBFs in each coordinate is either one or two. Consequently, the number of nonzero terms in (11.22) is at most 2n . This feature allows one to speed up the output evaluation of the network in comparison with a direct computation of (11.22) for the GRBF network. To illustrate the above discussion, suppose Mi = 10 and n = 4. Then the GRBF network will require 104 function evaluations, whereas the RCRBF network will only require 24 function evaluations, which is almost three orders of magnitude less than that required by the GRBF network. For a larger value of n and a finer grid, the saving of computations is even more dramatic. The same saving is also achieved for the network’s training. When the weights of the RCRBF network are updated, there are also only 2n weights to be updated for each output neuron, whereas n × M weights has to be updated for the GRBF network. Similar observations were also reported in [11.60, p. 6].

11.4 State Feedback Controller Development The direct adaptive robust state feedback controller presented in this chapter has the form u = u a,v + u s,v   1 (n) ˆ = − f v (x) + yd − ke + u s,v , gˆ v (x) 

(11.24)



where fˆv (x) = ω f,v ξ v (x), gˆ v (x) = ωg,v ξ v (x) and u s,v is the robustifying component to be described later. To proceed, let Ω e0 denote the compact set including all the possible initial tracking errors and let 1  ce0 = max e Pm e , e∈Ω e0 2

(11.25)

where Pm is the positive-definite solution to the con tinuous Lyapunov matrix equation Am Pm + Pm Am =  −2Qm for Qm = Qm > 0. Choose ce > ce0 and let   1  (11.26) Ω e = e : e Pm e ≤ ce . 2

Then the compact set Ω x is defined as  Ω x = x : x = e + x d , e ∈ Ω e , xd ∈ Ω x d , over which the unknown functions f (x) and g(x) are approximated. For practical implementation, ω f,v and ωg,v are constrained, respectively, to reside inside compact sets Ω f,v and Ω g,v defined as  Ω f,v = ω f,v : ω f ≤ ω f j,v ≤ ω f , 1 ≤ j ≤ Mv (11.27)

and  Ω g,v = ωg,v : 0 < ωg ≤ ωg j,v ≤ ωg , 1 ≤ j ≤ Mv , (11.28)

where ω f , ω f , ωg and ωg are design parameters. Let ω∗f,v and ω∗g,v denote the optimal constant weight vectors corresponding to each admissible network

209

Part B 11.4

can be reduced. When, on the other hand, the output tracking error is small, the network removes RBFs in order to avoid a redundant structure. If the design parameter emax is too large, the network may stop adding RBFs prematurely or even never adjust its structure at all. Thus, emax should be at least smaller than |e(t0 )|. However, if emax is too small, the network may keep adding and removing RBFs all the time and cannot approach a steady structure even though the output tracking error is already within the acceptable bound. In the worst case, the network will try to add RBFs forever. This, of course, leads to an unnecessary large network size and, at the same time, undesirable high computational cost. An appropriate emax may be chosen by trial and error through numerical simulations. 2. The advantage of the raised-cosine RBF over the Gaussian RBF is the property of the compact support associated with the raised-cosine RBF. The number of terms in (11.22) grows rapidly with the increase of both the number of grid nodes Mi in each coordinate and the dimensionality n of the input space. For the GRBF network, all the terms will be nonzero due to the unbounded support, even though most of them are quite small. Thus, a lot of computations are required for the net-

11.4 State Feedback Controller Development

210

Part B

Automation Theory and Scientific Foundations

structure, which are used only in the analytical analysis and defined, respectively, as    (11.29) ω∗f,v = argmin max  f (x) − ω f,v ξ v (x)

is a discontinuous projection operator proposed in [11.65]. The robustifying component u s,v is designed as   1 σ (11.38) , u s,v = − ks,v sat g ν

and

where ks,v = d f + dg |u a,v | + d0 and sat(·) is the saturation function with small ν > 0. Let   (11.39) ks = d f + dg max max |u a,v | + d0 ,

ω f,v ∈Ω f,v x∈Ω x

   ω∗g,v = argmin max g(x) − ωg,v ξ v (x) .

(11.30)

ωg,v ∈Ω g,v x∈Ω x

For the controller implementation, let      d f = max max  f (x) − ω∗f,v ξ v (x)

Part B 11.4

v

and

x∈Ω x

     dg = max max g(x) − ω∗g,v ξ v (x) , v

x∈Ω x

v

(11.31)

(11.32)

where maxv (·) denotes the maximization taken over all admissible structures of the self-organizing RBF networks. Let φ f,v = ω f,v − ω∗f,v and φg,v = ωg,v − ω∗g,v , and let   1  max φ φ (11.33) c f = max f,v f,v v ω f,v ,ω∗f,v ∈Ω f,v 2η f and

 cg = max v

max

1  , φ φ 2ηg g,v g,v

(11.34)

where η f and ηg are positive design parameters often referred to as learning rates. It is obvious that c f (or cg ) will decrease as η f (or ηg ) increases. Let  σ = b Pm e. The following weight vector adaptation laws are employed, respectively, for the weight vectors ω f and ωg ,   (11.35) ω˙ f,v = Proj ω f,v , η f σξ v (x) and   ω˙ g,v = Proj ωg,v , ηg σξ v (x)u a,v ,

(11.36)

where Proj(ωv , θ v ) denotes Proj(ω j,v , θ j,v ) for j = 1, . . . , Mv and ⎧ ⎪ ⎪ ⎨0 Proj(ω j,v , θ j,v ) = 0 ⎪ ⎪ ⎩ θ j,v





The dwelling time Td of the self-organizing RBF network is selected such that   1 3 (11.40) , Td ≥ ln μ 2 The constants c f , cg , and ν satisfy the inequality 0 < c f + cg
0 , otherwise , (11.37)

exp(μTd ) − 1 ks ν , 3 − 2 exp(μTd ) 4μ

(11.41)

where μ is the ratio of the minimal eigenvalve of Qm to the maximal eigenvalue of Pm . If η f , ηg , and ν are selected such that    ks ν ce ≥ max ce0 + c f + cg , 2 c f + cg + 8μ  + c f + cg , (11.42) then e(t) ∈ Ω e and x(t) ∈ Ω x for t ≥ t0 . Moreover, there exists a finite time T ≥ t0 such that   1  ks ν e (t)Pm e(t) ≤ 2 c f + cg + + c f + cg 2 8μ (11.43)

for t ≥ T . If, in addition, there exists a finite time Ts ≥ t0 such that v = vs for t ≥ Ts , then there exists a finite time T ≥ Ts such that   1  ks ν (11.44) e (t)Pm e(t) ≤ 2 c f + cg + 2 8μ for t ≥ T . It can be seen from (11.43) and (11.44) that the tracking performance is inversely proportional to η f

Control of Uncertain Systems

and ηg , and proportional to ν. Therefore, larger learning rates and smaller saturation boundary imply better tracking performance.

11.5 Output Feedback Controller Construction

and ηg are too large, fast adaptation could excite the unmodeled high-frequency dynamics that are neglected in the modeling. On the other hand, the selection of ν cannot be too small either. Otherwise, the robustifying component exhibits high-frequency chattering, which may also excite the unmodeled dynamics. Moreover, smaller ν requires higher bandwidth to implement the controller for small tracking error. To see this more clearly, consider the following first-order dynamics,   (11.45) e˙ = ae + f + gu − y˙d + d ,

11.4.1 Remarks

which is a special case of (11.6). Applying the following controller,    1 σ 1 ˆ , (11.46) u = − f + y˙d − ke − ks sat g ν gˆ where σ = e, one obtains

  g e ˜ e˙ = −am e + d − ks sat (11.47) , g ν where −am = a − k < 0. When |e| ≤ ν, (11.47) becomes   g ks (11.48) e + d˜ , e˙ = − am + g ν which implies that smaller ν results in higher controller bandwidth.

11.5 Output Feedback Controller Construction

is applied to estimate the tracking error e. The observer gain l is chosen as   αn α1 α2 , (11.50) , 2 ,..., n l= where ∈ (0, 1) is a design parameter and αi , i = 1, 2, . . . , n, are selected so that the roots of the polynomial equation, sn + α1 sn−1 + · · · + αn−1 s + αn = 0,

have negative real parts. The structure of the above high-gain tracking error observer is shown in Fig. 11.8. Substituting e with eˆ in the controller u defined in (11.24) with (11.38) gives uˆ = uˆ a,v + uˆ s,v ,

(11.51)

where

  1 (n) ˆ − f v (ˆx) + yd − kˆe uˆ a,v = gˆ v (ˆx)

and

  1 σˆ , uˆ s,v = − kˆ s,v sat g ν

(11.52)

(11.53)

with xˆ = xd + eˆ , kˆ s,v = d f + dg |uˆ a,v | + d0 and σˆ =  b Pm eˆ . Let   kˆ s = d f + dg max max |uˆ a,v | + d0 , v

Part B 11.5

1. For the above direct adaptive robust controller, the weight vector adaptation laws are synthesized together with the controller design. This is done for the purpose of reducing the output tracking error only. However, the adaptation laws are limited to be of gradient type with ceratin tracking errors as driving signals, which may not have as good convergence properties as other types of adaptation laws such as the ones based on the least-squares method [11.66]. Although this design methodology can achieve excellent output tracking performance, it may not achieve the convergence of the weight vectors. When good convergence of the weight vectors is a secondary goal to be achieved, an indirect adaptive robust controller [11.66] or an integrated direct/indirect adaptive robust control [11.65] have been proposed to overcome the problem of poor convergence associated with the direct adaptive robust controllers. 2. It seems to be desirable to select large learning rates and small saturation boundary based on (11.43) and (11.44). However, it is not desirable in practice to choose excessively large η f and ηg . If η f

The direct adaptive robust state feedback controller presented in the previous section requires the availability of the plant states. However, often in practice only the plant outputs are available. Thus, it is desirable to develop a direct adaptive robust output feedback controller (DAROFC) architecture. To overcome the problem of inaccessibility of the system states, the following highgain observer [11.38, 49],   (11.49) e˙ˆ = Aˆe + l e − cˆe ,

211

212

Part B

Automation Theory and Scientific Foundations

–+

αn ε

n

α n–1

α2

α1

n–1

ε2

ε1

ε

ê (n–1)



+ +



ê (2)

ê (n–2)

+ +



ê (1)

+ +



Fig. 11.8 Diagram of the high-gain e

observer

ê

ê

Part B 11.5

and the inner maximization is taken over eˆ ∈ Ω eˆ , xd ∈ Ω xd , y(n) d ∈ Ω yd , ω f,v ∈ Ω f,v , and ωg,v ∈ Ω g,v . For the high-gain observer described by (11.49), there exist peaking phenomena [11.67]. Hence, the controller uˆ defined in (11.51) cannot be applied to the plant directly. To eliminate the peaking phenomena, the saturation is introduced into the control input uˆ in (11.51). Let   1  (11.54) Ω eˆ = e : e Pm e ≤ ceˆ , 2 where ceˆ > ce . Let       S ≥ max maxu e, xd , yd(n) , ω f,v , ωg,v  , v

(11.55)

where u is defined in (11.24) and the inner maximization is taken over e ∈ Ω eˆ , xd ∈ Ω xd , yd(n) ∈ Ω yd , ω f,v ∈ Ω f,v , and ωg,v ∈ Ω g,v . Then the proposed direct adaptive robust output feedback controller takes the form   uˆ a,v + uˆ s,v (11.56) . u s = S sat S (n)

yd ê

–+ –

k fˆυ



÷ xˆ

ê

Adaptation algorithms

gˆ υ

uˆ a,υ

+ +

us

uˆ s,υ Robustifying component

ê

Fig. 11.9 Diagram of the direct adaptive robust output feedback controller (DAROFC)

The adaptation laws for the weight vectors ω f,v and ωg,v change correspondingly and take the following new form, respectively   ω˙ f,v = Proj ω f,v , η f σˆ ξ v (ˆx)

(11.57)

  ω˙ g,v = Proj ωg,v , ηg σˆ ξ v (ˆx)uˆ a,v .

(11.58)

and

A block diagram of the above direct adaptive robust output feedback controller is shown in Fig. 11.9, while a block diagram of the closed-loop system is given in Fig. 11.10. For the high-gain tracking error observer (11.49), it is shown in [11.68] that there exists a constant 1∗ ∈ (0, 1) such that, if ∈ (0, 1∗ ), then e(t) − eˆ (t) ≤ β with β > 0 for t ∈ [t0 + T1 ( ), t0 + T3 ), where T1 ( ) is a finite time and t0 + T3 is the moment when the tracking error e(t) leaves the compact set Ω e for the first time. Moreover, we have lim →0+ T1 ( ) = 0  and ce1 = 12 e(t0 + T1 ( )) Pm e(t0 + T1 ( )) < ce . For the plant (11.2) driven by the direct adaptive robust output feedback controller given by (11.56) with the adaptation laws (11.57) and (11.58), if one of the following conditions is satisfied: Reference signal generator

c

xd + +

ê

High-gain observer

e

yd + –

y xˆ (n) yd

Self-organizing RCRBF Network-based DAROFC

us

Plant

Fig. 11.10 Diagram of the closed-loop system driven by the output feedback controller

Control of Uncertain Systems





The dwelling time Td of the self-organizing RBF network is selected such that   1 3 Td ≥ ln (11.59) , μ 2 The constants c and ν satisfy the inequality   exp(μTd ) − 1 kˆ s ν 0 < c f + cg < +r , 3 − 2 exp(μTd ) 4μ (11.60)

exists a finite time T ≥ t0 + T1 ( ) such that   1 kˆ s ν  e(t) Pm e(t) ≤ 2 c f + cg + + r + c f + cg 2 8μ (11.63)

with some r > 0 for t ≥ T . In addition, suppose that there exists a finite time Ts ≥ t0 + T1 ( ) such that v = vs for t ≥ Ts . Then there exists a finite time T ≥ Ts such that   kˆ s ν 1  (11.64) e (t)Pm e(t) ≤ 2 c f + cg + +r 2 8μ for t ≥ T . A proof of the above statement can be found in [11.58]. It can be seen that the performance of the output feedback controller approaches that of the state feedback controller as approaches zero.

11.6 Examples In this section, two example systems are used to illustrate the features of the proposed direct adaptive robust controllers. In Example 11.1, a benchmark problem from the literature is used to illustrate the controller performance under different situations. Especially, the reference signal changes during the operation in order to demonstrate the advantage of the self-organizing

RBF network. In Example 11.2, the Duffing forced oscillation system is employed to test the controller performance for time-varying systems. Reference signal 1 0.5

2.5

0 0

2

0.5

1

1.5

2

2.5

3

3.5

4

4.5

5

2 2.5 3 3.5 Second time derivative

4

4.5

5

4

4.5 5 Time (s)

First time derivative 1.5

2

1

0

0.5

–2

0 –0.5

0

0.5

1

1.5

0

0.5

1

1.5

20

–1 0 –1.5 –2

–20 0

5

10

Fig. 11.11 Disturbance d in example 11.1

15

20 Time (s)

213

Part B 11.6

and if η f , ηg , and ν are selected such that (11.61) ce ≥ ce1 + c f + cg and   kˆ s ν ce > 2 c f + c g + (11.62) + c f + cg , 8μ ∗ there exists a constant ∈ (0, 1) such that, if ∈ (0, ∗ ), then e(t) ∈ Ω e and x(t) ∈ Ω x for t ≥ t0 . Moreover, there

11.6 Examples

2

2.5

3

3.5

Fig. 11.12 Reference signal and its time derivatives

214

Part B

Automation Theory and Scientific Foundations

a) DARSFC

a) DARSFC 5

× 10 –3

Tracking error

5

Tracking error

0

0 –5

× 10 –3

0

5

10

15

20

25

Control input

10

–5

0

5

0

–10

–10

Part B 11.6

0

5

10

15

20

0

25

5

60

60

40

40

20

20 0

5

10

15

20

25 Time (s)

× 10 –3

Tracking error

0

5

15

20

25

0

5

10

15

20

25 Time (s)

× 10 –3

20

25

20

25

20

25 Time (s)

Tracking error

0

0

0

5

10

15

20

25

Control input

10

–5

0

5

0

–10

–10

10

15

Control input

10

0

–20

–20 0

5

10

15

20

0

25

5

60

60

40

40

20

20 0

5

10

15

10

15

Number of hidden neurons

Number of hidden neurons

0

10

b) DAROFC

b) DAROFC

–5

25

Number of hidden neurons

Number of hidden neurons

5

20

–20

–20

0

15

Control input

10

0

10

20

25 Time (s)

Fig. 11.13a,b Controller performance without disturbance in example 11.1. (a) State feedback controller, (b) output feedback controller

0

0

5

10

15

Fig. 11.14a,b Controller performance with disturbance in Example 11.1. (a) State feedback controller, (b) output feedback controller

Control of Uncertain Systems

Example 11.1:The nonlinear plant model used in this

example is given by y¨ = f (y, y) ˙ + g(y)u + d   sin(4π y) sin(π y) ˙ 2 = 16 4π y π y˙  + 2 + sin[3π(y − 0.5)] u + d ,

Tracking error

0.5 0 – 0.5 –1 5

0

output feedback controller is tested on a time-varying system. The plant is the Duffing forced oscillation sys-

5

10

15 20 25 30 35 Tracking error (magnified)

40

45

50

× 10 –3

0 –5

0

5

10

15

35

40

45

50

0

5

10

15 20 25 30 35 Number of hidden neurons

40

45

50

0

5

10

15

40

45 50 Time (s)

10

20 25 30 Control input

0 –10 –20

100 50 0

20

25

30

35

Fig. 11.15 Output feedback controller performance with varying ref-

erence signals in Example 11.1

tem [11.28] modeled by x˙1 = x2 x˙2 = −0.1x2 − x13 + 12 cos(t) + u . x2 10 8 6 4 2 0 –2 –4 –6 –8 –10 –5

Example 11.2:In this example, the direct adaptive robust

215

0

5 x1

Fig. 11.16 Phase portrait of the uncontrolled system in Example 11.2

Part B 11.6

which, if d = 0, is the same plant model as in [11.27, 34, 38], used as a testbed for proposed controllers. It is easy to check that the above uncertain system dynamics  are in the form of (11.2) with x = [y, y] ˙ . For the simulation, the disturbance d is selected to be band-limited white noise generated using SIMULINK (version 6.6) with noise power 0.05, sample time 0.1 s, and seed value 23 341, which is shown in Fig. 11.11. The reference signal is the same as in [11.38], which is the output of a low-pass filter with the transfer function (1 + 0.1s)−3 , driven by a unity amplitude square-wave input with frequency 0.4 Hz and a time average of 0.5 s. The reference signal yd and its derivatives y˙d and y¨d are shown in Fig. 11.12. The grid boundaries for y and y, ˙ respectively, are selected to be (−1.5, 1.5) and (−3.5, 3.5), that is,   xl = (−1.5, −3.5) and xu = (1.5, 3.5) . The rest of the network’s parameters are d threshold = (0.2, 0.3), emax = 0.005, Td = 0.2 s, ω f = 25, ω f = −25, ωg = 5, ωg = 0.1, and η f = ηg = 1000. The controller’s parameters are k = (1, 2), Qm = 0.5I2 , d f = 5, dg = 2, d0 = 3, ν = 0.01, and S = 50. The observer’s parameters are = 0.001, α1 = 10, and α2 = 25. The initial conditions are y(0) = −0.5 and y(0) ˙ = 2.0. The controller performance without disturbance is shown in Fig. 11.13, whereas the controller performance in the presence of disturbance is illustrated in Fig. 11.14. In order to demonstrate the advantages of the selforganizing RBF network in the proposed controller architectures, a different reference signal, yd (t) = sin(2t), is applied at t = 25 s. It can be seen from Fig. 11.15 that the self-organizing RCRBF network-based direct adaptive robust output feedback controller performs very well for both reference signals. There is no need to adjust the network’s or the controller’s parameters offline when the new reference signal is applied. The self-organizing RBF network determines its structure dynamically by itself as the reference signal changes.

11.6 Examples

216

Part B

5

Automation Theory and Scientific Foundations

× 10 –3

x2

Tracking error of x1

2 1.5

0 –5 5

1 0

5

× 10 –3

10 15 20 Tracking error of x2

25

30 0.5 0

0 –0.5 –5

0

5

10

Part B 11.7

15 Control input

20

25

30

–1 –1.5 –2

10

–1.5

–1

– 0.5

0

0.5

1

1.5

2

0

Fig. 11.17 Phase portrait of the closed-loop system driven

–10 0

5

10 15 20 Number of hidden neurons

25

30

50

0

2.5 x1

0

5

10

15

20

25

30 Time (s)

Fig. 11.18 Output feedback controller performance in Example 11.2

The phase portrait of the uncontrolled system is shown in Fig. 11.16 for x1 (0) = x2 (0) = 2, t0 = 0,

by the output feedback controller in Example 11.2

and t f = 50. The disturbance d is set to be zero. The reference signal, yd (t) = sin(t), is used, which is the unit circle in the phase plane. The grid boundaries for y and y, ˙ respectively, are [−2.5, 2.5] and [−2.5, 2.5]. The design parameters are chosen to be the same as in example 11.1except that emax = 0.05, d f = 15, and ν = 0.001. The phase portrait of the closed-loop system is shown in Fig. 11.17. It follows from Fig. 11.18 that the controller performs very well for this time-varying system.

11.7 Summary Novel direct adaptive robust state and output feedback controllers have been presented for the output tracking control of a class of nonlinear systems with unknown system dynamics. The presented techniques incorporate a variable-structure RBF network to approximate the unknown system dynamics. The network structure varies as the output tracking error trajectory evolves in order to ensure tracking accuracy and, at the same time, avoid redundant network structure. The Gaussian RBF and the raised-cosine RBF are compared in the simulations. The property of compact support associated with the raised-cosine RBF results in significant reduction of computations required for the network’s training and output evaluation [11.61]. This feature becomes especially important when the center grid becomes finer and the dimension of the network input becomes higher.

The effectiveness of the presented direct adaptive robust controllers are illustrated with two examples. In order to evaluate and compare different proposed control strategies for the uncertain system given in (11.1), it is necessary to use performance measures. In the following, a list of possible performance indices [11.69] is given.



Transient performance  eM = max |e(t)| t0 ≤t≤t f



Final tracking accuracy eF =

max

t∈[t f −2,t f ]

 |e(t)|

Control of Uncertain Systems







Average tracking performance % & & t f &1 L 2 (e) = ' |e(τ)|2 dτ tf t0

Average control input % & & t f &1 L 2 (u) = ' |u(τ)|2 dτ tf t0

j=1

The approach presented in this chapter has been used as a starting point towards the development of direct adaptive robust controllers for a class of MIMO

uncertain systems in [11.58]. The MIMO uncertain system considered in [11.58] can be modeled by the following set of equations ⎧ ⎪ ⎪ y1(n 1 ) ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎨ y2(n 2 ) ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ (n p ) ⎪ ⎪ ⎩yp

= f 1 (x) + = f 2 (x) + .. . = f p (x) +

p ( j=1 p (

g1 j (x)u j + d1 g2 j (x)u j + d2

j=1 p (

(11.65)

g p j (x)u j + d p ,

j=1

  where u = u 1 , u 2 , . . . , u p is the system input vector,   y = y1 , y2 , . . . , y p is the system output vector, d =   d1 , d2 , . . . , d p models the bounded disturbance, x =      x1 , x2 , . . . , x p ∈ Rn is the system state vector with   (p xi = yi , y˙i , . . . , yi(n i −1) and n = i=1 n i , and f i (x) and gij (x) are unknown Lipschitz-continuous functions.

References 11.1 11.2

11.3 11.4

11.5 11.6

11.7

11.8

11.9

11.10

S.H. Z˙ ak: Systems and Control (Oxford Univ. Press, New York 2003) D.W. Clarke: Self-tuning control. In: The Control Handbook, ed. by W.S. Levine (CRC, Boca Raton 1996) A.S.I. Zinober: Deterministic Control of Uncertain Systems (Peregrinus, London 1990) R.A. DeCarlo, S.H. Z˙ ak, G.P. Matthews: Variabble structure control of nonlinear multivariable systems: A tutorial, Proc. IEEE 76(3), 212–232 (1988) V.I. Utkin: Sliding Modes in Control and Optimization (Springer, Berlin 1992) C. Edwards, S.K. Spurgeon: Sliding Mode Control: Theory and Applications (Taylor Francis, London 1998) S. Gutman: Uncertain dynamical systems – a Lyapunov min-max approach, IEEE Trans. Autom. Control 24(3), 437–443 (1979) M.J. Corless, G. Leitmann: Continuous state feedback guaranteeing uniform ultimate boundedness for uncertain dynamic systems, IEEE Trans. Autom. Control 26(5), 1139–1144 (1981) J.B. Pomet, L. Praly: Adaptive nonlinear regulation: Estimation from the Lyapunov equation, IEEE Trans. Autom. Control 37(6), 729–740 (1992) F.-C. Chen, C.-C. Liu: Adaptively controlling nonlinear continuous-time systems using multilayer neural networks, IEEE Trans. Autom. Control 39(6), 1306–1310 (1994)

217

11.11

11.12 11.13 11.14

11.15

11.16

11.17

11.18

11.19

M. Krstic, I. Kanellakopoulos, P.V. Kokotovic: Nonlinear and Adaptive Control Design (Wiley, New York 1995) K.S. Narendra, A.M. Annaswamy: Stable Adaptive Systems (Prentice Hall, Englewood Cliffs 1989) K.J. Åström, B. Wittenmark: Adaptive Control (Addison-Wesley, Reading 1989) S.S. Sastry, A. Isidori: Adaptive control of linearizable systems, IEEE Trans. Autom. Control 34(11), 1123–1131 (1989) I. Kanellakopoulos, P.V. Kokotovic, R. Marino: An extended direct scheme for robust adaptive nonlinear control, Automatica 27(2), 247–255 (1991) I. Kanellakopoulos, P.V. Kokotovic, A.S. Morse: Systematic design of adaptive controllers for feedback linearizable systems, IEEE Trans. Autom. Control 36(11), 1241–1253 (1991) D. Seto, A.M. Annaswamy, J. Baillieul: Adaptive control of nonlinear systems with a triangular structure, IEEE Trans. Autom. Control 39(7), 1411– 1428 (1994) A. Kojic, A.M. Annaswamy: Adaptive control of nonlinearly parameterized systems with a triangular structure, Automatica 38(1), 115–123 (2002) M. Krstic, P.V. Kokotovic: Adaptive nonlineaer design with controller-identifier separation and swapping, IEEE Trans. Autom. Control 40(3), 426– 460 (1995)

Part B 11

Degree of control chattering L 2 (Δu) cu = , L 2 (u) where % & N &1

  & u( jΔT ) − u[( j − 1)ΔT ]2 L 2 (Δu) = ' N

References

218

Part B

Automation Theory and Scientific Foundations

11.20

11.21

11.22

11.23

Part B 11

11.24

11.25

11.26

11.27

11.28

11.29

11.30

11.31

11.32

11.33

11.34

11.35

11.36

A.S. Morse, D.Q. Mayne, G.C. Goodwin: Applications of hysteresis switching in parameter adaptive control, IEEE Trans. Autom. Control 34(9), 1343–1354 (1992) E.B. Kosmatopoulos, P.A. Ioannou: A switching adaptive controller for feedback linearizable systems, IEEE Trans. Autom. Control 44(4), 742–750 (1999) E.B. Kosmatopoulos, P.A. Ioannou: Robust switching adaptive control of multi-input nonlinear systems, IEEE Trans. Autom. Control 47(4), 610–624 (2002) J.S. Reed, P.A. Ioannou: Instability analysis and robust adaptive control of robotic manipulators, IEEE Trans. Robot. Autom. 5(3), 381–386 (1989) M.M. Polycarpou, P.A. Ioannou: A robust adaptive nonlinear control design, Automatica 32(3), 423– 427 (1996) R.A. Freeman, M. Krstic, P.V. Kokotovic: Robustness of adaptive nonlinear control to bounded uncertainties, Automatica 34(10), 1227– 1230 (1998) H. Xu, P.A. Ioannou: Robust adaptive control for a class of MIMO nonlinear systems with guaranteed error bounds, IEEE Trans. Autom. Control 48(5), 728–742 (2003) R.M. Sanner, J.J.E. Slotine: Gaussian networks for direct adaptive control, IEEE Trans. Neural Netw. 3(6), 837–863 (1992) L.-X. Wang: Stable adaptive fuzzy control of nonlinear systems, IEEE Trans. Fuzzy Syst. 1(2), 146–155 (1993) C.-Y. Su, Y. Stepanenko: Adaptive control of a class of nonlinear systems with fuzzy logic, IEEE Trans. Fuzzy Syst. 2(4), 285–294 (1994) M.M. Polycarpou: Stable adaptive neutral control scheme for nonlinear systems, IEEE Trans. Autom. Control 41(3), 447–451 (1996) L.-X. Wang: Stable adaptive fuzzy controllers with application to inverted pendulum tracking, IEEE Trans. Syst. Man Cybern. B 26(5), 677–691 (1996) J.T. Spooner, K.M. Passino: Stable adaptive control using fuzzy systems and neural networks, IEEE Trans. Fuzzy Syst. 4(3), 339–359 (1996) C.-H. Wang, H.-L. Liu, T.-C. Lin: Direct adaptive fuzzy-neutral control with state observer and supervisory controller for unknown nonlinear dynamical systems, IEEE Trans. Fuzzy Syst. 10(1), 39–49 (2002) E. Tzirkel–Hancock, F. Fallside: Stable control of nonlinear systems using neural networks, Int. J. Robust Nonlin. Control 2(1), 63–86 (1992) A. Yesildirek, F.L. Lewis: Feedback linearization using neural networks, Automatica 31(11), 1659–1664 (1995) B.S. Chen, C.H. Lee, Y.C. Chang: H∞ tracking design of uncertain nonlinear SISO systems: Adaptive fuzzy approach, IEEE Trans. Fuzzy Syst. 4(1), 32–43 (1996)

11.37

11.38

11.39

11.40

11.41

11.42

11.43

11.44

11.45

11.46

11.47

11.48

11.49

11.50

11.51

11.52

11.53

S.S. Ge, C.C. Hang, T. Zhang: A direct method for robust adaptive nonlinear control with guaranteed transient performance, Syst. Control Lett. 37(5), 275–284 (1999) S. Seshagiri, H.K. Khalil: Output feedback control of nonlinear systems using RBF neural networks, IEEE Trans. Neural Netw. 11(1), 69–79 (2000) M.I. EI–Hawwary, A.L. Elshafei, H.M. Emara, H.A. Abdel Fattah: Output feedback control of a class of nonlinear systems using direct adaptive fuzzy controller, IEE Proc. Control Theory Appl. 151(5), 615–625 (2004) Y. Lee, S.H. Z˙ ak: Uniformly ultimately bounded fuzzy adaptive tracking controllers for uncertain systems, IEEE Trans. Fuzzy Syst. 12(6), 797–811 (2004) C.-C. Liu, F.-C. Chen: Adaptive control of nonlinear continuous-time systems using neural networks – general relative degree and MIMO cases, Int. J. Control 58(2), 317–335 (1993) ´n ˜ ez, K.M. Passino: Stable multi-input R. Ordo multi-output adaptive fuzzy/neural control, IEEE Trans. Fuzzy Syst. 7(3), 345–353 (2002) S. Tong, J.T. Tang, T. Wang: Fuzzy adaptive control of multivariable nonlinear systems, Fuzzy Sets Syst. 111(2), 153–167 (2000) Y.-C. Chang: Robust tracking control of nonlinear MIMO systems via fuzzy approaches, Automatica 36, 1535–1545 (2000) Y.-C. Chang: An adaptive H∞ tracking control for a class of nonlinear multiple-input-multipleoutput (MIMO) systems, IEEE Trans. Autom. Control 46(9), 1432–1437 (2001) S. Tong, H.-X. Li: Fuzzy adaptive sliding-mode control for MIMO nonlinear systems, IEEE Trans. Fuzzy Syst. 11(3), 354–360 (2003) H.K. Khalil, F. Esfandiari: Semiglobal stabilization of a class of nonlinear systems using output feedback, IEEE Trans. Autom. Control 38(9), 1412–1115 (1993) H.K. Khalil: Robust servomechanism output feedback controllers for a class of feedback linearizable systems, Automatica 30(10), 1587–1599 (1994) H.K. Khalil: Adaptive output feedback control of nonlinear systems represented by input–output models, IEEE Trans. Autom. Control 41(2), 177–188 (1996) B. Aloliwi, H.K. Khalil: Robust adaptive output feedback control of nonlinear systems without persistence of excitation, Automatica 33(11), 2025– 2032 (1997) S. Tong, T. Wang, J.T. Tang: Fuzzy adaptive output tracking control of nonlinear systems, Fuzzy Sets Syst. 111(2), 169–182 (2000) J.-X. Xu, Y. Tan: Nonlinear adaptive wavelet control using constructive wavelet networks, IEEE Trans. Neural Netw. 18(1), 115–127 (2007) S. Fabri, V. Kadirkamanathan: Dynamic structure neural networks for stable adaptive control of

Control of Uncertain Systems

11.54

11.55

11.56

11.57

11.59

11.60

11.61

11.62

11.63

11.64

11.65

11.66

11.67

11.68

11.69

namical systems, IEEE Trans. Neural Netw. 19(3), 460–474 (2008) M. Jankovic: Adaptive output feedback control of nonlinear feedback linearizable systems, Int. J. Adapt. Control Signal Process. 10, 1–18 (1996) T. Zhang, S.S. Ge, C.C. Hang: Stable adaptive control for a class of nonlinear systems using a modified Lyapunov function, IEEE Trans. Autom. Control 45(1), 129–132 (2000) T. Zhang, S.S. Ge, C.C. Hang: Design and performance analysis of a direct adaptive controller for nonlinear systems, Automatica 35(11), 1809–1817 (1999) B. Yao: Integrated direct/indirect adaptive robust control of SISO nonlinear systems in semi-strict feedback form, Proc. Am. Control Conf., Vol. 4 (Denver 2003) pp. 3020–3025 B. Yao: Indirect adaptive robust control of SISO nonlinear systems in semi-strict feedback forms, Proc. 15th IFAC World Congr. (Barcelona 2002) pp. 1– 6 F. Esfandiari, H.K. Khalil: Output feedback stabilization of fully linerizable systems, Int. J. Control 56(5), 1007–1037 (1992) N.A. Mahmoud, H.K. Khalil: Asymptotic regulation of minimum phase nonlinear systems using output feedback, IEEE Trans. Autom. Control 41(10), 1402– 1412 (1996) B. Yao: Lecture Notes from Course on Nonlinear Feedback Controller Design (School of Mechanical Engineering, Purdue University, West Lafayette 2007 )

219

Part B 11

11.58

nonlinear systems, IEEE Trans. Neural Netw. 7(5), 1151–1166 (1996) G.P. Liu, V. Kadirkamanathan, S.A. Billings: Variable neural networks for adaptive control of nonlinear systems, IEEE Trans. Syst. Man Cybern. B 39(1), 34–43 (1999) Y. Lee, S. Hui, E. Zivi, S.H. Z˙ ak: Variable neural adaptive robust controllers for uncertain systems, Int. J. Adapt. Control Signal Process. 22(8), 721–738 (2008) J. Lian, Y. Lee, S.H. Z˙ ak: Variable neural adaptive robust control of uncertain systems, IEEE Trans. Autom. Control 53(11), 2658–2664 (2009) J. Lian, Y. Lee, S.D. Sudhoff, S.H. Z˙ ak: Variable structure neural network based direct adaptive robust control of uncertain systems, Proc. Am. Control Conf. (Seattle 2008) pp. 3402– 3407 J. Lian, J. Hu, S.H. Z˙ ak: Adaptive robust control: A switched system approach, IEEE Trans. Autom. Control, to appear (2010) J.P. Hespanha, A.S. Morse: Stability of switched systems with average dwell-time, Proc. 38th Conf. Decis. Control (Phoenix 1999) pp. 2655– 2660 R.J. Schilling, J.J. Carroll, A.F. Al–Ajlouni: Approximation of nonlinear systems with radial basis function neural network, IEEE Trans. Neural Netw. 12(1), 1–15 (2001) J. Lian, Y. Lee, S.D. Sudhoff, S.H. Z˙ ak: Selforganizing radial basis function network for real-time approximation of continuous-time dy-

References

“This page left intentionally blank.”

221

Cybernetics a 12. Cybernetics and Learning Automata

John Oommen, Sudip Misra

12.3 Environment ........................................ 223 12.4 Classification of Learning Automata ....... 224 12.4.1 Deterministic Learning Automata ... 224 12.4.2 Stochastic Learning Automata ........ 224 12.5 Estimator Algorithms ............................ 12.5.1 Rationale and Motivation.............. 12.5.2 Continuous Estimator Algorithms ... 12.5.3 Discrete Estimator Algorithms ........ 12.5.4 Stochastic Estimator Learning Algorithm (SELA) ...........................

228 228 228 230 231

12.6 Experiments and Application Examples .. 232 12.7 Emerging Trends and Open Challenges ... 233

12.1 Basics .................................................. 221

12.8 Conclusions .......................................... 234

12.2 A Learning Automaton .......................... 223

References .................................................. 234

12.1 Basics What is a learning automaton? What is learning all about? what are the different types of learning automata (LA) available? How are LA related to the general field of cybernetics? These are some of the fundamental issues that this chapter attempts to describe, so that we can understand the potential of the mechanisms, and their capabilities as primary tools which can be used to solve a host of very complex problems. The Webster’s dictionary defines cybernetics as: . . . the science of communication and control theory that is concerned especially with the comparative study of automatic control systems (as the nervous system, the brain and mechanical–electrical communication systems). The word cybernetics itself has its etymological origins in the Greek root kybernan, meaning to steer or to govern. Typically, as explained in the Encyclopaedia Britannica:

Cybernetics is associated with models in which a monitor compares what is happening to a system at various sampling times with some standard of what should be happening, and a controller adjusts the system’s behaviour accordingly. Of course, the goal of the exercise is to design the controller so as to appropriately adjust the system’s behavior. Modern cybernetics is an interdisciplinary field, which philosophically encompasses an ensemble of areas including neuroscience, computer science, cognition, control systems, and electrical networks. The linguistic meaning of automaton is a selfoperating machine or a mechanism that responds to a sequence of instructions in a certain way, so as to achieve a certain goal. The automaton either responds to a predetermined set of rules, or adapts to the environmental dynamics in which it operates. The latter types of automata are pertinent to this chapter, and

Part B 12

Stochastic learning automata are probabilistic finite state machines which have been used to model how biological systems can learn. The structure of such a machine can be fixed or can be changing with time. A learning automaton can also be implemented using action (choosing) probability updating rules which may or may not depend on estimates from the environment being investigated. This chapter presents an overview of the field of learning automata, perceived as a completely new paradigm for learning, and explains how it is related to the area of cybernetics.

222

Part B

Automation Theory and Scientific Foundations

Part B 12.1

are termed as adaptive automata. The term learning in psychology means the act of acquiring knowledge and modifying one’s behavior based on the experience gained. Thus, in our case, the adaptive automaton we study in this chapter adapts to the responses from the environment through a series of interactions with it. It then attempts to learn the best action from a set of possible actions that are offered to it by the random stationary or nonstationary environment in which it operates. The automaton thus acts as a decision maker to arrive at the best action. Well then, what do learning automata have to do with cybernetics? The answer to this probably lies in the results of the Russian pioneer Tsetlin [12.1, 2]. Indeed, when Tsetlin first proposed his theory of learning, his aim was to use the principles of automata theory to model how biological systems could learn. Little did he guess that his seminal results would lead to a completely new paradigm for learning, and a subfield of cybernetics. The operations of the LA can be best described through the words of the pioneers Narendra and Thathachar [12.3, p. 3]: . . . a decision maker operates in the random environment and updates its strategy for choosing actions on the basis of the elicited response. The decision maker, in such a feedback configuration of decision maker (or automaton) and environment, is referred to as the learning automaton. The automaton has a finite set of actions, and corresponding to each action, the response of the environment can be either favorable or unfavorable with a certain probability. LA, thus, find applications in optimization problems in which an optimal action needs to be determined from a set of actions. It should be noted that, in this context, learning might be of best help only when there are high levels of uncertainty in the system in which the automaton operates. In systems with low levels of uncertainty, LA-based learning may not be a suitable tool of choice [12.3]. The first studies with LA models date back to the studies by mathematical psychologists such as Bush and Mosteller [12.4], and Atkinson et al. [12.5]. In 1961, the Russian mathematician, Tsetlin [12.1, 2] studied deterministic LA in detail. Varshavskii and Vorontsova [12.6]

introduced the stochastic variable structure versions of the LA. Tsetlin’s deterministic automata [12.1, 2] and Varshavskii and Vorontsova’s stochastic automata [12.6] were the major initial motivators of further studies in this area. Following them, several theoretical and experimental studies have been conducted by several researchers: Narendra, Thathachar, Lakshmivarahan, Obaidat, Najim, Poznyak, Baba, Mason, Papadimitriou, and Oommen, to mention a few. A comprehensive overview of research in the field of LA can be found in the classic text by Narendra and Thathachar [12.3], and in the recent special issue of IEEE Transactions [12.7]. It should be noted that none of the work described in this chapter is original. Most of the discussions, terminologies, and all the algorithms that are explained in this chapter are taken from the corresponding existing pieces of literature. Thus, the notation and terminology can be considered to be off the shelf, and fairly standard. With regard to applications, the entire field of LA and stochastic learning, has had a myriad of applications [12.3, 8–11], which (apart from the many applications listed in these books) include solutions for problems in network and communications [12.12– 15], network call admission, traffic control, qualityof-service routing, [12.16–18], distributed scheduling [12.19], training hidden Markov models [12.20], neural network adaptation [12.21], intelligent vehicle control [12.22], and even fairly theoretical problems such as graph partitioning [12.23]. We conclude this introductory section by emphasizing that this brief chapter should not be considered a comprehensive survey of the field of LA. In particular, we have not addressed the concept of LA which possess an infinite number of actions [12.24], systems which deal with teachers and liars [12.25], nor with any of the myriad issues that arise when we deal with networks of LA [12.11]. Also, the reader should not expect a mathematically deep exegesis of the field. Due to space limitations, the results available are merely cited. Additionally, while the results that are reported in the acclaimed books are merely alluded to, we give special attention to the more recent results – namely those which pertain to the discretized, pursuit, and estimator algorithms. Finally, we mention that the bibliography cited here is by no means comprehensive. It is brief and is intended to serve as a pointer to the representative papers in the theory and applications of LA.

Cybernetics and Learning Automata

12.3 Environment

223

12.2 A Learning Automaton In the field of automata theory, an automaton can be defined as a quintuple consisting of a set of states, a set of outputs or actions, an input, a function that maps the current state and input to the next state, and a function that maps a current state (and input) into the current output [12.3, 8–11]. Definition 12.1

A LA is defined by a quintuple A, B, Q, F(·, ·), G(·), where:

If the sets Q, B, and A are all finite, the automaton is said be finite.

12.3 Environment The environment E typically refers to the medium in which the automaton functions. The environment possesses all the external factors that affect the actions of the automaton. Mathematically, an environment can be abstracted by a triple A, C, B. A, C, and B are defined as: (i) A = {α1 , α2 , . . . , αr } is the set of actions. (ii) B = {β1 , β2 , . . . , βm } is the output set of the environment. Again, we consider the case when m = 2, i. e., with β = 0 representing a reward, and β = 1 representing a penalty. (iii) C = {c1 , c2 , . . . , cr } is a set of penalty probabilities, where element ci ∈ C corresponds to an input action αi . The process of learning is based on a learning loop involving the two entities: the random environment (RE), and the LA, as illustrated in Fig. 12.1. In the process of learning, the LA continuously interacts with the environment to process responses to its various actions (i. e., its choices). Finally, through sufficient interactions, the LA attempts to learn the optimal action offered by the RE. The actual process of learning is

represented as a set of interactions between the RE and the LA. The RE offers the automaton with a set of possible actions {α1 , α2 , . . . , αr } to choose from. The automaton chooses one of those actions, say αi , which serves as an input to the RE. Since the RE is aware of the underlying penalty probability distribution of the system, depending on the penalty probability ci corresponding to αi , it prompts the LA with a reward (typically denoted by the value 0), or a penalty (typically denoted by the value 1). The reward/penalty information (corresponding to the action) provided to the LA helps it to choose the subsequent action. By repeating the above ci ∈ {c1, ..., cr} Random environment α ∈ {α1, ..., αr}

β ∈ {0, 1} Learning automaton

Fig. 12.1 The automaton–environment feedback loop

Part B 12.3

A = {α1 , α2 , . . . , αr } is the set of outputs or actions, and α(t) is the action chosen by the automaton at any instant t. (ii) B = {β1 , β2 , . . . , βm } is the set of inputs to the automaton. β(t) is the input at any instant t. The set B can be finite or infinite. In this chapter, we consider the case when m = 2, i. e., when B = {0, 1}, where β = 0 represents the event that the LA has been rewarded, and β = 1 represents the event that the LA has been penalized. (i)

(iii) Q = {q1 , q2 , . . . , qs } is the set of finite states, where q(t) denotes the state of the automaton at any instant t. (iv) F(·, ·) : Q × B → Q is a mapping in terms of the state and input at the instant t, such that, q(t + 1) = F(q(t), β(t)). It is called a transition function, i. e., a function that determines the state of the automaton at any subsequent time instant t + 1. This mapping can either be deterministic or stochastic. (v) G(·) is a mapping G : Q → A, and is called the output function. Depending on the state at a particular instant, this function determines the output of the automaton at the same instant as α(t) = G(q(t)). This mapping can, again, be either deterministic or stochastic. Without loss of generality, G is deterministic.

224

Part B

Automation Theory and Scientific Foundations

process, through a series of environment–automaton interactions, the LA finally attempts to learn the optimal action from the environment. We now provide a few important definitions used in the field. P(t) is referred to as the action probability vector, where, P(t) = [ p1 (t), p2 (t), . . . , pr (t)] , in which each element of the vector pi (t) = Pr[α(t) = αi ] ,

i = 1, . . . , r ,

Given an action probability vector, P(t) at time t, the average penalty is M(t) = E[β(t)|P(t)] = Pr[β(t) = 1|P(t)] r

Pr[β(t) = 1|α(t) = αi ]Pr[α(t) = αi ] = i=1

Part B 12.4

ci pi (t) .

lim E[M(t)] < M0 .

t→∞

A LA is said to be absolutely expedient if E[M(t + 1)|P(t)] < M(t), implying that E[M(t + 1)] < E[M(t)].

Definition 12.4

A LA is considered optimal if limt→∞ E[M(t)] = cl , where cl = mini {ci }.

(12.2)

Definition 12.5

i=1

The average penalty for the pure-chance automaton is given by r 1

ci . (12.3) M0 = r i=1

As t → ∞, if the average penalty M(t) < M0 , at least asymptotically, the automaton is generally considered to be better than the pure-chance automaton. E[M(t)] is given by E[M(t)] = E{E[β(t)|P(t)]} = E[β(t)] .

A LA is considered expedient if

Definition 12.3

i=1

=

Definition 12.2

(12.1)

such that r

pi (t) = 1 ∀t .

r

A LA that performs better than by pure chance is said to be expedient.

(12.4)

A LA is considered -optimal if limn→∞ E[M(t)] < cl + ,

(12.5)

where > 0, and can be arbitrarily small, by a suitable choice of some parameter of the LA. It should be noted that no optimal LA exist. Marginally suboptimal performance, also termed above -optimal performance, is what LA researchers attempt to attain.

12.4 Classification of Learning Automata 12.4.1 Deterministic Learning Automata An automaton is termed a deterministic automaton, if both the transition function F(·, ·) and the output function G(·) defined in Sect. 12.2 are deterministic. Thus, in a deterministic automaton, the subsequent state and action can be uniquely specified, provided that the present state and input are given.

12.4.2 Stochastic Learning Automata If, however, either the transition function F(·, ·) or the output function G(·) are stochastic, the automaton is

termed a stochastic automaton. In such an automaton, if the current state and input are specified, the subsequent states and actions cannot be specified uniquely. In such a case, F(·, ·) only provides the probabilities of reaching the various states from a given state. Let Fβ1 , Fβ2 , . . . , Fβm denote the conditional probability matrices, where each of these conditional matrices Fβ (for β ∈ B) is a s × s matrix, whose arbitrary element β f ij is β

f ij = Pr[q(t + 1) = q j |q(t) = qi , β(t) = β] , i, j = 1, 2, . . . , s .

(12.6)

Cybernetics and Learning Automata

β

In (12.6), each element fij of the matrix Fβ represents the probability of the automaton moving from state qi to the state q j on receiving an input signal β from the RE. Fβ is a Markov matrix, and hence s

β

f ij = 1 ,

j=1

(12.7)

Similarly, in a stochastic automaton, if G(·) is stochastic, we have

chosen is dependent on the action probability distribution vector, which is, in turn, updated based on the reward/penalty input that the automaton receives from the RE. A VSSA is a quintuple Q, A, B, T , where Q represents the different states of the automaton, A is the set of actions, B is the set of responses from the environment to the LA, G is the output function, and T is the action probability updating scheme T : [0, 1]r × A × B → [0, 1]r , such that

i, j = 1, 2, . . . , s ,

P(t + 1) = T [P(t), α(t), β(t)] ,

(12.8)

gij = 1 ,

for each row i = 1, 2, . . . , s . (12.9)

j=1

Fixed Structure Learning Automata β In a stochastic LA, if the conditional probabilities f ij and gij are constant, i. e., they do not vary with the time step t and the input sequence, the automaton is termed a fixed structure stochastic automaton (FSSA). The popular examples of these types of automata were proposed by Tsetlin [12.1, 2], Krylov [12.26], and Krinsky [12.27] – all of which are -optimal. Their details can be found in [12.3]. Variable Structure Learning Automata Unlike the FSSA, variable structure stochastic automata (VSSA) are those in which the state transition probabilities are not fixed. In such automata, the state transitions or the action probabilities themselves are updated at every time instant using a suitable scheme. β The transition probabilities f ij and the output function gij vary with time, and the action probabilities are updated on the basis of the input. These automata are discussed here in the context of linear schemes, but the concepts discussed below can be extended to nonlinear updating schemes as well. The types of automata that update transition probabilities with time were introduced in 1963 by Varshavskii and Vorontsova [12.6]. A VSSA depends on a randomnumber generator for its implementation. The action

(12.10)

where P(t) is the action probability vector. Normally, VSSA involve the updating of both the state and action probabilities. For the sake of simplicity, in practice, it is assumed that in such automata, each state corresponds to a distinct action, in which case the action transition mapping G becomes the identity mapping, and the number of states, s, is equal to the number of actions, r (s = r < ∞). VSSA can be analyzed using a discrete-time Markov process, defined on a suitable set of states. If a probability updating scheme T is time invariant, {P(t)}t≥0 is a discrete-homogenous Markov process, and the probability vector at the current time instant P(t) (along with α(t) and β(t)) completely determines P(t + 1). Hence, each distinct updating scheme, T , identifies a different type of learning algorithm, as follows:

• • • •

Absorbing algorithms are those in which the updating scheme, T , is chosen in such a manner that the Markov process has absorbing states; Nonabsorbing algorithms are those in which the Markov process has no absorbing states; Linear algorithms are those in which P(t + 1) is a linear function of P(t); Nonlinear algorithms are those in which P(t + 1) is a nonlinear function of P(t).

In a VSSA, if a chosen action αi is rewarded, the probability for the current action is increased, and the probabilities for all other actions are decreased. On the other hand, if the chosen action αi is penalized, the probability of the current action is decreased, whereas the probabilities for the rest of the actions could, typically, be increased. This leads to the following different types of learning schemes for VSSA:

Part B 12.4

where gij represents the elements of the conditional probability matrix of dimension s × r. Intuitively, gij denotes the probability that, when the automaton is in state qi , it chooses the action α j . As in (12.7), we have r

225

Definition 12.6

where β ∈ B; i = 1, 2, . . . , s .

gij = Pr{α(t) = α j |q(t) = qi } ,

12.4 Classification of Learning Automata

226

Part B

Automation Theory and Scientific Foundations

• • •

Reward–penalty (RP): In both cases, i. e., when the automaton is rewarded as well as penalized, the action probabilities are updated; Inaction–penalty (IP): When the automaton is penalized the action probability vector is updated, whereas when the automaton is rewarded the action probabilities are neither increased nor decreased; Reward–inaction (RI): The action probability vector is updated whenever the automaton is rewarded, and is unchanged whenever the automaton is penalized.

Part B 12.4

A LA is considered to be a continuous automaton if the probability updating scheme T is continuous, i. e., the probability of choosing an action can be any real number in the closed interval [0, 1]. In a VSSA, if there are r actions operating in a stationary environment with β = {0, 1}, a general action probability updating scheme for a continuous automaton is described below. We assume that the action αi is chosen, and thus, α(t) = αi . The updated action probabilities can be specified as for β(t) = 0 , ∀ j = i , p j (t + 1) = p j (t) − g j [P(t)] , for β(t) = 1 , ∀ j = i , p j (t + 1) = p j (t) + h j [P(t)] . (12.11)

Since P(t) is a probability vector, Therefore,

(r j=1

p j (t) = 1.

when β(t) = 0 , r

pi (t + 1) = pi (t) +

g j [P(t)] ,

j=1, j =i

and when β(t) = 1 , pi (t + 1) = pi (t) −

r

h j [P(t)] .

(12.12)

j=1, j =i

The functions h j and g j are nonnegative and continuous in [0, 1], and obey ∀i = 1, 2, . . . , r , ∀P ∈ (0, 1) R , 0 < g j (P) < p j , and r

[ p j + h j (P)] < 1 . 0
2, is straightforward and can be found in [12.3]. The four learning schemes are:

• • • •

The linear reward–inaction scheme (L RI ) The linear inaction–penalty scheme (L IP ) The symmetric linear reward–penalty scheme (L RP ) The linear reward– -penalty scheme (L R− P ).

For a two-action LA, let gi [P(t)] = a p j (t) and h j [P(t)] = b(1 − p j (t)) .

(12.14)

In (12.14), a and b are called the reward and penalty parameters, and they obey the following inequalities: 0 < a < 1, 0 ≤ b < 1. Equation (12.14) will be used further to develop the action probability updating equations. The above-mentioned linear schemes are quite popular in LA because of their analytical tractability. They exhibit significantly different characteristics, as can be seen in Table 12.1. The L RI scheme was first introduced by Norman [12.28], and then studied by Shapiro and Narendra [12.29]. It is based on the principle that, whenever the automaton receives a favorable response (i. e., reward) from the environment, the action probabilities are

Table 12.1 Properties of the continuous learning schemes Learning scheme

Learning parameters

Usefulness (good/bad)

Optimality

Ergodic/absorbing (when useful)

L RI

a > 0, b=0 a = 0, b>0 a = b, a, b > 0 a > 0, ba

Good

-optimal as a → 0 Not even expedient Never -optimal -optimal as a → 0

Absorbing (stationary E) Ergodic (nonstationary E) Ergodic (nonstationary E) Ergodic (nonstationary E)

L IP L RP (symmetric) L R− P

Very bad Bad Good

Cybernetics and Learning Automata

updated in a linear manner, whereas if the automaton receives an unfavorable response (i. e., penalty) from the environment, they are unaltered. The probability updating equations for this scheme can be simplified to p1 (t + 1) = p1 (t) + a[1 − p1 (t)] , if α(t) = α1 , and β(t) = 0 , p1 (t + 1) = (1 − a) p1 (t) , if α(t) = α2 , and β(t) = 0 , p1 (t + 1) = p1 (t) , if α(t) = α1 or α2 , and β(t) = 1 .

(12.15)

Discretized Learning Automata The VSSA algorithms presented in Sect. 12.4.2 are continuous, i. e., the action probabilities can assume any real value in the interval [0, 1]. In LA, the choice of an action is determined by a random-number generator (RNG). In order to increase the speed of convergence of these automata, Thathachar and Oommen [12.30] introduced the discretized algorithms for VSSA, in which they suggested the discretization of the probability space. The different properties (absorbing and ergodic) of these learning automata, and the updating schemes of action probabilities for these discretized automata (like their continuous counterparts), were later studied in detail by Oommen et al. [12.31–34]. Discretized automata can be perceived to be somewhat like a hybrid combination of FSSA and VSSA. Discretization is conceptualized by restricting the probability of choosing the actions to only a fixed number of values in the closed interval [0, 1]. Thus, the updating of the action probabilities is achieved in steps rather than in a continuous manner as in the case of continuous VSSA. Evidently, like FSSA, they possess finite sets, but because they have action probability vectors which are random vectors, they behave like VSSA. Discretized LA can also be of two types:

(i) Linear – in which case the action probability values are uniformly spaced in the closed interval [0, 1] (ii) Nonlinear – in which case the probability values are unequally spaced in the interval [0, 1] [12.30, 32– 34]. Perhaps the greatest motivation behind discretization is overcoming the persistent limitation of con-

Table 12.2 Properties of the discretized learning schemes Learning scheme DLRI

Learning parameters N>0

Usefulness (good/bad) Good

Optimality (as N → ∞) -optimal

DLIP

N>0

Very bad

Expedient

ADLIP

N>0

Good, sluggish

DLRP

N>0

Reasonable

ADLRP

N>0

Good

MDLRP

N>0

Good

-optimal -optimal if cmin < 0.5 -optimal -optimal

Ergodic/absorbing (when useful) Absorbing (stationary E) Ergodic (nonstationary E) Artificially absorbing (stationary environments) Ergodic (nonstationary E) Artificially absorbing (stationary E) Ergodic (nonstationary E)

227

Part B 12.4

We see that, if action αi is chosen, and a reward is received, the probability pi (t) is increased, and the other probability p j (t) (i. e., j = i) is decreased. If either α1 or α2 is chosen, and a penalty is received, P(t) is unaltered. Equation (12.15) shows that the L RI scheme has the vectors [1, 0] and [0, 1] as two absorbing states. Indeed, with probability 1, it gets absorbed into one of these absorbing states. Therefore, the convergence of the L RI scheme is dependent on the nature of the initial conditions and probabilities. The scheme is not suitable for nonstationary environments. On the other hand, for stationary random environments, the L RI scheme is both absolutely expedient, and -optimal [12.3]. The L IP and L RP schemes are devised similarly, and are omitted from further discussions. They, and their respective analysis, can be found in [12.3]. The so-called symmetry conditions for the functions g(·) and h(·) to lead to absolutely expedient LA are also derived in [12.3, 8].

12.4 Classification of Learning Automata

228

Part B

Automation Theory and Scientific Foundations

tinuous learning automata, i. e., the slow rate of convergence. This is achieved by narrowing the underlying assumptions of the automata. Originally, the assumption was that the RNGs could generate real values with arbitrary precision. In the case of discretized LA, if an action probability is reasonably close to unity, the probability of choosing that action increases to unity (when the conditions are appropriate) directly, rather than asymptotically [12.30–34]. The second important advantage of discretization is that it is more practical in the sense that the RNGs used by continuous VSSA can only theoretically be assumed to adopt any value in the interval [0, 1], whereas almost all machine implementations of RNGs use pseudoRNGs. In other words, the set of possible random values is not infinite in [0, 1], but finite.

Last, but not the least, discretization is also important in terms of implementation and representation. Discretized implementations of automata use integers for tracking the number of multiples of 1/N of the action probabilities, where N is the so-called resolution parameter. This not only increases the rate of convergence of the algorithm, but also reduces the time, in terms of the clock cycles it takes for the processor to do each iteration of the task, and the memory needed. Discretized algorithms have been proven to be both more time and space efficient than the continuous algorithms. Similar to the continuous LA paradigm, the discretized versions, the DL RI , DL IP , and DL RP automata, have also been reported. Their design, analysis, and properties are given in [12.30, 32–34], and are summarized in Table 12.2.

Part B 12.5

12.5 Estimator Algorithms 12.5.1 Rationale and Motivation As we have seen so far, the rate of convergence of learning algorithms is one of the most important considerations, which was the primary reason for designing the family of discretized algorithms. With the same goal Thathachar and Sastry designed a new-class of algorithms, called the estimator algorithms [12.35–38], which have faster rate of convergence than all the previous families. These algorithms, like the previous ones, maintain and update an action probability vector. However, unlike the previous ones, these algorithms also keep running estimates for each action that is rewarded, using a reward–estimate vector, and then use those estimates in the probability updating equations. The reward estimates vector is, typically, denoted in the literature ˆ = [dˆ 1 (t), . . . , dˆ r (t)] . The corresponding state by D(t) ˆ vector is denoted by Q(t) = P(t), D(t). In a random environment, these algorithms help in choosing an action by increasing the confidence in the reward capabilities of the different actions; for example, these algorithms initially process each action a number of times, and then (in one version) could increase the probability of the action with the highest reward estimate [12.39]. This leads to a scheme with better accuracy in choosing the correct action. The previous nonestimator VSSA algorithms update the probability vector directly on the basis of the response of the environment to the automaton, where, depending on the type of vector updating scheme being used,

the probability of choosing a rewarded action in the subsequent time instant is increased, and the probabilities of choosing the other actions could be decreased. However, estimator algorithms update the probability vector based on both the estimate vector and the current feedback provided by the environment to the automaton. The environment influences the probability vector both directly and indirectly, the latter being as a result of the estimation of the reward estimates of the different actions. This may, thus, lead to increases in action probabilities different from the currently rewarded action. Even though there is an added computational cost involved in maintaining the reward estimates, these estimator algorithms have an order of magnitude superior performance than the nonestimator algorithms previously introduced. Lanctôt and Oommen [12.31] further introduced the discretized versions of these estimator algorithms, which were proven to have an even faster rate of convergence.

12.5.2 Continuous Estimator Algorithms Thathachar and Sastry introduced the class of continuous estimator algorithms [12.35–38] in which the probability updating scheme T is continuous, i. e., the probability of choosing an action can be any real number in the closed interval [0, 1]. As mentioned subsequently, the discretized versions of these algorithms were introduced by Oommen and his co-authors, Lanc-

Cybernetics and Learning Automata

tôt and Agache [12.31, 40]. These algorithms are briefly explained in Sect. 12.5.3.

dˆ i (t) =

Wi (t) , Z i (t)

∀i = 1, 2, . . . , r ,

(12.16)

where Wi (t) is the number of times the action αi has been rewarded until the current time t, and Z i (t) is the number of times αi has been chosen until the current time t. Based on the above concepts, the CPRP algorithm is formally given in [12.31, 39, 40]. The algorithm is similar in principle to the L RP algorithm, because both the CPRP and the L RP algorithms increase/decrease the action probabilities of the vector, independent of whether the environment responds to the automaton with a reward or a penalty. The major difference lies in the way the reward estimates are maintained, used, and are updated on both reward/penalty. It should be emphasized that, whereas the nonpursuit algorithm moves the probability vector in the direction of the most recently rewarded action, the pursuit algorithm moves the probability vector in the direction of the action with the highest reward estimate. Thathachar and Sastry [12.41] have theoretically proven their optimality, and experimentally proven that these pursuit

229

algorithms are more accurate, and several orders of magnitude faster than the nonpursuit algorithms. The reward–inaction version of this pursuit algorithm is also similar in design, and is described in [12.31, 40]. Other pursuit-like estimator schemes have also been devised and can be found in [12.40]. TSE Algorithm A more advanced estimator algorithm, which we refer to as the TSE algorithm to maintain consistency with the existing literature [12.31, 39, 40], was designed by Thathachar and Sastry [12.37, 38]. Like the other estimator algorithms, the TSE algorithm maintains the running reward estimates vector ˆ D(t) and uses it to calculate the action probability vector P(t). When an action αi (t) is rewarded, according to the TSE algorithm, the probability components with a reward estimate greater than dˆ i (t) are treated differently from those components with a value lower than dˆ i (t). The algorithm does so by increasing the probabilities for all the actions that have a higher estimate than the estimate of the chosen action, and decreasing the probabilities of all the actions with a lower estimate. This is done with the help of an indicator function Sij (t) which assumes the value 1 if dˆ i (t) > dˆ j (t) and the value 0 if dˆ i (t) ≤ dˆ j (t). Thus, the TSE algorithm uses both the probability vector P(t) and the reward ˆ estimates vector D(t) to update the action probabilities. The algorithm is formally described in [12.39]. On careful inspection of the algorithm, it can be observed that P(t + 1) depends indirectly on the response of the environment to the automaton. The feedback from the environment changes the values of the components of ˆ D(t), which, in turn, affects the values of the functions f (·) and Sij (t) [12.31, 37–39]. Analyzing the algorithm carefully, we obtain three cases. If the i-th action is rewarded, the probability values of the actions with reward estimates higher than the reward estimate of the currently selected action are updated using [12.37]

p j (t + 1) = p j (t) − λ



  [ pi (t) − p j (t) pi (t)] f dˆ i (t) − dˆ j (t) r −1

 ;

(12.17)

  when dˆ i (t) < dˆ j (t), since the function f dˆ i (t) − dˆ j (t)   is monotonic and increasing, f dˆ i (t) − dˆ j (t) is seen to be negative. This leads to a higher value of p j (t + 1) than that of p j (t), which indicates that the probability of choosing actions that have estimates greater than

Part B 12.5

Pursuit Algorithm The family of pursuit algorithms is a class of estimator algorithms that pursue an action that the automaton currently perceives to be the optimal one. The first pursuit algorithm, called the CPRP algorithm, introduced by Thathachar and Sastry [12.36, 41], pursues the optimal action by changing the probability of the current optimal action whether it receives a reward or a penalty by the environment. In this case, the currently perceived best action is rewarded, and its action probability value is increased with a value directly proportional to its distance to unity, namely 1 − pm (t), whereas the less optimal actions are penalized, and their probabilities decreased proportionally. To start with, based on the probability distribution P(t), the algorithm chooses an action α(t). Whether the response was a reward or a penalty, it increases that component of P(t) which has the maximal current reward estimate, and it decreases the probability corresponding to the rest of the actions. Finally, the algorithm updates the running estimates of the reward probability of the action chosen, this being the principal idea behind keeping, and using, the running estimates. The ˆ estimate vector D(t) can be computed using the following formula which yields the maximum-likelihood estimate

12.5 Estimator Algorithms

230

Part B

Automation Theory and Scientific Foundations

that of the estimates of the currently chosen action will increase. For all the actions with reward estimates smaller than the estimate of the currently selected action, the probabilities are updated based on   p j (t + 1) = p j (t) − λ f dˆ i (t) − dˆ j (t) p j (t) . (12.18)   The sign of the function f dˆ i (t) − dˆ j (t) is negative, which indicates that the probability of choosing actions that have estimates less than that of the estimate of the currently chosen action will decrease. Thathachar and Sastry have proven that the TSE algorithm is -optimal [12.37]. They have also experimentally shown that the TSE algorithm often converges several orders of magnitude faster than the L RI scheme.

Part B 12.5

Generalized Pursuit Algorithm Agache and Oommen [12.40] proposed a generalized version of the pursuit algorithm (CPRP ) proposed by Thathachar and Sastry [12.36, 41]. Their algorithm, called the generalized pursuit algorithm (GPA), generalizes Thathachar and Sastry’s pursuit algorithm by pursuing all those actions that possess higher reward estimates than the chosen action. In this way the probability of choosing a wrong action is minimized. Agache and Oommen experimentally compared their pursuit algorithm with the existing algorithms, and found that their algorithm is the best in terms of the rate of convergence [12.40]. In the CPRP algorithm, the probability of the best estimated action is maximized by first decreasing the probability of all the actions in the following manner [12.40]

p j (t + 1) = (1 − λ) p j (t) ,

j = 1, 2, . . . , r . (12.19)

The sum of the action probabilities is made unity by the help of the probability mass Δ, which is given by [12.40] Δ = 1− = 1−

r

j=1 r

j=1

p j (t + 1) = 1 −

r

(1 − λ) p j (t)

j=1

p j (t) + λ

r

p j (t) = λ .

(12.20)

j=1

Thereafter, the probability mass Δ is added to the probability of the best estimated action. The GPA algorithm, thus, equidistributes the probability mass Δ to the action estimated to be superior to the chosen action. This

gives us [12.40] pm (t + 1) = (1 − λ) pm (t) + Δ = (1 − λ) pm (t) + λ , 

where dˆ m = max j=1,2,...,r scheme is given by [12.40]

(12.21)

 dˆ j (t) . Thus, the updating

p j (t + 1) = (1 − λ) p j (t) +

λ , K (t)

if dˆ j (t) > dˆ i (t) , j = i , p j (t + 1) = (1 − λ) p j (t) , if dˆ j (t) ≤ dˆ i (t) , j = i ,

p j (t + 1) , pi (t + 1) = 1 −

(12.22)

j =i

where K (t) denotes the number of actions that have estimates greater than the estimate of the reward probability of the action currently chosen. The formal algorithm is omitted, but can be found in [12.40].

12.5.3 Discrete Estimator Algorithms As we have seen so far, discretized LA are superior to their continuous counterparts, and the estimator algorithms are superior to the nonestimator algorithms in terms of the rate of convergence of the learning algorithms. Utilizing the previously proven capabilities of discretization in improving the speed of convergence of the learning algorithms, Lanctôt and Oommen [12.31] enhanced the pursuit and the TSE algorithms. This led to the designing of classes of learning algorithms, referred to in the literature as the discrete estimator algorithms (DEA) [12.31]. To this end, as done in the previous discrete algorithms, the components of the action probability vector are allowed to assume a finite set of discrete values in the closed interval [0, 1], which is, in turn, divided into a number of subintervals proportional to the resolution parameter N. Along with this, a reward estimate vector is maintained to keep an estimate of the reward probability of each action [12.31]. Lanctôt and Oommen showed that, for each member algorithm belonging to the class of DEAs to be -optimal, it must possess a pair of properties known as the property of moderation and the monotone property. Together these properties help prove the -optimality of any DEA algorithm [12.31]. Moderation Property A DEA with r actions and a resolution parameter N is said to possess the property of moderation if the max-

Cybernetics and Learning Automata

imum magnitude by which an action probability can decrease per iteration is bounded by 1/(rN). Monotone Property Suppose there exists an index m and a time instant t0 < ∞, such that dˆ m (t) > dˆ j (t), ∀ j s.t. j = m and ∀t s.t. ˆ t ≥ t0 , where dˆ m (t) is the maximal component of D(t). A DEA is said to possess the monotone property if there exists an integer N0 such that, for all resolution parameters N > N0 , pm (t) → 1 with probability 1 as t → ∞, where pm (t) is the maximal component of P(t). The discretized versions of the pursuit algorithm, and the TSE algorithm possessing the moderation and monotone properties, are presented in the next section.

Discrete TSE Algorithm Lanctôt and Oommen also discretized the TSE algorithm, and have referred to it as the discrete TSE algorithm (DTSE) [12.31]. Since the algorithm is based

231

on the continuous version of the TSE algorithm, it obviously has the same level of intricacy, if not more. Lanctôt and Oommen theoretically proved that, like the DPA estimator algorithm, this algorithm also possesses the moderation and the monotone properties, while maintaining many of the qualities of the continuous TSE algorithm. They also provided the proof of convergence of this algorithm. There are two notable parameters in the DTSE algorithm: 1. Δ = 1/(rNθ), where N is the resolution parameter as before 2. θ, an integer representing the largest value by which any of the action probabilities can change in a single iteration. A formal description of the DTSE algorithm is omitted here, but can be found in [12.31]. Discretized Generalized Pursuit Algorithm Agache and Oommen [12.40] provided a discretized version of their GPA algorithm presented earlier. Their algorithm, called the discretized generalized pursuit algorithm (DGPA), also essentially generalizes Thathachar and Sastry’s pursuit algorithm [12.36, 41]. However, unlike the TSE, it pursues all those actions that possess higher reward estimates than the chosen action. In essence, in any single iteration, the algorithm computes the number of actions that have higher reward estimates than the current chosen action, denoted by K (t), whence the probability of all the actions that have estimates higher than the chosen action is increased by an amount Δ/K (t), and the probabilities for all the other actions are decreased by an amount Δ/(r − K (t)), where Δ = 1/(rN) denotes the resolution step, and N the resolution parameter. The DGPA algorithm has been proven to possess the moderation and monotone properties, and is thus -optimal [12.40]. The detailed steps of the DGPA algorithm are omitted here.

12.5.4 Stochastic Estimator Learning Algorithm (SELA) The SELA algorithm belongs to the class of discretized LA, and was proposed by Vasilakos and Papadimitriou [12.42]. It has, since then, been used for solving problems in the domain of computer networks [12.18, 43]. It is an ergodic scheme, which has the ability to converge to the optimal action irrespective of the distribution of the initial state [12.18, 42].

Part B 12.5

Discrete Pursuit Algorithm The discrete pursuit algorithm (formally described in [12.31]), is referred to as the DPA in the literature, and is similar to a great extent to its continuous pursuit counterpart, i. e., the CPRI algorithm, except that the updates to the action probabilities for the DPA algorithm are made in discrete steps. Therefore, the equations in the CPRP algorithm that involve multiplication by the learning parameter λ are substituted by the addition or subtraction of quantities proportional to the smallest step size. As in the CPRI algorithm, the DPA algorithm operates in three steps. If Δ = 1/(rN) (where N denotes the resolution, and r the number of actions) denotes the smallest step size, the integral multiples of Δ denote the step sizes in which the action probabilities are updated. Like the continuous reward–inaction algorithm, when the chosen action α(t) = αi is penalized, the action probabilities remain unchanged. However, when the chosen action α(t) = αi is rewarded, and the algorithm has not converged, the algorithm decreases, by the integral multiples of Δ, the action probabilities which do not correspond to the highest reward estimate. Lanctôt and Oommen have shown that the DPA algorithm possesses the properties of moderation and monotonicity, and that it is thus -optimal [12.31]. They have also experimentally proved that, in different ranges of environments from simple to complex, the DPA algorithm is at least 60% faster than the CPRP algorithm [12.31].

12.5 Estimator Algorithms

232

Part B

Automation Theory and Scientific Foundations

As before, let A = {α1 , α2 , . . . , αr } denote the set of actions and B = {0, 1} denote the set of responses that can be provided by the environment, where β(t) represents the feedback provided by the environment corresponding to a chosen action α(t) at time t. Let the probability of choosing the k-th action at the t-th time instant be pk (t). SELA updates the estimated environmental characteristics as the vector E(t), which can be defined as E(t) = D(t), M(t), U(t), explained below. D(t) = {d1 (t), d2 (t), . . . , dr (t)} represents the vector of the reward estimates, where dk (t) =

W

βk (t) .

(12.23)

i=1

Part B 12.6

In (12.23), the numerator on the right-hand side represents the total rewards received by the LA in the window size representing the last W times a particular action αk was selected by the algorithm. W is called the learning window.

The second parameter in E(t) is called the oldness vector, and is represented as M(t) = {m 1 (t), m 2 (t), . . . , m r (t)}, where m k (t) represents the time passed (counted as the number of iterations) since the last time the action αk (t) was selected. The last parameter U(t) is called the stochastic estimator vector and is represented as U(t) = {u 1 (t), u 2 (t), . . . , u r (t)}, where the stochastic estimate u i (t) of action αi is calculated using   u i (t) = di (t) + N 0, σi 2 (t) , (12.24)   2 where N 0, σi (t) represents a random number selected from a normal distribution that has a mean of 0 and a standard deviation of σi (t) = min{σmax , a m i (t)}, and a is a parameter signifying the rate at which the stochastic estimates become independent, and σmax represents the maximum possible standard deviation that the stochastic estimates can have. In symmetrically distributed noisy stochastic environments, SELA is shown to be -optimal, and has found applications for routing in ATM networks [12.18, 43].

12.6 Experiments and Application Examples All the continuous and the discretized versions of the estimator algorithms presented above were experimentally evaluated [12.31, 40]. Lanctôt and Oommen [12.31] compared the rates of convergence between the discretized and the continuous versions of the pursuit and the TSE estimator algorithms. In their experiments, they required that their algorithm achieve a level of accuracy of not making any errors in convergence in 100 experiments. To initialize the reward estimates vector, 20 iterations were performed for each action. The experimental results for the TSE algorithms are summarized in Table 12.3. The corre-

sponding results of the pursuit algorithms are provided in Table 12.4 (the numbers indicate the number of iterations required to attain to convergence). The results show that the discretized TSE algorithm is faster (between 50–76%) than the continuous TSE algorithm. Similar observations were obtained for the pursuit algorithm. The discretized versions of the pursuit algorithms were found to be at least 60% faster than their continuous counterparts; for example, with d1 = 0.8 and d2 = 0.6, the continuous TSE algorithm required an average of 115 iterations to converge, whereas the discretized TSE took only 76. Another set of experimental

Table 12.3 The number of iterations until convergence in two-action environments for the TSE algorithms (after [12.31])

Table 12.4 The number of iterations until convergence in two-action environments for the pursuit algorithms (after [12.31])

Probability of reward Action 1 Action 2

Mean iterations Continuous Discrete

Probability of reward Action 1 Action 2

Mean iterations Continuous Discrete

0.800 0.800 0.800 0.800 0.800 0.800

28.8 37.0 115.0 400.0 2200.0 8500.0

0.800 0.800 0.800 0.800 0.800 0.800

22 22 148 636 2980 6190

0.200 0.400 0.600 0.700 0.750 0.775

24.0 29.0 76.0 380.0 1200.0 5600.0

0.200 0.400 0.600 0.700 0.750 0.775

22 39 125 357 1290 3300

Cybernetics and Learning Automata

12.7 Emerging Trends and Open Challenges

Table 12.5 Comparison of the discrete and continuous

Table 12.6 Experimental comparison of the performance

estimator algorithms in a benchmark with ten-action environments (after [12.31])

of the GPA and the DGPA algorithms in benchmark tenaction environments (after [12.40])

Environment

Algorithm

Continuous

Discrete

EA EA EB EB

Pursuit TSE Pursuit TSE

1140 310 2570 583

799 207 1770 563

GPA λ

DGPA Number of iterations

N

Number of iterations

EA 0.0127 948.03 24 633.64 EB 0.0041 2759.02 52 1307.76 Note: The reward probabilities for the actions are: E A : 0.7 0.5 0.3 0.2 0.4 0.5 0.4 0.3 0.5 0.2 E B : 0.1 0.45 0.84 0.76 0.2 0.4 0.6 0.7 0.5 0.3

continuous algorithm took 1140 iterations to converge, the discretized algorithm needed only 799 iterations. The GPA and the DGPA algorithms were compared for the benchmark ten-action environments. The results are summarized in Table 12.6. The DGPA algorithm was found to converge much faster than the GPA algorithm. This, once again, proves the superiority of the discretized algorithms over the continuous ones.

12.7 Emerging Trends and Open Challenges Although the field of LA is relatively young, the analytic results that have been obtained are quite phenomenal. Simultaneously, however, it is also fair to assert that the tools available in the field have been far too underutilized in real-life problems. We believe that the main areas of research that will emerge in the next few years will involve applying LA to a host of application domains. Here, as the saying goes, the sky is the limit, because LA can probably be used in any application where the parameters characterizing the underlying system are unknown and random. Some possible potential applications are listed below: 1. LA could be used in medicine to help with the diagnosis process. 2. LA have potential applications in intelligent tutorial (or tutorial-like) systems to assist in imparting imperfect knowledge to classrooms of students, where the teacher is also assumed to be imperfect. Some initial work is already available in this regard [12.44].

3. The use of LA in legal arguments and the associated decision-making processes is open. 4. Although LA have been used in some robotic applications, as far as we know, almost no work has been done for obstacle avoidance and intelligent path planning of real-life robots. 5. We are not aware of any results that use LA in the biomedical application domain. In particular, we believe that they can be fruitfully utilized for learning targets, and in the drug design phase. 6. One of the earliest applications of LA was in the routing of telephone calls over land lines, but the real-life application of LA in wireless and multihop networks is still relatively open. We close this section by briefly mentioning that the main challenge in using LA for each of these application domains would be that of modeling what the environment and automaton are. Besides this, the practitioner would have to consider how the response of a particular solution can be interpreted as the reward/penalty for the automaton, or for the network of automata.

Part B 12.7

comparisons was performed between all the estimator algorithms presented so far in several ten-action environments [12.31]. Their results [12.31] are summarized in Table 12.5, and show that the TSE algorithm is much faster than the pursuit algorithm. Whereas the continuous pursuit algorithm required 1140 iterations to converge, the TSE algorithm took only 310. The same observation applies to their discrete versions. Similarly, it was observed that the discrete estimator algorithms were much faster than the continuous estimator algorithms; for example, for environment E A , while the

Environment

233

234

Part B

Automation Theory and Scientific Foundations

12.8 Conclusions In this chapter we have discussed most of the important learning mechanisms reported in the literature pertaining to learning automata (LA). After briefly stating the concepts of fixed structure stochastic LA, the families of continuous and discretized variable structure stochastic automata were

discussed. The chapter, in particular, concentrated on the more recent results involving continuous and discretized pursuit and estimator algorithms. In each case we have briefly summarized the theoretical and experimental results of the different learning schemes.

References 12.1

12.2 12.3

Part B 12

12.4 12.5

12.6

12.7

12.8 12.9 12.10 12.11

12.12

12.13

12.14

12.15

M.L. Tsetlin: On the behaviour of finite automata in random media, Autom. Remote Control 22, 1210– 1219 (1962), Originally in Avtom. Telemekh. 22, 1345–1354 (1961), in Russian M.L. Tsetlin: Automaton Theory and Modeling of Biological Systems (Academic, New York 1973) K.S. Narendra, M.A.L. Thathachar: Learning Automata (Prentice-Hall, Upper Saddle River 1989) R.R. Bush, F. Mosteller: Stochastic Models for Learning (Wiley, New York 1958) C.R. Atkinson, G.H. Bower, E.J. Crowthers: An Introduction to Mathematical Learning Theory (Wiley, New York 1965) V.I. Varshavskii, I.P. Vorontsova: On the behavior of stochastic automata with a variable structure, Autom. Remote Control 24, 327–333 (1963) M.S. Obaidat, G.I. Papadimitriou, A.S. Pomportsis: Learning automata: theory, paradigms, and applications, IEEE Trans. Syst. Man Cybern. B 32, 706–709 (2002) S. Lakshmivarahan: Learning Algorithms Theory and Applications (Springer, New York 1981) K. Najim, A.S. Poznyak: Learning Automata: Theory and Applications (Pergamon, Oxford 1994) A.S. Poznyak, K. Najim: Learning Automata and Stochastic Optimization (Springer, Berlin 1997) M.A.L.T. Thathachar, P.S. Sastry: Networks of Learning Automata: Techniques for Online Stochastic Optimization (Kluwer, Boston 2003) S. Misra, B.J. Oommen: GPSPA: a new adaptive algorithm for maintaining shortest path routing trees in stochastic networks, Int. J. Commun. Syst. 17, 963–984 (2004) M.S. Obaidat, G.I. Papadimitriou, A.S. Pomportsis, H.S. Laskaridis: Learning automata-based bus arbitration for shared-medium ATM switches, IEEE Trans. Syst. Man Cybern. B 32, 815–820 (2002) B.J. Oommen, T.D. Roberts: Continuous learning automata solutions to the capacity assignment problem, IEEE Trans. Comput. C 49, 608–620 (2000) G.I. Papadimitriou, A.S. Pomportsis: Learningautomata-based TDMA protocols for broadcast communication systems with bursty traffic, IEEE Commun. Lett. 3(3), 107–109 (2000)

12.16

12.17

12.18

12.19

12.20

12.21

12.22

12.23

12.24

12.25

12.26

A.F. Atlassis, N.H. Loukas, A.V. Vasilakos: The use of learning algorithms in atm networks call admission control problem: a methodology, Comput. Netw. 34, 341–353 (2000) A.F. Atlassis, A.V. Vasilakos: The use of reinforcement learning algorithms in traffic control of high speed networks. In: Advances in Computational Intelligence and Learning (Kluwer, Dordrecht 2002) pp. 353–369 A. Vasilakos, M.P. Saltouros, A.F. Atlassis, W. Pedrycz: Optimizing QoS routing in hierarchical ATM networks using computational intelligence techniques, IEEE Trans. Syst. Sci. Cybern. C 33, 297– 312 (2003) F. Seredynski: Distributed scheduling using simple learning machines, Eur. J. Oper. Res. 107, 401–413 (1998) J. Kabudian, M.R. Meybodi, M.M. Homayounpour: Applying continuous action reinforcement learning automata (CARLA) to global training of hidden Markov models, Proc. ITCC’04 (Las Vegas 2004) pp. 638–642 M.R. Meybodi, H. Beigy: New learning automata based algorithms for adaptation of backpropagation algorithm parameters, Int. J. Neural Syst. 12, 45–67 (2002) C. Unsal, P. Kachroo, J.S. Bay: Simulation study of multiple intelligent vehicle control using stochastic learning automata, Trans. Soc. Comput. Simul. Int. 14, 193–210 (1997) B.J. Oommen, E.V. de St. Croix: Graph partitioning using learning automata, IEEE Trans. Comput. C 45, 195–208 (1995) G. Santharam, P.S. Sastry, M.A.L. Thathachar: Continuous action set learning automata for stochastic optimization, J. Franklin Inst. 331(5), 607–628 (1994) B.J. Oommen, G. Raghunath, B. Kuipers: Parameter learning from stochastic teachers and stochastic compulsive liars, IEEE Trans. Syst. Man Cybern. B 36, 820–836 (2006) V. Krylov: On the stochastic automaton which is asymptotically optimal in random medium, Autom. Remote Control 24, 1114–1116 (1964)

Cybernetics and Learning Automata

12.27

12.28 12.29

12.30

12.31

12.32

12.33

12.35

12.36

12.37

12.38

12.39

12.40

12.41

12.42

12.43

12.44

tomata, Proc. IEEE Int. Conf. Cybern. Soc. (Bombay 1984) M.A.L. Thathachar, P.S. Sastry: A class of rapidly converging algorithms for learning automata, IEEE Trans. Syst. Man Cybern. 15, 168–175 (1985) M.A.L. Thathachar, P.S. Sastry: Estimator algorithms for learning automata, Proc. Platin. Jubil. Conf. Syst. Signal Process. (Department of Electrical Engineering, Indian Institute of Science, Bangalore 1986) M. Agache: Estimator Based Learning Algorithms. MSC Thesis (School of Computer Science, Carleton University, Ottawa 2000) M. Agache, B.J. Oommen: Generalized pursuit learning schemes: new families of continuous and discretized learning automata, IEEE Trans. Syst. Man Cybern. B 32(2), 738–749 (2002) M.A.L. Thathachar, P.S. Sastry: Pursuit algorithm for learning automata. Unpublished paper that can be available from the authors A.V. Vasilakos, G. Papadimitriou: Ergodic discretize destimator learning automata with high accuracy and high adaptation rate for nonstationary environments, Neurocomputing 4, 181–196 (1992) A.F. Atlasis, M.P. Saltouros, A.V. Vasilakos: On the use of a stochastic estimator learning algorithm to the ATM routing problem: a methodology, Proc. IEEE GLOBECOM (1998) M.K. Hashem: Learning Automata-Based Intelligent Tutorial-Like Systems. Ph.D. Thesis (School of Computer Science, Carleton University, Ottawa 2007 )

235

Part B 12

12.34

V.I. Krinsky: An asymptotically optimal automaton with exponential convergence, Biofizika 9, 484– 487 (1964) M.F. Norman: On linear models with two absorbing barriers, J. Math. Psychol. 5, 225–241 (1968) I.J. Shapiro, K.S. Narendra: Use of stochastic automata for parameter self-optimization with multi-modal performance criteria, IEEE Trans. Syst. Sci. Cybern. SSC-5, 352–360 (1969) M.A.L. Thathachar, B.J. Oommen: Discretized reward–inaction learning automata, J. Cybern. Inf. Sci. 2(1), 24–29 (1979) J.K. Lanctôt, B.J. Oommen: Discretized estimator learning automata, IEEE Trans. Syst. Man Cybern. 22, 1473–1483 (1992) B.J. Oommen, J.P.R. Christensen: -optimal discretized linear reward–penalty learning automata, IEEE Trans. Syst. Man Cybern. B 18, 451–457 (1998) B.J. Oommen, E.R. Hansen: The asymptotic optimality of discretized linear reward–inaction learning automata, IEEE Trans. Syst. Man Cybern. 14, 542–545 (1984) B.J. Oommen: Absorbing and ergodic discretized two action learning automata, IEEE Trans. Syst. Man Cybern. 16, 282–293 (1986) P.S. Sastry: Systems of Learning Automata: Estimator Algorithms Applications. Ph.D. Thesis (Department of Electrical Engineering, Indian Institute of Science, Bangalore 1985) M.A.L. Thathachar, P.S. Sastry: A new approach to designing reinforcement schemes for learning au-

References

“This page left intentionally blank.”

237

Communicati 13. Communication in Automation, Including Networking and Wireless

Nicholas Kottenstette, Panos J. Antsaklis

An introduction to the fundamental issues and limitations of communication and networking in automation is given. Digital communication fundamentals are reviewed and networked control systems together with teleoperation are discussed. Issues in both wired and wireless networks are presented.

13.1 Basic Considerations ............................. 237 13.1.1 Why Communication Is Necessary in Automated Systems .................. 237 13.1.2 Communication Modalities ............ 237

13.4 Networked Control Systems ................... 242 13.4.1 Networked Control Systems ........... 242 13.4.2 Teleoperation .............................. 244 13.5 Discussion and Future Research Directions.............. 245 13.6 Conclusions .......................................... 246 13.7 Appendix ............................................. 246 13.7.1 Channel Encoder/ Decoder Design ............................ 246 13.7.2 Digital Modulation ....................... 246 References .................................................. 247

13.1 Basic Considerations 13.1.1 Why Communication Is Necessary in Automated Systems Automated systems use local control systems that utilize sensor information in feedback loops, process this information, and send it as control commands to actuators to be implemented. Such closed-loop feedback control is necessary because of the uncertainties in the knowledge of the process and in the environmental conditions. Feedback control systems rely heavily on the ability to receive sensor information and send commands using wired or wireless communications. In automated systems there is control supervision, and also health and safety monitoring via supervisory control and data acquisition (SCADA) systems. Values of important quantities (which may be temperatures, pressures, voltages, etc.) are sensed and transmitted to monitoring stations in control rooms. After processing

the information, decisions are made and supervisory commands are sent to change conditions such as set points or to engage emergency procedures. The data from sensors and set commands to actuators are sent via wired or wireless communication channels. So, communication mechanisms are an integral part of any complex automated system.

13.1.2 Communication Modalities In any system there are internal communication mechanisms that allow components to interact and exhibit a collective behavior, the system behavior; for example, in an electronic circuit, transistors, capacitors, resistances are connected so current can flow among them and the circuit can exhibit the behavior it was designed for. Such internal communication is an integral part of any system. At a higher level, subsystems that can each

Part B 13

13.2 Digital Communication Fundamentals .... 238 13.2.1 Entropy, Data Rates, and Channel Capacity ................... 238 13.2.2 Source Encoder/Decoder Design...... 239

13.3 Networked Systems Communication Limitations ................... 241

238

Part B

Automation Theory and Scientific Foundations

be quite complex interact via external communication links that may be wired or wireless. This is the case, for example, in antilock brake systems, vehicle stability systems, and engine and exhaust control systems in a car, or among unmanned aerial vehicles that communicate among themselves to coordinate their flight paths. Such external to subsystems communication is of prime interest in automated systems. There are of course other types of communication, for example, machine to machine via mechanical links and human to machine, but here we will focus on electronic transmission of information and communication networks in automated systems.

Such systems are present in refineries, process plants, manufacturing, and automobiles, to mention but a few. Advances in computer and communication technologies coupled with lower costs are the main driving forces of communication methods in automated systems today. Digital communications, shared wired communication links, and wireless communications make up the communication networks in automated systems today. In the following, after an introduction to digital communication fundamentals, the focus is on networked control systems that use shared communication links, which is common practice in automated systems.

13.2 Digital Communication Fundamentals

Part B 13.2

A digital communication system can generally be thought of as a system which allows either a continuous x(t) or discrete random source of information to be transmitted through a channel to a given (set of) sink(s) (Fig. 13.1). The information that arrives at a given destination can be subject to delays, signal distortion, and noise. The digital communication channel typically is treated as a physical medium through which the information travels as an appropriately modulated analog signal, sm (t), subject to linear distortion and additive (typically Gaussian) noise n(t). As is done in [13.1] we choose to use the simplified singlechannel network shown in Fig. 13.1 in which the source encoder/decoder and channel encoder/decoder are separate entities. The design of the source encoder/decoder can usually be performed independently of the design of the channel encoder/decoder. This is possible due to the source-channel separation theorem (SCST) stated by Shannon [13.2], which states that, as long as the average information rate of bit/s from the source encoder Rs is strictly below the channel capacity C, information can be reliably transmitted with an appropriately designed

channel encoder. Conversely, if Rs is greater than or equal to C then it is impossible to send any information reliably. The interested reader should also see [13.3] for a more recent discussion as how the SCST relates to the single-channel case; [13.4] discusses the SCST as it applies to single-source broadcasting to many users, and [13.5] discusses how the SCST relates to many sources transmitting to one sink. In Sect. 13.2.1 we will restate some of Shannon’s key theorems as they relate to digital communication systems. With a clear understanding of the limitations and principles associated with digital communication systems we will address source encoder and decoder design in Sect. 13.2.2 and channel encoder and decoder design in the Appendix.

13.2.1 Entropy, Data Rates, and Channel Capacity Entropy is a measure of uncertainty of a data source and is typically denoted by the symbol H. It can be seen as a measure of how many bits are required to describe

Noise n (t)

Source input

Sample function x(t)

Information Transmitted Received sequence signal signal {an} sm (t) r (t) Source Channel encoder + encoder x~k Channel

Channel decoder

Information sequence {an}

Fig. 13.1 Digital communication network with separate source and channel coding

Source decoder

Sample function y(t) Sink

Communication in Automation, Including Networking and Wireless

a specific output symbol of the data source. Therefore, the natural unit of measure for entropy is bit/symbol and can also be used in terms of bit/s, depending on the context. Assuming that the source could have n outcomes in which each outcome has a probability pi of occurrence the entropy has the form [13.2, Theorem 2] H =−

n

pi log2 pi .

(13.1)

i=1

The entropy is greatest from a source where all symbols are equally likely; for example, given a 2 bit source in which each output symbol is {00,  01, 10, 11} with respective output probabilities pi = p3o , p3o , p3o , 1 − po , it will have the following entropy, which is maximized when all outcomes are equally likely

efficient compression algorithms can be derived, as discussed further in Sect. 13.2.2. In digital communication theory we are typically concerned with describing the entropy of joint events H(x, y) in which events x and y have, respectively, m and n possible outcomes with a joint probability of occurrence p(x, y). The joint probability can be computed using

p(i, j) log2 p(i, j) , H(x, y) = − i, j

in which it has been shown [13.2] that the following inequalities hold H(x, y) ≤ H(x) + H(y) = H(x) + Hx (y) , H(y) ≥ Hx (y) .

(13.3) (13.4) (13.5)

Equality for (13.3) holds if and only if both events are independent. The uncertainty of y (H(y)) is never increased by knowledge of x (Hx (y)), as indicated by the conditional entropy inequality in (13.4). These measures provide a natural way of describing channel capacity when digital information is transmitted as an analog waveform through a channel which is subject to random noise. The effective rate of transmission, R, is the difference of the source entropy H(x) from the average rate of conditional entropy, Hy (x). Therefore, the channel capacity C is the maximum rate R achievable R = H(x) − Hy (x) , C = max(H(x) − Hy (x)) .

(13.6) (13.7)

This naturally leads to the discrete channel capacity theorem given by Shannon [13.2, Theorem 11]. The theorem states that, if a discrete source has entropy H that is less than the channel capacity C, their exists an encoding scheme such that data can be transmitted with an arbitrarily small frequency of errors (small equivocation), otherwise the equivocation will approach H − C + , where > 0 is arbitrarily small.

H(bits) 2

1.5

1

13.2.2 Source Encoder/Decoder Design 0.5

0

0

0.2

0.4

0.6

Fig. 13.2 source  Entropy of four-symbol

pi =

po po po 3 , 3 , 3 , 1 − po

0.8

1 po

239

Source Data Compression Shannon’s fundamental theorem for a noiseless channel is the basis for understanding data compression algorithms. In [13.2, Theorem 9] he states that, for a given source with entropy H (bit/symbol) and channel capacity C (bit/s), a compression scheme exists such that one can transmit data at an average rate R = C H −

Part B 13.2

3 po po

log2 − (1 − po ) log2 (1 − po ) H =− 3 3 i=1   1 1 (13.2) = log2 (4) = 2 , = − log2 4 4 3 po = . 4 Figure 13.2 shows a plot of entropy as a function of po ; note that H = 0 bits when po = 0; since the source would only generate the symbol 11 there is no need to actually transmit it to the receiver. Note that our 2 bit representations of our symbols is an inefficient choice; for example if po = 0.2 we could represent this source with only 1 bit. This can be accomplished by encoding groups of symbols as opposed to considering individual symbols. By determining the redundancy of the source,

13.2 Digital Communication Fundamentals

240

Part B

Automation Theory and Scientific Foundations

(symbol/second), where > 0 is arbitrarily small; for example, if one had a 10 bit temperature measurement of a chamber which 99% of the time is at 25 ◦ C and all other measurements are uniformly distributed for the remaining 1% of the time then you would only send a single bit to represent 25 ◦ C instead of all 10 bits. Assuming that the capacity of the channel is 100 bit/s, then instead of sending data at an average rate of 10 = 100 10 measurements per second you send  data  will100actually 100 at an average rate of 99.1 = 0.99 1 + 0.01 10 measurements per second. Note that, as this applies to source coding theory, we can also treat the channel capacity C as the ideal H for the source, and so H is the actual (n bit rate achieved pi n i , where pi R for a given source. Then R = i=1 is the probability of occurrence for each code word of length n i bit. When evaluating a source coding algorithm we can look at the efficiency of the algorithm, which is 100H/R%. As seen in Fig. 13.2, if po = 0.19 then H = 1.0 bit/symbol. If we used our initial encoding for the symbols, we would transmit on average 2 bits/symbol with an efficiency of 50%. We will discover that by

Part B 13.2

Symbol 1 Symbol 0 P_i1 11, 11, 0.81

P_i0 0.81

P_i 0.656

Self information

0.608

Si*P_i 0.399

11,

10,

0.81

0.06

0.051

4.285

0.220

11,

00,

0.81

0.06

0.051

4.285

0.220

10,

11,

0.06

0.81

4.285

0.051

0.220

using a variable-length code and by making the following source encoder map xk = {11, 00, 01, 10} → ak = {0, 01, 011, 111} we can lower our average data rate to R = 1.32 bit/symbol, which improves the efficiency to 76%. Note that both mappings satisfy the prefix condition which requires that, for a given code word Ck of length k with bit elements (b1 , b2 , . . . , bk ), there is no other code word of length l < k with elements (b1 , b2 , . . . , bl ) for 1 ≤ l < k [13.6]. Therefore, both codes satisfy the Kraft inequality [13.6, p. 93]. In order to get closer to the ideal H = 1.0 bit/symbol we will use the Huffman coding algorithm [13.6, pp. 95–99] and encode pairs of letters before transmission (which will naturally increase H to 2.0 bit/symbol − pair). Figure 13.3 shows the resulting code words for transmitting pairs of symbols. We see that the encoding results in an efficiency of 95% in which H = 2.0 and the average achievable transmission rate is R = 2.1. The table is generated by sorting in descending order each code word pair and its corresponding probability of occurrence. Next, a tree is made in which pairs are generated by matching the two least probable events and Huffman source encoding tree 0 0

0 0.103 1

11,

0.06

0.81

4.285

0.051

0.220

11,

01,

0.81

0.06

0.051

4.285

0.220

00,

11

0.06

0.81

0.051

4.285

0.220

10,

01,

0.06

0.06

0.004

7.962

0.032

10,

10,

0.06

0.06

7.962

0.004

0.032

00,

00,

0.06

0.06

0.004

7.962

0.032

01,

00,

0.06

0.06

0.004

7.962

0.032

00,

10,

0.06

0.06

7.962

0.004

0.032

0 0.103 1

0 0.344 0.139

0 0.012

10,

01, 00,

0.06 0.06

0.06 0.06

7.962

0.004

7.962

0.004

0.032

0.087

1 0.020

0 0.008 1

0.032

0 0.008 1

01,

10, 01,

0.06 0.06

0.06 0.06

7.962

0.004

7.962

0.004 H

0.032 0.032 2.005

Fig. 13.3 Illustration of Huffman encoding algorithm

0 0.008 1

0.21

1001,

0.21

0101,

0.21

1101,

0.21

0111,

0.21

0001111,

0.03

01001111,

0.03

11001111,

0.03

0101111,

0.03

1101111,

0.03

0011111,

0.03

1011111,

0.03

0111111,

0.03

1

1

0

1

1 1

0 0.016

01,

0.15

1.00 0001,

0

0.036 00,

0.66

1

0

0 0.008 1

011,

R

0 0.21

01,

Code 0,

1

1

1111111, R Efficiency:

0.03 2.1 95.6%

Communication in Automation, Including Networking and Wireless

are encoded with a corresponding 0 or 1. The probability of either event occurring is the sum of the two least probable events, as indicated. The tree continues to grow until all events have been accounted for. The code is simply determined by reading the corresponding 0 and 1 sequence from left to right. Source Quantization Due to the finite capacity (due to noise and limited bandwidth) of a digital communication channel, it is impossible to transmit an exact representation of a continuous signal from a source x(t) since this would require an infinite number of bits. The question to be addressed is: how can the source be encoded in order to guarantee some minimal distortion of the signal when constrained by a given channel capacity C? For simplicity we will investigate the case when x(t) is measured periodically at time T ; the continuous sampled value is denoted as x(k) and the quantized values is denoted as x(k). The squared-error distortion is a commonly used ˆ measure of distortion and is computed as   2  (13.8) d x k , xˆk = xk − xˆk .

n  1

   ˆn = d xk − xˆk . d Xn , X n

(13.9)

k=1

Assuming that the source is stationary, the expected value of the distortion of n samples is       ˆ n = E d xk − xˆk . D = E d Xn , X Given a memoryless and continuous random source X with a probability distribution function (pdf) p(x) ˆ in and a corresponding quantized amplitude alphabet X ˆ which x ∈ X and xˆ ∈ X, we define the rate distortion function R(D) as   ˆ , R(D) min I X; X (13.10) ˆ p(x|x):E[d(X, X)]≤D ˆ

  ˆ is denoted as the mutual informain which I X; X ˆ [13.7]. tion between X and X It has been shown that the rate distortion function for any memoryless source with zero mean and finite variance σx2 can be bounded as follows  2 σx 1 1 , H(X) − log2 2π eD ≤ R(D) ≤ log2 2 2 D 0 ≤ D ≤ σx2 . (13.11) )∞ H(X) = −∞ p(x) log p(x) dx is called the differential entropy. Note that the upper bound is the rate distortion function for a Gaussian source Hg (X). Similarly, the bounds on the corresponding distortion rate function are 1 −2(R−H(X)) ≤ D(R) ≤ 2−2R σx2 . 2 2π e

(13.12)

The rate distortion function for a band-limited Gaussian channel of width W normalized by σ X2 can be expressed in decibels as [13.6, pp. 104–108] 10 log

Dg (R) 3R =− . W σx2

(13.13)

Thus, decreasing the bandwidth of the source of information results in an exponential decrease in the rate distortion function for a given data rate R. Similar to the grouped Huffman encoding algorithm, significant gains can be made by designing ˆ = Q(·) for a vector X of individual scalar a quantizer X components {xk , 1 ≤ k ≤ n} which are described by the joint pdf p(x1 , x2 , . . . , xn ). The optimum quantizer is the one which can achieve the minimum distortion Dn (R)    ˆ . Dn (R) min E d X, X (13.14) Q(X)

As the dimension n → ∞ it can be shown that D(R) = Dn (R) in the limit [13.6, p. 116–117]. One method to implement such a vector quantization is the K -means algorithm [13.6, p.117].

13.3 Networked Systems Communication Limitations As we have seen in our review of communication theory, there is no mathematical framework that guarantees a bounded deterministic fixed delay in transmitting information through a wireless or a wired medium. All digital representations of an analog waveform are trans-

241

mitted with an average delay and variance, which is typically captured by its distortion measure. Clearly wired media tend to have a relative low degree of distortion when delivering information from a certain source to destination; for example, receiving digitally

Part B 13.3

Using Xn to denote n consecutive samples in a vector ˆ n to denote the corresponding quantized samples, and X the corresponding distortion for the n samples is

13.3 Networked Systems Communication Limitations

242

Part B

Automation Theory and Scientific Foundations

encoded data from a wired analog-to-digital converter, sent to a single digital controller at a fixed rate of 8 kbit/s, occurs with little data loss and distortion (i. e., only the least-significant bits tend to have errors). When sending digital information over a shared network, the problem becomes much more complex, in which the communication channel, medium access control (MAC) mechanism, and the data rate of each source on the network come into play [13.8]. Even to determine the average delay of a relatively simple MAC mechanism such as time-division multiple access (TDMA) is a fairly complex task [13.9]. In practice there are wired networking protocols which attempt to achieve a relatively constant delay profile by using a token to control access to the network, such as ControlNet and PROFIBUS-DP. Note that the control area network (CAN) offers a fixed priority scheme in which the highest-priority device will always gain access to the network, therefore allowing it to transmit data with the lowest average delay, whereas the lower-priority devices will have a corresponding increase in average delay [13.10, Fig. 4]. Protocols such as ControlNet and PROFIBUS-DP, how-

ever, allow each member on the network an equal opportunity to transmit data within a given slot and can guarantee the same average delay for each node on a network for a given data rate. Usually the main source of variance in these delays is governed by the processing delays associated with the processors used on the network, and the additional higher-layer protocols which are built on top of these lower-layer protocols. Wireless networks can perform as well as a wired network if the environmental conditions are ideal, for example, when devices have a clear line of sight for transmission, and are not subject to interference (highgain microwave transmission stations). Unfortunately, devices which are used on a factory floor are more closely spaced and typically have isotropic antennas, which will lead to greater interference and variance of delays as compared with a wired network. Wireless token-passing protocols such as that described in [13.11] are a good choice to implement for control systems, since they limit interference in the network, which limits variance in delays, while providing a reasonable data throughput.

Part B 13.4

13.4 Networked Control Systems One of the main advantages of using communication networks instead of point-to-point wired connections is the significantly reduced wiring together with the reduced failure rates of much lower connector numbers, which have significant cost implications in automated systems. Additional advantages include easier troubleshooting, maintenance, interoperability of devices, and integration of new devices added to the network [13.10]. Automated systems utilize digital shared communication networks. A number of communication protocols are used including Ethernet transmission control protocol/Internet protocol (TCP/IP), DeviceNet, ControlNet, WiFi, and Bluetooth. Each has different characteristics such as data speed and delays. Data are typically transmitted in packets of bits, for example an Ethernet IEEE 802.3 frame has a 112 or 176 bit header and a data field that must be at least 368 bits long. Any automated system that uses shared digital wired or wireless communication networks must address certain concerns, including: 1. Bandwidth limitations, since any communication network can only carry a finite amount of information per unit of time

2. Delay jitter, since uncertainties in network access delay, or delay jitter, is commonly present 3. Packet dropouts, since transmission errors, buffer overflows due to congestion, or long transmission delays may cause packets to be dropped by the communication system. All these issues are currently being addressed in ongoing research on networked control systems (NCS) [13.12].

13.4.1 Networked Control Systems Figure 13.4 depicts a typical automation network in which two dedicated communication buses are used in order to control an overall process G p with a dedicated controller G c . The heavy solid line represents the control data network which provides timely sensor information y to G c and distributes the appropriate control command u to the distributed controllers G ci . The heavy dashed solid line represents the monitor and configure data network, which allows the various controllers and sensors to be configured and monitored while G p is being controlled. The control network usu-

Communication in Automation, Including Networking and Wireless

243

Monitor and configure ui –

Gci

Gpi

yi

yi Gp

ypi

y

Gpi

Gci

ui –

ypi

Gc

u

Fig. 13.4 Typical automation network

hardware in the form of Intel’s 82526 chip had been introduced, and today virtually all cars manufactured in Europe include embedded systems integrated through CAN. Networked control systems are found in abundance in many technologies, and all levels of industrial systems are now being integrated through various types of data networks. Although networked control system technologies are now fairly mature in a variety of industrial applications, the recent trend toward integrating devices through wireless rather than wired communication channels has highlighted important potential application advantages as well as several challenging problems for current research. These challenges involve the optimization of performance in the face of constraints on communication bandwidth, congestion, and contention for communication resources, delay, jitter, noise, fading, and the management of signal transmission power. While the greatest commercial impact of networked control systems to date has undoubtedly been in industrial implementations, recent research suggests great potential together with significant technical challenges in new applications to distributed sensing, reconnaissance and other military operations, and a variety of coordinated activities of groups of mobile robot agents. Taking a broad view of networked control systems we find that, in addition to the challenges of meeting realtime demands in controlling data flow through various feedback paths in the network, there are complexities associated with mobility and the constantly changing relative positions of agents in the network. Networked control systems research lies primarily at the intersection of three research areas: control systems, communication networks and information theory, and computer science. Networked control systems research can greatly benefit from theoretical developments in information theory and computer science. The main difficulties in merging results from these different

Part B 13.4

ally has a lower data capacity but provides a fairly constant data delay with little variance in which field buses such as CAN, ControlNet, and PROFIBUS-DP are appropriate candidates. The monitoring and configuring network should have a higher data capacity but can tolerate more variance in its delays such that standard Ethernet or wireless networks using TCP/IP would be suitable. Sometimes the entire control network is monitored by a programmable logic controller (PLC) which acts as a gateway to the monitoring network as depicted in [13.10, Fig. 12]. However, there are advanced distributed controllers G ci which can both receive and deliver timely data over a control field bus such as CAN, yet still provide an Ethernet interface for configuration and monitoring. One such example is the πMFC, which is an advanced pressure-insensitive mass flow controller that provides both communication interfaces in which a low-cost low-power dual-processor architecture provides dedicated real-time control with advanced monitoring and diagnostic capabilities offloaded to the communications processor [13.13]. Although, not illustrated in this figure there is current research into establishing digital safety networks, as discussed in [13.10]. In particular the safety networks discussed are implemented over a serial–parallel line interface and implement the SafetyBUS p protocol. Automated control systems with spatially distributed components have existed for several decades. Examples include chemical processes, refineries, power plants, and airplanes. In the past, in such systems the components were connected via hardwired connections and the systems were designed to bring all the information from the sensors to a central location, where the conditions were monitored and decisions were taken on how to act. The control policies were then implemented via the actuators, which could be valves, motors etc. Today’s technology can put low-cost processing power at remote locations via microprocessors, and information can be transmitted reliably via shared digital networks or even wireless connections. These technology-driven changes are fueled by the high costs of wiring and the difficulty in introducing additional components into systems as needs change. In 1983, Bosch GmbH began a feasibility study of using networked devices to control different functions in passenger cars. This appears to be one of the earliest efforts along the lines of modern networked control. The study bore fruit, and in February 1986 the innovative communications protocol of the control area network (CAN) was announced. By mid 1987, CAN

13.4 Networked Control Systems

244

Part B

Automation Theory and Scientific Foundations

Part B 13.4

fields of study have been the differences in emphasis in research so far. In information theory, delays in the transmitted information are not of central concern, as it is more important to transmit the message accurately even though this may sometimes involve significant delays in transmission. In contrast, in control systems, delays are of primary concern. Delays are much more important than the accuracy of the transmitted information due to the fact that feedback control systems are quite robust to such inaccuracies. Similarly, in traditional computer science research, time has not been a central issue since typical computer systems were interacting with other computer systems or a human operator and not directly with the physical world. Only recently have areas such as real-time systems started addressing the issues of hard time constraints where the computer system must react within specific time bounds, which is essential for embedded processing systems that deal directly with the physical world. So far, researchers have focused primarily on a single loop and stability. Some fundamental results have been derived that involve the minimum average bit rate necessary to stabilize a linear time-invariant (LTI) system. An important result relates the minimum bit rate R of feedback information needed for stability (for a single-input linear system) to the fastest unstable mode of the system via 

 R(ai ) . (13.15) R > log2 exp Although progress has been made, much work remains to be done. In the case of a digital network over which information is typically sent in packets, the minimum average rate is not the only guide to control design. A transmitted packet typically contains a payload of tens of bytes, and so blocks of control data are typically grouped together. This enters into the broader set of research questions on the comparative value of sending 1 bit/s or 1000 bits every 1000 s – for the same average data rate. In view of typical actuator constraints, an unstable system may not be able to recover after 1000 s. An alternative measure is to see how infrequently feedback information is needed to guarantee that the system remains stable; see, for example, [13.14] and [13.15], where this scheme has been combined with model-based ideas for significant increases in the periods during which the system is operating in an openloop fashion. Intermittent feedback is another way to

avoid taxing the networks that transmit sensor information. In this case, every so often the loop is closed for a certain fixed or varying period of time [13.16]. This may correspond to opportunistic, bursty situations in which the sensor sends bursts of information when the network is available. The original idea of intermittent feedback was motivated by human motor control considerations. There are strong connections with cooperative control, in which researchers have used spatial invariance ideas to describe results on stability and performance [13.17]. If spatial invariance is not present, then one may use the mathematical machinery of graph theory to describe the interaction of systems/units and to develop detailed models of groups of agents flying in formation, foraging, cooperation in search of targets or food, etc. An additional dimension in the wireless case is to consider channels that vary with time, fade, or disappear and reappear. The problem, of course, in this case becomes significantly more challenging. Consensus approaches have also been used, which typically assume rather simple dynamics for the agents and focus on the topology considering fixed or time-varying links in synchronous or asynchronous settings. Implementation issues in both hardware and software are at the center of successful deployment of networked control systems. Data integrity and security are also very important and may lead to special considerations in control system design even at early stages. Overall, single loop and stability have been emphasized and studied under quantization of sensor measurements and actuator levels. Note that limits to performance in networked control systems appear to be caused primarily by delays and dropped packets. Other issues being addressed by current research are actuator constraints, reliability, fault detection and isolation, graceful degradation under failure, reconfigurable control, and ways to build increased degrees of autonomy into networked control systems.

13.4.2 Teleoperation An important area of networked control is teleoperation. Teleoperation is the process of a human performing a remote task over a network with a teleoperator (TO). Ideally, the TO’s velocity ( f top (t)) should follow the human velocity commands ( f hsi (t) = f top (t − T )) through a human system interface (HSI) [13.18]. Force feedback from the TO (etop (t)) is sent back to the HSI (ehsi (t) = etop (t − T )) in order for the operator to feel immersed in the remote environment. The controller (G top ) depicted in Fig. 13.5 is typically a proportional

Communication in Automation, Including Networking and Wireless

ftop (t) +

fhsi (t)

b

HSI

ehsi (t)

b

Network υhsi (t)

– +

eh (t)

utop (t)

245

Fig. 13.5 Typical teleoperation net-

fenv (t)

work



Gtop

TO

υtop (t) etop (t)

derivative controller which maintains f top (t) = f env (t) over a reasonably large bandwidth. The use of force feedback can lead to instabilities in the system due to small delays T in data transfer over the network. In order to recover stability the HSI velocity f hsi and TO force etop are encoded into wave variables [13.19], based on the wave port impedance b such that 1 (13.16) u hsi (t) = √ (b f hsi (t) + ehsi (t)) , 2b 1 vtop (t) = √ (b f top (t) − etop (t)) (13.17) 2b are transmitted over the network from the corresponding HSI and TO. As the delayed wave variables

– +

uhsi (t)

13.5 Discussion and Future Research Directions

eenv (t)

are received (u top (t) = u hsi (t − T ), vhsi (t) = vtop (t − T )), they are transformed back into the corresponding velocity and force variables ( f top (t), ehsi (t)) as follows * 2 1 f top (t) = (13.18) u top (t) − etop (t) , b √ b ehsi (t) = b f hsi (t) − 2bvhsi (t) . (13.19) Such a transformation allows the communication channel to remain passive for fixed time delays T and allows the teleoperation network to remain stable. The study of teleoperation continues to evolve for both the continuous- and discrete-time cases, as surveyed in [13.20].

In summary, we have presented an overview of fundamental digital communication principles. In particular, we have shown that communication systems are effectively designed using a separation principle in which the source encoder and channel encoder can be designed separately. In particular, a source encoder can be designed to match the uncertainty (entropy) of a data source (H). All of the encoded data can then be effectively transmitted over a communication channel in which an appropriately designed channel encoder achieves the channel capacity C, which is typically determined by the modulation and noise introduced into the communication channel. As long as the channel capacity obeys C > H, then an average H symbols will be successfully received at the receiver. In source data compression we noted how to achieve a much higher average data rate by only using 1 bit to represent the temperature measurement of 25 ◦ C which occurs 99% of the time. In fact the average delay is roughly reduced from 10/100 = 0.1 s to (0.01 · 10 + 0.99 · 1)/100 = 0.0109 s. The key to designing an efficient automation communication network effectively is to understand the effective entropy H of the sys-

tem. Monitoring data, in which stability is not an issue, is a fairly straightforward task. When controlling a system the answer is not as clear; however, for deterministic channels (13.15) can serve as a guide for the classic control scheme. As the random behavior of the communication network becomes a dominating factor in the system an accurate analysis of how the delay and data dropouts occur is necessary. We have pointed the reader to texts which account for finite buffer size, and networking MAC to characterize communication delay and data dropouts [13.8, 9]. It remains to be shown how to incorporate such models effectively into the classic control framework in terms of showing stability, in particular when actuator limitations are present. It may be impossible to stabilize an unstable LTI system in any traditional stochastic framework when actuator saturation is considered. Teleoperation systems can cope with unknown fixed time delays in the case of passive networked control systems, by transmitting information using wave variables. We have extended the teleoperation framework to support lower-data-rate sampling and tolerate unknown time-varying delays and data dropouts without

Part B 13.5

13.5 Discussion and Future Research Directions

246

Part B

Automation Theory and Scientific Foundations

requiring any explicit knowledge of the communication channel model [13.21]. Confident that stability of these systems is preserved allows much greater

flexibility in choosing an appropriate MAC for our networked control system in order to optimize system performance.

13.6 Conclusions Networked control systems over wired and wireless channels are becoming increasingly important in a wide range of applications. The area combines concepts and ideas from control and automation theory, communications, and computing. Although progress has been made in understanding important fundamental issues much

work remains to be done [13.12]. Understanding the effect of time-varying delays and designing systems to tolerate them is high priority. Research is needed to understand multiple interconnected systems over realistic channels that work together in a distributed fashion towards common goals with performance guarantee.

13.7 Appendix

Part B 13.7

13.7.1 Channel Encoder/Decoder Design

13.7.2 Digital Modulation

Denoting T (s) as the signal period, and W (Hz) as the bandwidth of a communication channel, we will use the ideal Nyquist rate assumption that 2TW symbols of {an } can be transmitted with the analog wave forms sm (t) over the channel depicted in Fig. 13.1. We further assume that independent noise n(t) is added to create the received signal r(t). Then we can state the following

A linear filter can be described by its frequency response H( f ) and real impulse response h(t) (H ∗ (− f ) = H( f )). It can be represented in an equivalent low-pass form Hl ( f ) in which ⎧ ⎨ H( f ), f > 0 (13.23) Hl ( f − f c ) = ⎩0, f0 Hl∗ (− f − f c ) = (13.24) ⎩ H ∗ (− f ), f < 0 .

1. The actual rate of transmission is [13.2, Theorem 16] R = H(s) − H(n) ,

(13.20)

in which the channel capacity is the best signaling scheme which satisfies C max H(s) − H(n) .

(13.21)

P(sm )

2. If we further assume the noise is white with power N and the signals are transmitted at power P then the channel capacity C (bit/s) is [13.2, Theorem 17] C = W log2

P+N . N

(13.22)

Various channel coding techniques have been devised in order to transmit digital information to achieve rates R which approach this channel capacity C with a correspondingly low bit error rate. Among these bit error correcting codes are block and convolutional codes in which the Hamming code [13.6, pp. 423–425] and the Viterbi algorithm [13.6, pp. 482–492] are classic examples for the respective implementations.

Therefore, with H( f ) = Hl ( f − f c ) + Hl∗ ( f − f c ) the impulse response h(t) can be written in terms of the complex-valued inverse transform of Hl ( f ) (h l (t)) [13.6, p. 153]   (13.25) h(t) = 2Re h l (t) ei2π f c t . Similarly the signal response r(t) of a filtered input signal s(t) through a linear filter H( f ) can be represented in terms of their low-pass equivalents Rl ( f ) = Sl ( f )Hl ( f ) .

(13.26)

Therefore it is mathematically convenient to discuss the transmission of equivalent low-pass signals through equivalent low-pass channels [13.6, p. 154]. Digital signals sm (t) consist of a set of analog waveforms which can be described by an orthonormal set of waveforms f n (t). An orthonormal waveform satisfies ⎧ ⎨0, i = j  f i (t) f j (t)T = (13.27) ⎩1, i = j ,

Communication in Automation, Including Networking and Wireless

References

247

Table 13.1 Summary of PAM, PSK, and QAM Modulation

sm (t)

f1 (t) + g(t) cos 2π f c t

2 Eg

g(t) cos 2π f c t

2 Eg

g(t) cos 2π f c t

PAM

sm f 1 (t)

PSK

sm1 f 1 (t) + sm2 f 2 (t)

QAM

sm1 f 1 (t) + sm2 f 2 (t)

Modulation

sm

PAM

(2m − 1 − M)d 2g +   Eg 2π 2π 2 cos M (m − 1), sin M (m − 1) + Eg 2 [(2m c − 1 − M)d, (2m s − 1 − M)d]

PSK QAM

+ +

f2 (t)

2 Eg

+ − E2g g(t) sin 2π f c t + − E2g g(t) sin 2π f c t (e)

+

E

2π M



probability of a symbol error and assuming that we use a Gray code, we can approximate the average bit error by Pb ≈ PkM . The corresponding symbol errors are: 1. For M-ary PAM [13.6, p. 265] ⎞ ⎛2(M − 1) ⎝ d 2 Eg ⎠ Q PM = M No 2. For M-ary PSK [13.6, p. 270] Eg π sin PM ≈ 2Q No M 3. For QAM [13.6, p. 279] ⎛%   ⎞ & & d (e) 2 ⎜' min ⎟ ⎟. PM < (M − 1)Q ⎜ ⎝ 2No ⎠

(13.28)

(13.29)

(13.30)

References 13.1 13.2 13.3

13.4

13.5

R. Gallager: 6.45 Principles of Digital Communication – I (MIT, Cambridge 2002) C.E. Shannon: A mathematical theory of communication, Bell Syst. Tech. J. 27, 379–423 (1948) S. Vembu, S. Verdu, Y. Steinberg: The sourcechannel separation theorem revisited, IEEE Trans. Inf. Theory 41(1), 44–54 (1995) M. Gasfpar, B. Rimoldi, M. Vetterli: To code, or not to code: lossy source-channel communication revisited, IEEE Trans. Inf. Theory 49(5), 1147–1158 (2003) H. El Gamal: On the scaling laws of dense wireless sensor networks: the data gathering channel, IEEE Trans. Inf. Theory 51(3), 1229–1234 (2005)

13.6 13.7 13.8

13.9

13.10

J. Proakis: Digital Communications, 4th edn. (McGraw-Hill, New York 2000) T.M. Cover, J.A. Thomas: Elements of Information Theory (Wiley, New York 1991) M. Xie, M. Haenggi: Delay-Reliability Tradeoffs in Wireless Networked Control Systems, Lecture Notes in Control and Information Sciences (Springer, New York 2005) K.K. Lee, S.T. Chanson: Packet loss probability for bursty wireless real-time traffic through delay model, IEEE Trans. Veh. Technol. 53(3), 929–938 (2004) J.R. Moyne, D.M. Tilbury: The emergence of industrial control networks for manufacturing control,

Part B 13

)T in which  f (t)g(t)T = 0 f (t)g(t) dt. The Gram– Schmidt procedure is a straightforward method to generate a set of orthonormal wave forms from a basis set of signals [13.6, p. 163]. Table 13.1 provides the corresponding orthonor(e) ) mal wave forms and minimum signal distances (dmin for pulse-amplitude modulation (PAM), phase-shift keying (PSK), and quadrature amplitude modulation (QAM). Note that QAM is a combination of PAM (e) is a special case of amand PSK in which dmin plitude selection where 2d is the distance between adjacent signal amplitudes. Signaling amplitudes are in terms of the low-pass signal pulse shape g(t) energy Eg = g(t)g(t)T . The pulse shape is determined by the transmitting filter which typically has a raised cosine spectrum in order to minimize intersymbol interference at the cost of increased bandwidth [13.6, p. 559]. Each modulation scheme allows for M symbols in which k = log2 M and No is the average noise power per symbol transmission. Denoting PM as the

dmin , d 2Eg +  Eg 1 − cos , d 2Eg

248

Part B

Automation Theory and Scientific Foundations

13.11

13.12

13.13

13.14

13.15

13.16

diagnostics, and safety data, Proc. IEEE 95(1), 29–47 (2007) M. Ergen, D. Lee, R. Sengupta, P. Varaiya: WTRP – wireless token ring protocol, IEEE Trans. Veh. Technol. 53(6), 1863–1881 (2004) P.J. Antsaklis, J. Baillieul: Special issue: technology of networked control systems, Proc. IEEE 95(1), 5–8 (2007) A. Shajii, N. Kottenstette, J. Ambrosina: Apparatus and method for mass flow controller with network access to diagnostics, US Patent 6810308 (2004) L.A. Montestruque, P.J. Antsaklis: On the modelbased control of networked systems, Automatica 39(10), 1837–1843 (2003) L.A. Montestruque, P. Antsaklis: Stability of modelbased networked control systems with timevarying transmission times, IEEE Trans. Autom. Control 49(9), 1562–1572 (2004) T. Estrada, H. Lin, P.J. Antsaklis: Model-based control with intermittent feedback, Proc. 14th

13.17

13.18

13.19

13.20

13.21

Mediterr. Conf. Control Autom. (Ancona 2006) pp. 1–6 B. Recht, R. D’Andrea: Distributed control of systems over discrete groups, IEEE Trans. Autom. Control 49(9), 1446–1452 (2004) M. Kuschel, P. Kremer, S. Hirche, M. Buss: Lossy data reduction methods for haptic telepresence systems, Proc. Conf. Int. Robot. Autom., IEEE Cat. No. 06CH37729D (IEEE, Orlando 2006) pp. 2933– 2938 G. Niemeyer, J.-J.E. Slotine: Telemanipulation with time delays, Int. J. Robot. Res. 23(9), 873–890 (2004) P.F. Hokayem, M.W. Spong: Bilateral teleoperation: an historical survey, Automatica 42(12), 2035–2057 (2006) N. Kottenstette, P.J. Antsaklis: Stable digital control networks for continuous passive plants subject to delays and data dropouts, 46th IEEE Conf. Decis. Control (CDC) (IEEE, 2007)

Part B 13

249

Artificial Inte 14. Artificial Intelligence and Automation

Dana S. Nau

Artificial intelligence (AI) focuses on getting machines to do things that we would call intelligent behavior. Intelligence – whether artificial or otherwise – does not have a precise definition, but there are many activities and behaviors that are considered intelligent when exhibited by humans and animals. Examples include seeing, learning, using tools, understanding human speech, reasoning, making good guesses, playing games, and formulating plans and objectives. AI focuses on how to get machines or computers to perform these same kinds of activities, though not necessarily in the same way that humans or animals might do them.

250 250 253 255 257 260 262 264 264

14.2 Emerging Trends and Open Challenges ............................ 266 References .................................................. 266

necessary and sufficient means for general intelligent action and their heuristic search hypothesis [14.1]: The solutions to problems are presented as symbol structures. A physical-symbol system exercises its intelligence in problem solving by search – that is – by generating and progressively modifying symbol structures until it produces a solution structure. On the other hand, there are several important topics of AI research – particularly machine-learning techniques such as neural networks and swarm intelligence – that are subsymbolic in nature, in the sense that they deal with vectors of real-valued numbers without attaching any explicit meaning to those numbers. AI has achieved many notable successes [14.2]. Here are a few examples:



Telephone-answering systems that understand human speech are now in routine use in many companies.

Part B 14

To most readers, artificial intelligence probably brings to mind science-fiction images of robots or computers that can perform a large number of human-like activities: seeing, learning, using tools, understanding human speech, reasoning, making good guesses, playing games, and formulating plans and objectives. And indeed, AI research focuses on how to get machines or computers to carry out activities such as these. On the other hand, it is important to note that the goal of AI is not to simulate biological intelligence. Instead, the objective is to get machines to behave or think intelligently, regardless of whether or not the internal computational processes are the same as in people or animals. Most AI research has focused on ways to achieve intelligence by manipulating symbolic representations of problems. The notion that symbol manipulation is sufficient for artificial intelligence was summarized by Newell and Simon in their famous physical-symbol system hypothesis: A physical-symbol system has the

14.1 Methods and Application Examples ........ 14.1.1 Search Procedures ........................ 14.1.2 Logical Reasoning ........................ 14.1.3 Reasoning About Uncertain Information ......... 14.1.4 Planning ..................................... 14.1.5 Games ........................................ 14.1.6 Natural-Language Processing ........ 14.1.7 Expert Systems............................. 14.1.8 AI Programming Languages ...........

250

Part B

Automation Theory and Scientific Foundations

• • • • • •

Simple room-cleaning robots are now sold as consumer products. Automated vision systems that read handwritten zip codes are used by the US Postal Service to route mail. Machine-learning techniques are used by banks and stock markets to look for fraudulent transactions and alert staff to suspicious activity. Several web-search engines use machine-learning techniques to extract information and classify data scoured from the web. Automated planning and control systems are used in unmanned aerial vehicles, for missions that are too dull, dirty or dangerous for manned aircraft. Automated planning and scheduling techniques were used by the National Aeronautics and Space

Administration (NASA) in their famous Mars rovers. AI is divided into a number of subfields that correspond roughly to the various kinds of activities mentioned in the first paragraph. Three of the most important subfields are discussed in other chapters: machine learning in Chaps. 12 and 29, computer vision in Chap. 20, and robotics in Chaps. 1, 78, 82, and 84. This chapter discusses other topics in AI, including search procedures (Sect. 14.1.1), logical reasoning (Sect. 14.1.2), reasoning about uncertain information (Sect. 14.1.3), planning (Sect. 14.1.4), games (Sect. 14.1.5), natural-language processing (Sect. 14.1.6), expert systems (Sect. 14.1.7), and AI programming (Sect. 14.1.8).

14.1 Methods and Application Examples 14.1.1 Search Procedures

Part B 14.1

Many AI problems require a trial-and-error search through a search space that consists of states of the world (or states, for short), to find a path to a state s that satisfies some goal condition g. Usually the set of states is finite but very large: far too large to give a list of all the states (as a control theorist might do, for example, when writing a state-transition matrix). Instead, an initial state s0 is given, along with a set O of operators for producing new states from existing ones. As a simple example, consider Klondike, the most popular version of solitaire [14.3]. As illustrated in Fig. 14.1a, the initial state of the game is determined by dealing 28 cards from a 52-card deck into an arrangement called the tableau; the other 28 cards then go into a pile called the stock. New states are formed from old ones by moving cards around according to the rules of the game; for example, in Fig. 14.1a there are two possible moves: either move the ace of hearts to one of the foundations and turn up the card beneath the ace as shown in Fig. 14.1b, or move three cards from the stock to the waste. The goal is to produce a state in which all of the cards are in the foundation piles, with each suit in a different pile, in numerical order from the ace at the bottom to the king at the top. A solution is any path (a sequence of moves, or equivalently, the sequence of states that these moves take us to) from the initial state to a goal state.

a) Initial state Stock Waste pile

Foundations

Tableau

b) Successor Stock Waste pile

Foundations

Tableau

Fig. 14.1 (a) An initial state and (b) one of its two possible

successors

Artificial Intelligence and Automation

Klondike has several characteristics that are typical of AI search problems:

• •







In many trial-and-error search problems, each solution path π will have a numeric measure F(π) telling how desirable π is; for example, in Klondike, if we consider shorter solution paths to be more desirable than long ones, we can define F(π) to be π’s length. In such cases, we may be interested in finding either an optimal solution, i. e., a solution π such that F(π) is as small as possible, or a near-optimal solution in which F(π) is close to the optimal value. Heuristic Search The pseudocode in Fig. 14.2 provides an abstract model of state-space search. The input parameters include an initial state s0 and a set of operators O. The procedure either fails, or returns a solution path π (i. e., a path from s0 to a goal state).

251

1. State-space-search(s0; O) 2. Active ← {〈s0〉} 3. while Active ≠ 0/ do 4. choose a path π = 〈s0 ,..., sk〉 ∈ Active and remove it from Active 5. if sk is a goal state then return π 6. Successors ← {〈s0 ,..., sk, o (sk)〉 : o ∈ O is applicable to sk} 7. optional pruning step: remove unpromising paths from Successors 8. Active ← Active ∪ Successors 9. repeat 10. return failure

Fig. 14.2 An abstract model of state-space search. In line 6, o(sk ) is the state produced by applying the operator o to the state sk

As discussed earlier, we would like the search algorithm to focus on those parts of the state space that will lead to optimal (or at least near-optimal) solution paths. For this purpose, we will use a heuristic function f (π) that returns a numeric value giving an approximate idea of how good a solution can be found by extending π, i. e., f (π) ≈ min{F(π  ) : π  is a solution path that is an extension of π} . It is hard to give foolproof guidelines for writing heuristic functions. Often they can be very ad hoc: in the worst case, f (π) may just be an arbitrary function that the user hopes will give reasonable estimates. However, often it works well to define an easy-to-solve relaxation of the original problem, i. e., a modified problem in which some of the constraints are weakened or removed. If π is a partial solution for the original problem, then we can compute f (π) by extending π into a solution π  for the relaxed problem, and returning F(π  ); for example, in the famous traveling-salesperson problem, f (π) can be computed by solving a simpler problem called the assignment problem [14.5]. Here are several procedures that can make use of such a heuristic function:



Best-first search means that at line 4 of the algorithm in Fig. 14.2, we always choose a path π = s0 , . . . , sk  that has the smallest value f (π) of any path we have seen so far. Suppose that at least one solution exists, that there are no infinite paths of finite cost, and that the heuristic function f has the following lower-bound property f (π) ≤ min{F(π  ) : π  is a solution path that is an extension of π} . (14.1)

Then best-first search will always return a solution π ∗ that minimizes F(π ∗ ). The well-known A*

Part B 14.1

Each state is a combination of a finite set of features (in this case the cards and their locations), and the task is to find a path that leads from the initial state to a goal state. The rules for getting from one state to another can be represented using symbolic logic and discrete mathematics, but continuous mathematics is not as useful here, since there is no reasonable way to model the state space with continuous numeric functions. It is not clear a priori which paths, if any, will lead from the initial state to the goal states. The only obvious way to solve the problem is to do a trialand-error search, trying various sequences of moves to see which ones might work. Combinatorial explosion is a big problem. The number of possible states in Klondike is well over 52!, which is many orders of magnitude larger than the number of atoms in the Earth. Hence a trialand-error search will not terminate in a reasonable amount of time unless we can somehow restrict the search to a very small part of the search space – hopefully a part of the search space that actually contains a solution. In setting up the state space, we took for granted that the problem representation should correspond directly to the states of the physical system, but sometimes it is possible to make a problem much easier to solve by adapting a different representation; for example, [14.4] shows how to make Klondike much easier to solve by searching a different state space.

14.1 Methods and Application Examples

252

Part B

Automation Theory and Scientific Foundations



Part B 14.1



search procedure [14.6] is a special case of best-first search, with some modifications to handle situations where there are multiple paths to the same state. Best-first search has the advantage that, if it chooses an obviously bad state s to explore next, it will not spend much time exploring the subtree below s. As soon as it reaches successors of s whose f -values exceed those of other states on the Active list, bestfirst search will go back to those other states. The biggest drawback is that best-first search must remember every state it has ever visited, hence its memory requirement can be huge. Thus, best-first search is more likely to be a good choice in cases where the state space is relatively small, and the difficulty of solving the problem arises for some other reason (e.g., a costly-to-compute heuristic function, as in [14.7]). In depth-first branch and bound, at line 4 the algorithm always chooses the longest path in Active; if there are several such paths then the algorithm chooses the one that has the smallest value for f (π). The algorithm maintains a variable π ∗ that holds the best solution seen so far, and the pruning step in line 7 removes a path π iff f (π) ≥ F(π ∗ ). If the state space is finite and acyclic, at least one solution exists, and (14.1) holds, then depth-first branch and bound is guaranteed to return a solution π ∗ that minimizes F(π ∗ ). The primary advantage of depth-first search is its low memory requirement: the number of nodes in Active will never exceed bd, where d is the length of the current path. The primary drawback is that, if it chooses the wrong state to look at next, it will explore the entire subtree below that state before returning and looking at the state’s siblings. Depthfirst search does better in cases where the likelihood of choosing the wrong state is small or the time needed to search the incorrect subtrees is not too great. Greedy search is a state-space search without any backtracking. It is accomplished by replacing line 8 with Active ← {π1 }, where π1 is the path in Successors that minimizes { f (π  ) | π  ∈ Successors}. Beam search is similar except that, instead of putting just one successor π1 of π into Active, we put k successors π1 , . . . , πk into Active, for some fixed k. Both greedy search and beam search will return very quickly once they find a solution, since neither of them will spend any time looking for better solutions. Hence they are good choices if the state space

is large, most paths lead to solutions, and we are more interested in finding a solution quickly than in finding an optimal solution. However, if most paths do not lead to solutions, both algorithms may fail to find a solution at all (although beam search is more robust in this regard, since it explores several paths rather than just one path). In this case, it may work well to do a modified greedy search that backtracks and tries a different path every time it reaches a dead end. Hill-Climbing A hill-climbing problem is a special kind of search problem in which every state is a goal state. A hill-climbing procedure is like a greedy search, except that Active contains a single state rather than a single path; this is maintained in line 6 by inserting a single successor of the current state sk into Active, rather than all of sk ’s successors. In line 5, the algorithm terminates when none of sk ’s successors looks better than sk itself, i. e., when sk has no successor sk+1 with f (sk+1 ) > f (sk ). There are several variants of the basic hill-climbing approach:





Stochastic hill-climbing and simulated annealing. One difficulty with hill-climbing is that it will terminate in cases where sk is a local minimum but not a global minimum. To prevent this from happening, a stochastic hill-climbing procedure does not always return when the test in line 5 succeeds. Probably the best known example is simulated annealing, a technique inspired by annealing in metallurgy, in which a material is heated and then slowly cooled. In simulated annealing, this is accomplished as follows. At line 5, if none of sk ’s successors look better than sk then the procedure will not necessarily terminate as in ordinary hill-climbing; instead it will terminate with some probability pi , where i is the number of loop iterations and pi grows monotonically with i. Genetic algorithms. A genetic algorithm is a modified version of hill-climbing in which successor states are generated not using the normal successor function, but instead using operators reminiscent of genetic recombination and mutation. In particular, Active contains k states rather than just one, each state is a string of symbols, and the operators O are computational analogues of genetic recombination and mutation. The termination criterion in line 5 is generally ad hoc; for example, the algorithm may terminate after a specified number of iterations, and return the best one of the states currently in Active.

Artificial Intelligence and Automation

Hill-climbing algorithms are good to use in problems where we want to find a solution very quickly, then continue to look for a better solution if additional time is available. More specifically, genetic algorithms are useful in situations where each solution can be represented as a string whose substrings can be combined with substrings of other solutions.

Applications of Search Procedures Software using AI search techniques has been developed for a large number of commercial applications. A few examples include the following:

• •

Several universities routinely use constraint-satisfaction software for course scheduling. Airline ticketing. Finding the best price for an airline ticket is a constraint-optimization problem in which the constraints are provided by the airlines’ various rules on what tickets are available at what prices under what conditions [14.9]. An example of software that works in this fashion is the ITA



253

software (itasoftware.com) system that is used by several airline-ticketing web sites, e.g., Orbitz (orbitz.com) and Kayak (kayak.com). Scheduling and routing. Companies such as ILOG (ilog.com) have developed software that uses search and optimization techniques for scheduling [14.10], routing [14.11], workflow composition [14.12], and a variety of other applications. Information retrieval from the web. AI search techniques are important in the web-searching software used at sites such as Google News [14.2].

Additional reading. For additional reading on search

algorithms, see Pearl [14.13]. For additional details about constraint processing, see Dechter [14.14].

14.1.2 Logical Reasoning A logic is a formal language for representing information in such a way that one can reason about what things are true and what things are false. The logic’s syntax defines what the sentences are; and its semantics defines what those sentences mean in some world. The two best-known logical formalisms, propositional logic and first-order logic, are described briefly below. Propositional Logic and Satisfiability Propositional logic, also known as Boolean algebra, includes sentences such as A ∧ B ⇒ C, where A, B, and C are variables whose domain is {true, false}. Let w1 be a world in which A and C are true and B is false, and let w2 be a world in which all three of the Boolean variables are true. Then the sentence A ∧ B ⇒ C is false in w1 and true in w2 . Formally, we say that w2 is a model of A ∧ B ⇒ C, or that it entails S1 . This is written symbolically as

w2 | A ∧ B ⇒ C . The satisfiability problem is the following: given a sentence S of propositional logic, does there exist a world (i. e., an assignment of truth values to the variables in S) in which S is true? This problem is central to the theory of computation, because it was the very first computational problem shown to be NPcomplete. Without going into a formal definition of NP-completeness, NP is, roughly, the set of all computational problems such that, if we are given a purported solution, we can check quickly (i. e., in a polynomial amount of computing time) whether the solution is correct. An NP-complete problem is a problem that is one of the hardest problems in NP, in the sense that

Part B 14.1

Constraint Satisfaction and Constraint Optimization A constraint-satisfaction problem is a special kind of search problem in which each state is a set of assignn that have finite ments of values to variables {X i }i=1 n , and the objective is to assign values to domains {Di }i=1 the variables in such a way that some set of constraints is satisfied. In the search space for a constraint-satisfaction problem, each state at depth i corresponds to an assignment of values to i of the n variables, and each branch corresponds to assigning a specific value to an unassigned variable. The search space is finite: the maximum length of any path from the root node is n since there are only n variables to assign values to. Hence a depth-first search works quite well for constraintsatisfaction problems. In this context, some powerful techniques have been formulated for choosing which variable to assign next, detecting situations where previous variable assignments will make it impossible to satisfy the remaining constraints, and even restructuring the problem into one that is easier to solve [14.8, Chap. 5]. A constraint-optimization problem combines a constraint-satisfaction problem with an objective function that one wants to optimize. Such problems can be solved by combining constraint-satisfaction techniques with the optimization techniques mentioned in Heuristic Search.



14.1 Methods and Application Examples

254

Part B

Automation Theory and Scientific Foundations

solving any NP-complete problems would provide a solution to every problem in NP. It is conjectured that no NP-complete problem can be solved in a polynomial amount of computing time. There is a great deal of evidence for believing the conjecture, but nobody has ever been able to prove it. This is the most famous unsolved problem in computer science. First-Order Logic A much more powerful formalism is first-order logic [14.15], which uses the same logical connectives as in propositional logic but adds the following syntactic elements (and semantics, respectively): constant symbols (which denote the objects), variable symbols (which range over objects), function symbols (which represent functions), predicate symbols (which represent relations among objects), and the quantifiers ∀x and ∃x, where x is any variable symbol (to specify whether a sentence is true for every value x or for at least one value of x). First-order logic includes a standard set of logical axioms. These are statements that must be true in every possible world; one example is the transitive property of equality, which can be formalized as

∀x ∀y ∀z (x = y ∧ y = z) ⇒ x = z .

Part B 14.1

In addition to the logical axioms, one can add a set of nonlogical axioms to describe what is true in a particular kind of world; for example, if we want to specify that there are exactly two objects in the world, we could do this by the following axioms, where a and b are constant symbols, and x, y, z are variable symbols a = b , ∀x ∀y ∀z x = y ∨ y = z ∨ x = z .

(14.2a) (14.2b)

The first axiom asserts that there are at least two objects (namely a and b), and the second axiom asserts that there are no more than two objects. First-order logic also includes a standard set of inference rules, which can be used to infer additional true statements. One example is modus ponens, which allows one to infer a statement Q from the pair of statements P ⇒ Q and P. The logical and nonlogical axioms and the rules of inference, taken together, constitute a first-order theory. If T is a first-order theory, then a model of T is any world in which T ’s axioms are true. (In science and engineering, a mathematical model generally means a formalism for some real-world phenomenon; but in mathematical logic, model means something very

different: the formalism is called a theory, and the the real-world phenomenon itself is a model of the theory.) For example, if T includes the nonlogical axioms given above, then a model of T is any world in which there are exactly two objects. A theorem of T is defined recursively as follows: every axiom is a theorem, and any statement that can be produced by applying inference rules to theorems is also a theorem; for example, if T is any theory that includes the nonlogical axioms (14.2a) and (14.2b), then the following statement is a theorem of T ∀x x = a ∨ x = b . A fundamental property of first-order logic is completeness: for every first-order theory T and every statement S in T , S is a theorem of T if and only if S is true in all models of T . This says, basically, that firstorder logical reasoning does exactly what it is supposed to do. Nondeductive Reasoning Deductive reasoning – the kind of reasoning used to derive theorems in first-order logic – consists of deriving a statement y as a consequence of a statement x. Such an inference is deductively valid if there is no possible situation in which x is true and y is false. However, several other kinds of reasoning have been studied by AI researchers. Some of the best known include abductive reasoning and nonmonotonic reasoning, which are discussed briefly below, and fuzzy logic, which is discussed later. Nonmonotonic Reasoning. In most formal logics, deductive inference is monotone; i. e., adding a formula to a logical theory never causes something not to be a theorem that was a theorem of the original theory. Nonmonotonic logics allow deductions to be made from beliefs that may not always be true, such as the default assumption that birds can fly. In nonmonotonic logic, if b is a bird and we know nothing about b then we may conclude that b can fly; but if we later learn that b is an ostrich or b has a broken wing, then we will retract this conclusion. Abductive Reasoning. This is the process of infer-

ring x from y when x entails y. Although this can produce results that are incorrect within a formal deductive system, it can be quite useful in practice, especially when something is known about the probability of different causes of y; for example, the Bayesian reasoning described later can be viewed as a combina-

Artificial Intelligence and Automation

tion of deductive reasoning, abductive reasoning, and probabilities. Applications of Logical Reasoning The satisfiability problem has important applications in hardware design and verification; for example, electronic design automation (EDA) tools include satisfiability checking algorithms to check whether a given digital system design satisfies various criteria. Some EDA tools use first-order logic rather than propositional logic, in order to check criteria that are hard to express in propositional logic. First-order logic provides a basis for automated reasoning systems in a number of application areas. Here are a few examples:







about whether they will occur, or there may be uncertainty about what things are currently true, or the degree to which they are true. The two best-known techniques for reasoning about such uncertainty are Bayesian probabilities and fuzzy logic. Bayesian Reasoning In some cases we may be able to model such situations probabilistically, but this means reasoning about discrete random variables, which unfortunately incurs a combinatorial explosion. If there are n random variables and each of them has d possible values, then the joint probability distribution function (PDF) will have d n entries. Some obvious problems are (1) the worstcase time complexity of reasoning about the variables is Θ(d n ), (2) the worst-case space complexity is also Θ(d n ), and (3) it seems impractical to suppose that we can acquire accurate values for all d n entries. The above difficulties can be alleviated if some of the variables are known to be independent of each other; for example, suppose that the n random variables mentioned above can be partitioned into n/k" subsets, each containing at most k variables. Then the joint PDF for the entire set is the product of the PDFs of the subsets. Each of those has d k entries, so there are only n/k"n k entries to acquire and reason about. Absolute independence is rare; but another property is more common and can yield a similar decrease in time and space complexity: conditional independence [14.16]. Formally, a is conditionally independent of b given c if P(ab|c) = P(a|c)P(b|c). Bayesian networks are graphical representations of conditional independence in which the network topology reflects knowledge about which events cause other events. There is a large body of work on these networks, stemming from seminal work by Judea Pearl. Here is a simple example due to Pearl [14.17]. Figure 14.3 represents the following hypothetical situation:

Event b (burglary)

Event a (alarm sounds)

Event i (John calls)

Event e (earthquake)

P (b) = 0.001 P (~b) = 0.999

14.1.3 Reasoning About Uncertain Information Earlier in this chapter it was pointed out that AI systems often need to reason about discrete sets of states, and the relationships among these states are often nonnumeric. There are several ways in which uncertainty can enter into this picture; for example, various events may occur spontaneously and there may be uncertainty

255

P (e) = 0.002 P (~e) = 0.998

P (a|b, e) = 0.950 P (a|b, ~e) = 0.940 P (a|~b, e) = 0.290 P (a|~b, ~e) = 0.001

P ( j | a) = 0.90 P (i | ~a) = 0.05

Fig. 14.3 A simple Bayesian network

Event m (Mary calls)

P (m| a) = 0.70 P (m| ~a) = 0.01

Part B 14.1



Logic programming, in which mathematical logic is used as a programming language, uses a particular kind of first-order logic sentence called a Horn clause. Horn clauses are implications of the form P1 ∧ P2 ∧ . . . ∧ Pn ⇒ Pn+1 , where each Pi is an atomic formula (a predicate symbol and its argument list). Such an implication can be interpreted logically, as a statement that Pn+1 is true if P1 , . . . , Pn are true, or procedurally, as a statement that a way to show or solve Pn+1 is to show or solve P1 , . . . , Pn . The best known implementation of logic programming is the programming language Prolog, described further below. Constraint programming, which combines logic programming and constraint satisfaction, is the basis for ILOG’s CP Optimizer (http://www.ilog.com/ products/cpoptimizer). The web ontology language (OWL) and DAML + OIL languages for semantic web markup are based on description logics, which are a particular kind of first-order logic. Fuzzy logic has been used in a wide variety of commercial products including washing machines, refrigerators, automotive transmissions and braking systems, camera tracking systems, etc.

14.1 Methods and Application Examples

256

Part B

Automation Theory and Scientific Foundations

My house has a burglar alarm that will usually go off (event a) if there’s a burglary (event b), an earthquake (event e), or both, with the probabilities shown in Fig. 14.3. If the alarm goes off, my neighbor John will usually call me (event j) to tell me; and he may sometimes call me by mistake even if the alarm has not gone off, and similarly for my other neighbor Mary (event m); again the probabilities are shown in the figure. The joint probability for each combination of events is the product of the conditional probabilities given in Fig. 14.3 P(b, e, a, j, m) = P(b)P(e)P(a|b, e) × P( j|a)P(m|a) , P(b, e, a, j, ¬m) = P(b)P(e)P(a|b, e)P( j|a) × P(¬m|a) , P(b, ¬e, ¬a, j, ¬m) = P(b)P(¬e)P(¬a|b, ¬e) × P( j|¬a)P(¬m|¬a) , ...

Part B 14.1

Hence, instead of reasoning about a joint distribution with 25 = 32 entries, we only need to reason about products of the five conditional distributions shown in the figure. In general, probability computations can be done on Bayesian networks much more quickly than would be possible if all we knew was the joint PDF, by taking advantage of the fact that each random variable is conditionally independent of most of the other variables in the network. One important special case occurs when the network is acyclic (e.g., the example in Fig. 14.3), in which case the probability computations can be done in low-order polynomial time. This special case includes decision trees [14.8], in which the network is both acyclic and rooted. For additional details about Bayesian networks, see Pearl and Russell [14.16]. Applications of Bayesian Reasoning. Bayesian reasoning has been used successfully in a variety of applications, and dozens of commercial and freeware implementations exist. The best-known application is spam filtering [14.18, 19], which is available in several mail programs (e.g., Apple Mail, Thunderbird, and Windows Messenger), webmail services (e.g., gmail), and a plethora of third-party spam filters (probably the best-known is spamassassin [14.20]). A few other examples include medical imaging [14.21], document classification [14.22], and web search [14.23].

Fuzzy Logic Fuzzy logic [14.24, 25] is based on the notion that, instead of saying that a statement P is true or false, we can give P a degree of truth. This is a number in the interval [0, 1], where 0 means false, 1 means true, and numbers between 0 and 1 denote partial degrees of truth. As an example, consider the action of moving a car into a parking space, and the statement the car is in the parking space. At the start, the car is not in the parking space, hence the statement’s degree of truth is 0. At the end, the car is completely in the parking space, hence the statement’s degree of is 1. Between the start and end of the action, the statement’s degree of truth gradually increases from 0 to 1. Fuzzy logic is closely related to fuzzy set theory, which assigns degrees of truth to set membership. This concept is easiest to illustrate with sets that are intervals over the real line; for example, Fig. 14.4 shows a set S having the following set membership function ⎧ ⎪ 1, if 2 ≤ x ≤ 4 , ⎪ ⎪ ⎪ ⎪ ⎨0 , if x ≤ 1 or x ≥ 5 , truth(x ∈ S) = ⎪ ⎪x − 1 , if 1 < x < 2 , ⎪ ⎪ ⎪ ⎩ 5 − x , if 4 < x < 5 .

The logical notions of conjunction, disjunction, and negation can be generalized to fuzzy logic as follows truth(x ∧ y) = min[truth(x), truth(y)] ; truth(x ∨ y) = max[truth(x), truth(y)] ; truth(¬x) = 1 − truth(x) . Fuzzy logic also allows other operators, more linguistic in nature, to be applied. Going back to the example of a full gas tank, if the degree of truth of g is full is d, then one might want to say that the degree of truth of g is very full is d 2 . (Obviously, the choice of d 2 for very is subjective. For different users or different applications, one might want to use a different formula.) Degrees of truth are semantically distinct from probabilities, although the two concepts are often confused; x's degree of membership in S 1 0

0

1

2

3

4

5

6

7

x

Fig. 14.4 A degree-of-membership function for a fuzzy set

Artificial Intelligence and Automation

Cold

Moderate

Warm

Fig. 14.5 Degree-of-membership functions for three overlapping temperature ranges

14.1 Methods and Application Examples

a)

b)

Descriptions of W, the initial state or states, and the objectives

Descriptions of W, the initial state or states, and the objectives

Planner

Planner

Plans

Plans

Controller

for example, we could talk about the probability that someone would say the car is in the parking space, but this probability is likely to be a different number than the degree of truth for the statement that the car is in the parking space. Fuzzy logic is controversial in some circles; e.g., many statisticians would maintain that probability is the only rigorous mathematical description of uncertainty. On the other hand, it has been quite successful from a practical point of view, and is now used in a wide variety of commercial products. Applications of Fuzzy Logic. Fuzzy logic has been used

14.1.4 Planning In ordinary English, there are many different kinds of plans: project plans, floor plans, pension plans, urban plans, floor plans, etc. AI planning research focuses specifically on plans of action, i. e., [14.26]: . . . representations of future behavior . . . usually a set of actions, with temporal and other constraints on them, for execution by some agent or agents.

Observations

World W Events

Execution status

Controller Actions

Observations

World W Events

Fig. 14.6a,b Simple conceptual models for (a) offline and (b) online planning

Figure 14.6 gives an abstract view of the relationship between a planner and its environment. The planner’s input includes a description of the world W in which the plan is to be executed, the initial state (or set of possible initial states) of the world, and the objectives that the plan is supposed to achieve. The planner produces a plan that is a set of instructions to a controller, which is the system that will execute the plan. In offline planning, the planner generates the entire plan, gives it to the controller, and exits. In online planning, plan generation and plan execution occur concurrently, and the planner gets feedback from the controller to aid it in generating the rest of the plan. Although not shown in the figure, in some cases the plan may go to a scheduler before going to the controller. The purpose of the scheduler is to make decisions about when to execute various parts of the plan and what resources to use during plan execution. Examples. The following paragraphs include several

examples of offline planners, including the sheet-metal bending planner in Domain-Specific Planners, and all of the planners in Classical Planning and DomainConfigurable Planners. One example of an online planner is the planning software for the Mars rovers in Domain-Specific Planners. The planner for the Mars rovers also incorporates a scheduler. Domain-Specific Planners A domain-specific planning system is one that is tailormade for a given planning domain. Usually the design of the planning system is dictated primarily by the detailed requirements of the specific domain, and the

Part B 14.1

in a wide variety of commercial products. Examples include washing machines, refrigerators, dishwashers, and other home appliances; vehicle subsystems such as automotive transmissions and braking systems; digital image-processing systems such as edge detectors; and some microcontrollers and microprocessors. In such applications, a typical approach is to specify fuzzy sets that correspond to different subranges of a continuous variable; for instance, a temperature measurement for a refrigerator might have degrees of membership in several different temperature ranges, as shown in Fig. 14.5. Any particular temperature value will correspond to three degrees of membership, one for each of the three temperature ranges; and these degrees of membership could provide input to a control system to help it decide whether the refrigerator is too cold, too warm, or in the right temperature range.

Actions

257

258

Part B

Automation Theory and Scientific Foundations

Fig. 14.7 One of the Mars rovers

Fig. 14.8 A sheet-metal bending machine

system is unlikely to work in any domain other other than the one for which it was designed. Many successful planners for real-world applications are domain specific. Two examples are the autonomous planning system that controlled the Mars rovers [14.27] (Fig. 14.7), and the software for planning sheet-metal bending operations [14.28] that is bundled with Amada Corporation’s sheet-metal bending machines (Fig. 14.8).

1. Generate a planning graph of depth i. Without going into detail, the planning graph is basically the search space for a greatly simplified version of the planning problem that can be solved very quickly. 2. Search for a solution to the original unsimplified planning problem, but restrict this search to occur solely within the planning graph produced in step 1. In general, this takes much less time than an unrestricted search would take.

Part B 14.1

Classical Planning Most AI planning research has been guided by a desire to develop principles that are domain independent, rather than techniques specific to a single planning domain. However, in order to make any significant headway in the development of such principles, it has proved necessary to make restrictions on what kinds of planning domains they apply to. In particular, most AI planning research has focused on classical planning problems. In this class of planning problems, the world W is finite, fully observable, deterministic, and static (i.e., the world never changes except as a result of our actions); and the objective is to produce a finite sequence of actions that takes the world from some specific initial state to any of some set of goal states. There is a standard language, planning domain definition language (PDDL) [14.29], that can represent planning problems of this type, and there are dozens (possibly hundreds) of classical planning algorithms. One of the best-known classical planning algorithms is GraphPlan [14.30], an iterative-deepening algorithm that performs the following steps in each iteration i:

GraphPlan has been the basis for dozens of other classical planning algorithms. Domain-Configurable Planners Another important class of planning algorithms are the domain-configurable planners. These are planning systems in which the planning engine is domain independent but the input to the planner includes domain-specific information about how to do planning in the problem domain at hand. This information serves to constrain the planner’s search so that the planner searches only a small part of the search space. There are two main types of domain-configurable planners:



Hierarchical task network (HTN) planners such as O-Plan [14.31], SIPE-2 (system for interactive planning and execution) [14.32], and SHOP2 (simple hierarchical ordered planner 2) [14.33]. In these planners, the objective is described not as a set of goal states, but instead as a collection of tasks to perform. Planning proceeds by decomposing tasks into subtasks, subtasks into sub-subtasks, and so forth in a recursive manner until the planner reaches primitive tasks that can be performed using actions

Artificial Intelligence and Automation



similar to those used in a classical planning system. To guide the decomposition process, the planner uses a collection of methods that give ways of decomposing tasks into subtasks. Control-rule planners such as temporal logic planner (TLPlan) [14.34] and temporal action logic planner (TALplanner) [14.35]. Here, the domainspecific knowledge is a set of rules that give conditions under which nodes can be pruned from the search space; for example, if the objective is to load a collection of boxes into a truck, one might write a rule telling the planner “do not pick up a box unless (1) it is not on the truck and (2) it is supposed to be on the truck.” The planner does a forward search from the initial state, but follows only those paths that satisfy the control rules.

Planning with Uncertain Outcomes One limitation of classical planners is that they cannot handle uncertainty in the outcomes of the actions. The best-known model of uncertainty in planning is the Markov decision process (MDP) model. MDPs are well known in engineering, but are generally defined over continuous sets of states and actions, and are solved using the tools of continuous mathematics. In contrast, the MDPs considered in AI research are usually discrete, with the relationships among the states and actions being symbolic rather than numeric (the latest version of PDDL [14.29] incorporates the ability to represent planning problems in this fashion):





There is a set of states S and a set of actions A. Each state s has a reward R(s), which is a numeric measure of the desirability of s. If an action a is applicable to s, then C(a, s) is the cost of executing a in s. If we execute an action a in a state s, the outcome may be any state in S. There is a probability distribution over the outcomes: P(s  |a, s) is the that the outcome will be s , with ( probability  s ∈S P(s |a, s) = 1. Starting from some initial state s0 , suppose we execute a sequence of actions that take the MDP from s0 to some state s1 , then from s1 to s2 , then from s2 to s3 , and so forth. The sequence of states h = s0 , s1 , s2 , . . . is called a history. In a finitehorizon problem, all of the MDP’s possible histories are finite (i. e., the MDP ceases to operate after a finite number of state transitions). In an infinitehorizon problem, the histories are infinitely long (i. e., the MDP never stops operating).



259

Each history h has a utility U(h) that can be computed by summing the rewards of the states minus the costs of the actions ⎧( n−1 ⎪ R(si ) − C(si , π(si )) + R(sn ) , ⎪ ⎪ ⎪ i=0 ⎪ ⎨ for finite-horizon problems , U(h) = ( ∞ ⎪ i ⎪ ⎪ i=0 γ R(si ) − C(si , π(si )) ⎪ ⎪ ⎩ for infinite-horizon problems . In the equation for infinite-horizon problems, γ is a number between 0 and 1 called the discount factor. Various rationales have been offered for using discount factors, but the primary purpose is to ensure that the infinite sum will converge to a finite value. A policy is any function π : S → A that returns an action to perform in each state. (More precisely, π is a partial function from S to A. We do not need to define π at a state s ∈ S unless π can actually generate a history that includes s.) Since the outcomes of the actions are probabilistic, each policy π induces a probability distribution over MDP’s possible histories P(h|π) = P(s0 )P(s1 |π(s0 ), s0 )P(s1 |π(s1 ), s1 ) × P(s2 |π(s2 ), s2 ) . . . The expected utility of π is the sum, over all histories, ( of h’s probability times its utility: EU(π) = h P(h|π)U(h). Our objective is to generate a policy π having the highest expected utility.

Traditional MDP algorithms such as value iteration or policy iteration are difficult to use in AI planning problems, since these algorithms iterate over the entire set of states, which can be huge. Instead, the focus has been on developing algorithms that examine only a small part of the search space. Several such algorithms are described in [14.36]. One of the best-known is real-time dynamic programming (RTDP) [14.37], which works by repeatedly doing a forward search from the initial state (or the set of possible initial states), extending the frontier of the search a little further each time until it has found an acceptable solution. Applications of Planning The paragraph on Domain-Specific Planners gave several examples of successful applications of domainspecific planners. Domain-configurable HTN planners such as O-Plan, SIPE-2, and SHOP2 have been deployed in hundreds of applications; for example

Part B 14.1





14.1 Methods and Application Examples

260

Part B

Automation Theory and Scientific Foundations

a system for controlling unmanned aerial vehicles (UAVs) [14.38] uses SHOP2 to decompose high-level objectives into low-level commands to the UAV’s controller. Because of the strict set of restrictions required for classical planning, it is not directly usable in most application domains. (One notable exception is a cybersecurity application [14.39].) On the other hand, several domain-specific or domain-configurable planners are based on generalizations of classical planning techniques. One example is the domain-specific Mars rover planning software mentioned in Domain-Specific Planners, which involved a generalization of a classical planning technique called plan-space planning [14.40, Chap. 5]. Some of the generalizations included ways to handle action durations, temporal constraints, and other problem characteristics. For additional reading on planning, see Ghallab et al. [14.40] and LaValle [14.41].

14.1.5 Games

Part B 14.1

One of the oldest and best-known research areas for AI has been classical games of strategy, such as chess, checkers, and the like. These are examples of a class of games called two-player perfect-information zero-sum turn-taking games. Highly successful decision-making algorithms have been developed for such games: Computer chess programs are as good as the best grandmasters, and many games – including most recently checkers [14.42] – are now completely solved. A strategy is the game-theoretic version of a policy: a function from states into actions that tells us what move to make in any situation that we might en-

counter. Mathematical game theory often assumes that a player chooses an entire strategy in advance. However, in a complicated game such as chess it is not feasible to construct an entire strategy in advance of the game. Instead, the usual approach is to choose each move at the time that one needs to make this move. In order to choose each move intelligently, it is necessary to get a good idea of the possible future consequences of that move. This is done by searching a game tree such as the simple one shown in Fig. 14.9. In this figure, there are two players whom we will call Max and Min. The square nodes represent states where it is Max’s move, the round nodes represent states where it is Min’s move, and the edges represent moves. The terminal nodes represent states in which the game has ended, and the numbers below the terminal nodes are the payoffs. The figure shows the payoffs for both Max and Min; note that they always sum to 0 (hence the name zero-sum games). From von Neuman and Morgenstern’s famous Minimax theorem, it follows that Max’s dominant (i. e., best) strategy is, on each turn, to move to whichever state s has the highest minimax value m(s), which is defined as follows ⎧ ⎪ Max’s payoff at s , ⎪ ⎪ ⎪ ⎪ ⎪ if s is a terminal node , ⎪ ⎪ ⎪ ⎪ ⎨max{m(t) : t is a child of s} , (14.3) m(s) = ⎪ ⎪ if it is Max’s move at s , ⎪ ⎪ ⎪ ⎪ ⎪ min{m(t) : t is a child of s} , ⎪ ⎪ ⎪ ⎩ if it is Min’s move at s ,

Our turn to move:

Opponent's turn to move:

Our turn to move:

s2

s1

m(s2) = 5 s3

m(s2) = 5

s5

s4 m(s4) = 5

m(s2) = –2

s6 m(s5) = 9

s7 m(s6) = –2

m(s7) = 9

Terminal nodes:

s8

s9

s10

s11

s12

s13

s14

s15

Our payoffs: Opponent's payoffs:

5 –5

–4 4

9 –9

0 0

–7 7

–2 2

9 –9

0 0

Fig. 14.9 A simple example of a game tree

Artificial Intelligence and Automation

where child means any immediate successor of s; for example, in Fig. 14.9, m(s2 ) = min(max(5, −4) , max(9, 0)) = min(5, 9) = 5 ; (14.4) m(s3 ) = min(max(s12 ) , max(s13 ) , max(s14 ) , max(s15 )) = min(7, 0) = 0 . (14.5) Hence Max’s best move at s1 is to move to s2 . A brute-force computation of (14.3) requires searching every state in the game tree, but most nontrivial games have so many states that it is infeasible to explore more than a small fraction of them. Hence a number of techniques have been developed to speed up the computation. The best known ones include:



Games with Chance, Imperfect Information, and Nonzero-Sum Payoffs The game-tree search techniques outlined above do extremely well in perfect-information zero-sum games, and can be adapted to perform well in perfectinformation games that include chance elements, such as backgammon [14.44]. However, game-tree search does less well in imperfect-information zero-sum games such as bridge [14.45] and poker [14.46]. In these games, the lack of imperfect information increases the effective branching factor of the game tree because the tree will need to include branches for all of the moves that the opponent might be able to make. This increases the size of the tree exponentially. Second, the minimax formula implicitly assumes that the opponent will always be able to determine which move is best for them – an assumption that is less accurate in games of imperfect information than in games of perfect information, because the opponent is less likely to have enough information to be able to determine which move is best [14.47]. Some imperfect-information games are iterated games, i. e., tournaments in which two players will play the same game with each other again and again. By observing the opponent’s moves in the previous iterations (i. e., the previous times one has played the game with this opponent), it is often possible to detect patterns in the opponent’s behavior and use these patterns to make probabilistic predictions of how the opponent will behave in the next iteration. One example is Roshambo (rock–paper–scissors). From a game-theoretic point of view, the game is trivial: the best strategy is to play purely at random, and the expected payoff is 0. However, in practice, it is possible to do much better than this by observing the opponent’s moves in order to detect and exploit patterns in their behavior [14.48]. Another example is poker, in which programs have been developed that play nearly as well as human champions [14.46]. The techniques used to accomplish this are a combination of probabilistic computations, game-tree search, and detecting patterns in the opponent’s behavior [14.49]. Applications of Games Computer programs have been developed to take the place of human opponents in so many different games of strategy that it would be impractical to list all of them here. In addition, game-theoretic techniques have application in several of the behavioral and social sciences, primarily in economics [14.50].

261

Part B 14.1



Alpha–beta pruning, which is a technique for deducing that the minimax values of certain states cannot have any effect on the minimax value of s, hence those states and their successors do not need to be searched in order to compute s’s minimax value. Pseudocode for the algorithm can be found in [14.8, 43], and many other places. In brief, the algorithm does a modified depth-first search, maintaining a variable α that contains the minimax value of the best move it has found so far for Max, and a variable β that contains the minimax value of the best move it has found so far for Min. Whenever it finds a move for Min that leads to a subtree whose minimax value is less than α, it does not search this subtree because Max can achieve at least α by making the best move that the algorithm found for Max earlier. Similarly, whenever the algorithm finds a move for Max that leads to a subtree whose minimax value exceeds β, it does not search this subtree because Min can achieve at least β by making the best move that the algorithm found for Min earlier. The amount of speedup provided by alpha–beta pruning depends on the order in which the algorithm visits each node’s successors. In the worst case, the algorithm will do no pruning at all and hence will run no faster than a brute-force minimax computation, but in the best case, it provide an exponential speedup [14.43]. Limited-depth search, which searches to an arbitrary cutoff depth, uses a static evaluation function e(s) to estimate the utility values of the states at that depth, and then uses these estimates in (14.3) as if those states were terminal states and their estimated utility values were the exact utility values for those states [14.8].

14.1 Methods and Application Examples

262

Part B

Automation Theory and Scientific Foundations

Highly successful computer programs have been written for chess [14.51], checkers [14.42, 52], bridge [14.45], and many other games of strategy [14.53]. AI game-searching techniques are being applied successfully to tasks such as business sourcing [14.54] and to games that are models of social behavior, such as the iterated prisoner’s dilemma [14.55].

states themselves are not directly observable, but in each state the HMM emits a symbol that we can observe. To use HMMs for part-of-speech tagging, we need an HMM in which each state is a pair (w, t), where w is a word in some finite lexicon (e.g., the set of all English words), and t is a part-of-speech tag such as noun, adjective, or verb. Note that, for each word w, there may be more than one possible part-of-speech tag, hence more than one state that corresponds to w; for example, the word flies could either be a plural noun (the insect), or a verb (the act of flying). In each state (w, t), the HMM emits the word w, then transitions to one of its possible next states. As an example (adapted from [14.58]), consider the sentence, Flies like a flower. First, if we consider each of the words separately, every one of them has more than one possible part-of-speech tag:

14.1.6 Natural-Language Processing Natural-language processing (NLP) focuses on the use of computers to analyze and understand human (as opposed to computer) languages. Typically this involves three steps: part-of-speech tagging, syntactic parsing, and semantic processing. Each of these is summarized below. Part-of-Speech Tagging Part-of-speech tagging is the task of identifying individual words as nouns, adjectives, verbs, etc. This is an important first step in parsing written sentences, and it also is useful for speech recognition (i. e., recognizing spoken words) [14.56]. A popular technique for part-of-speech tagging is to use hidden Markov models (HMMs) [14.57]. A hidden Markov model is a finite-state machine that has states and probabilistic state transitions (i. e., at each state there are several different possible next states, with a different probability of going to each of them). The

Flies could be a plural noun or a verb; like could be a preposition, adverb, conjunction, noun or verb; a could be an article or a noun, or a preposition; flower could be a noun or a verb; Here are two sequences of state transitions that could have produced the sentence:



Start, (Flies, noun), (like, verb), (a, article), (flower, noun), End

Start

Part B 14.1

(Flies, noun)

(like, preposition)

(like, adverb)

(a, article)

(Flies, verb)

(like, conjunction)

(a, noun)

(flower, noun)

(like, noun)

(like, verb)

(a, prep)

(flower, verb) End

Fig. 14.10 A graphical representation of the set of all state transitions that might have produced the sentence Flies like

a flower.

Artificial Intelligence and Automation



Start, (Flies, verb), (like, preposition), (a, article), (flower, noun), End.

But there are many other state transitions that could also produce it; Fig. 14.10 shows all of them. If we know the probability of each state transition, then we can compute the probability of each possible sequence – which gives us the probability of each possible sequence of part-ofspeech tags. To establish the transition probabilities for the HMM, one needs a source of data. For NLP, these data sources are language corpora such as the Penn Treebank (http://www.cis.upenn.edu/˜treebank/).

14.1 Methods and Application Examples

263

Sentence NounPhrase

The dog

VerbPhrase

Verb took

NounPhrase

PrepositionalPhrase

the bone Preposition to

NounPhrase the door

Fig. 14.11 A parse tree for the sentence The dog took the bone to the

door.

Context-Free Grammars While HMMs are useful for part-of-speech tagging, it is generally accepted that they are not adequate for parsing entire sentences. The primary limitation is that HMMs, being finite-state machines, can only recognize regular languages, a language class that is too restricted to model several important syntactical features of human languages. A somewhat more adequate model can be provided by using context-free grammars [14.59]. In general, a grammar is a set of rewrite rules such as the following:

Sentence → NounPhrase VerbPhrase NounPhrase → Article NounPhrase1 Article → the | a | an ...

Features. While context-free grammars are better at

modeling the syntax of human languages than regular grammars, there are still important features of human

PCFGs. If a sentence has more than one parse, one of the parses might be more likely than the others: for example, time flies is more likely to be a statement about time than about insects. A probabilistic contextfree grammar (PCFG) is a context-free grammar that is augmented by attaching a probability to each grammar rule to indicate how likely different possible parses may be. PCFGs can be learned from a parsed language corpora in a manner somewhat similar (although more complicated) than learning HMMs [14.60]. The first step is to acquire CFG rules by reading them directly from the parsed sentences in the corpus. The second step is to try to assign probabilities to the rules, test the rules on a new corpus, and remove rules if appropriate (e.g., if they are redundant or if they do not work correctly).

Applications NLP has a large number of applications. Some examples include automated language-translation services such as Babelfish, Google Translate, Freetranslation, Teletranslator and Lycos Translation [14.61], automated speech-recogition systems used in telephone call centers, systems for categorizing, summarizing, and retrieving text (e.g., [14.62, 63]), and automated evaluation of student essays [14.64].

Part B 14.1

The grammar includes both nonterminal symbols such as NounPhrase, which represents an entire noun phrase, and terminal symbols such as the and an, which represent actual words. A context-free grammar is a grammar in which the left-hand side of each rule is always a single nonterminal symbol (such as Sentence in the first rewrite rule shown above). Context-free grammars can be used to parse sentences into parse trees such as the one shown in Fig. 14.11, and can also be used to generate sentences. A parsing algorithm (parser) is a procedure for searching through the possible ways of combining grammatical rules to find one or more parses (i. e., one or more trees similar to the one in Fig. 14.11) that match a given sentence.

languages that context-free grammars cannot handle well; for example, a pronoun should not be plural unless it refers to a plural noun. One way to handle these is to augment the grammar with a set of features that restrict the circumstances under which different rules can be used (e.g., to restrict a pronoun to be plural if its referent is also plural).

264

Part B

Automation Theory and Scientific Foundations

For additional reading on natural-language processing, see Wu, Hsu, and Tan [14.65] and Thompson [14.66].

14.1.7 Expert Systems An expert system is a software system that performs, in some specialized field, at a level comparable to a human expert in the field. Most expert systems are rule-based systems, i. e., their expert knowledge consists of a set of logical inference rules similar to the Horn clauses discussed in Sect. 14.1.2. Often these rules also have probabilities attached to them; for example, instead of writing if A1 and A2 then conclude A3

the techniques of expert systems have become a standard part of modern programming practice. Applications. Some of the better-known examples of expert-system applications include medical diagnosis [14.68], analysis of data gathered during oil exploration [14.69], analysis of DNA structure [14.70], configuration of computer systems [14.71], as well as a number of expert system shell (i. e., tools for building expert systems).

14.1.8 AI Programming Languages AI programs have been written in nearly every programming language, but the most common languages for AI programming are Lisp, Prolog, C/C++, and Java.

one might write if A1 and A2 then conclude A3 with probability p0 .

Part B 14.1

Now, suppose A1 and A2 are known to have probabilities p1 and p2 , respectively, and to be stochastically independent so that P(A1 ∧ A2 ) = p1 p2 . Then the rule would conclude P(C) = p0 p1 p2 . If A1 and A2 are not known to be stochastically independent, or if there are several rules that conclude A3 , then the computations can get much more complicated. If there are n variables A1 , . . . , An , then the worst case could require a computation over the entire joint distribution P(A1 , . . . , An ), which would take exponential time and would require much more information than is likely to be available to the expert system. In some of the early expert systems, the above complication was circumvented by assuming that various events were stochastically independent even when they were not. This made the computations tractable, but could lead to inaccuracies in the results. In more modern systems, conditional independence (Sect. 14.1.3) is used to obtain more accurate results in a computationally tractable manner. Expert systems were quite popular in the early and mid-1980s, and were used successfully in a wide variety of applications. Ironically, this very success (and the hype resulting from it) gave many potential industrial users unrealistically high expectations of what expert systems might be able to accomplish for them, leading to disappointment when not all of these expectations were met. This led to a backlash against AI, the socalled AI winter [14.67], that lasted for some years. but in the meantime, it became clear that simple expert systems were more elaborate versions of the decision logic already used in computer programming; hence some of

Lisp Lisp [14.72, 73] has many features that are useful for rapid prototyping and AI programming. These features include garbage collection, dynamic typing, functions as data, a uniform syntax, an interactive programming and debugging environment, ease of extensibility, and a plethora of high-level functions for both numeric and symbolic computations. As an example, Lisp has a built-in function, append, for concatenating two lists – but even if it did not, such a function could easily be written as follows:

(defun concatenate (x y) (if (null x) y (cons (first x) (concatenate (rest x) y)))) The above program is tail-recursive, i. e., the recursive call occurs at the very end of the program, and hence can easily be translated into a loop – a translation that most Lisp compilers perform automatically. An argument often advanced in favor of conventional languages such as C++ and Java as opposed to Lisp is that they run faster, but this argument is largely erroneous. As of 2003, experimental comparisons showed compiled Lisp code to run nearly as fast as C++, and substantially faster than Java. (The speed comparison to Java might not be correct any longer, since a huge amount of work has been done since 2003 to improve Java compilers.) Probably the misconception about Lisp’s speed arose from the fact that early Lisp systems ran Lisp code interpretively. Modern Lisp systems give users the option of running their code interpretively (which is useful for experimenting and

Artificial Intelligence and Automation

debugging) or compiling their code (which provides much higher speed). See [14.74] for a discussion of other advantages of Lisp. One notable disadvantage of Lisp is that, if one has a computer program written in a conventional language such as C, C++ or Java, it is difficult for such a program to call a Lisp program as a subroutine: one must run the Lisp program as a separate process in order to provide the Lisp execution environment. (On the other hand, Lisp programs can quite easily invoke subroutines written in conventional programming languages.) Applications. Lisp was quite popular during the expert-

Prolog Prolog [14.76] is based on the notion that a general theorem-prover can be used as a programming environment in which the program consists of a set of logical statements. As an example, here is a Prolog program for concatenating lists, analogous to the Lisp program given earlier

concatenate([],Y,Y). concatenate([First|Rest],Y,[First|Z]) :concatenate(Rest,Y,Z). To concatenate two lists [a,b] and [c], one asks the theorem prover if there exists a list Z that is their

265

concatenation; and the theorem prover returns Z if it exists ?- concatenate([a,b],[c],Z) Z=[a,b,c]. Alternatively, if one asks whether there are lists X and Y whose concatenation is a given list Z, then there are several possible values for X and Y , and the theorem prover will return all of them ?- concatenate(X,Y,[a,b]) X = []; Y = [a,b] X = [a]; Y = [b] X = [a,b]; Y = [] One of Prolog’s biggest drawbacks is that several aspects of its programming style – for example, the lack of an assignment statement, and the automated backtracking – can require workarounds that feel unintuitive to most programmers. However, Prolog can be good for problems in which logic is intimately involved, or whose solutions have a succinct logical characterization. Applications. Prolog became popular during the the expert-systems boom of the 1980s, and was used as the basis for the Japanese Fifth Generation project [14.77], but never achieved wide commercial acceptance. On the other hand, an extension of Prolog called constraint logic programming is important in several industrial applications (see Constraint Satisfaction and Constraint Optimization).

C, C++, and Java C and C++ provide much less in the way of high-level programming constructs than Lisp, hence developing code in these languages can require much more effort. On the other hand, they are widely available and provide fast execution, hence they are useful for programs that are simple and need to be both portable and fast; for example, neural networks need very fast execution in order to achieve a reasonable learning rate, and a backpropagation procedure can be written in just a few pages of C or C++ code. Java is a lower-level language than Lisp, but is higher-level than C or C++. It uses several ideas from Lisp, most notably garbage collection. As of 2003 it ran much more slowly than Lisp, but its speed has improved in the interim and it has the advantages of being highly portable and more widely known than Lisp.

Part B 14.1

systems boom of the mid-1980s, and several Lisp machine computer architectures were developed and marketed in which the entire operating system was written in Lisp. Ultimately these machines did not meet with long-term commercial success, as they were eventually surpassed by less-expensive, less-specialized hardware such as Sun workstations and Intel x86 machines. On the other hand, development of software systems in Lisp has continued, and there are many current examples of Lisp applications. A few of them include the visual lisp extension language for the AutoCAD computer-aided design system (autodesk.com), the Elisp extension language for the Emacs editor (http://en.wikipedia.org/wiki/Emacs_Lisp)the Script-Fu plugins for the GNU Image Manipulation Program (GIMP), the Remote Agent software deployed on NASA’s Deep Space 1 spacecraft [14.75], the airline fare shopping engine used by Orbitz [14.9], the SHOP2 planning system [14.38], and the Yahoo Store e-commerce software. (As of 2003, about 20 000 Yahoo stores used this software. The author does not have access to more recent statistics.)

14.1 Methods and Application Examples

266

Part B

Automation Theory and Scientific Foundations

14.2 Emerging Trends and Open Challenges AI has gone through several periods of optimism and pessimism. The most recent period of pessimism was the AI winter mentioned in Sect. 14.1.7. AI has emerged from this period in recent years, primarily because of the following trends. First is the exponential growth improvement in computing power: computations that used to take days or weeks can now be done in minutes or seconds. Consequently, computers have become much better able to support the intensive computations that AI often requires. Second, the pervasive role of computers in everyday life is helping to erase the apprehension that has often been associated with AI in popular culture. Third, there have been huge advances in AI research itself. AI concepts such as search, planning, natural-language processing, and machine learning have developed mature theoretical underpinnings and extensive practical histories. AI technology is widely expected to become increasingly pervasive in applications such as data mining, information retrieval (especially from the web), and prediction of human events (including anything from sports forecasting to economics to international conflicts). During the next decade, it appears quite likely that AI will be able to make contributions to the behavioral and social sciences analogous to the contributions that computer science has made to the biological sciences during the past decade. To make this happen, one of the biggest challenges is the huge diversity

among the various research fields that will be involved. These include behavioral and social sciences such as economics, political science, psychology, anthropology, and sociology, and technical disciplines such as AI, robotics, computational linguistics, game theory, and operations research. Researchers from these fields will need to forge a common understanding of principles, techniques, and objectives. Research laboratories are being set up to foster this goal (one example is the University of Maryland’s Laboratory for Computational Cultural Dynamics (http://www.umiacs.umd.edu/ research/LCCD/), which is co-directed by the author of this chapter), and several international conferences and workshops on the topic have been recently established [14.78, 79]. One of the biggest challenges that currently faces AI research is its fragmentation into a bewilderingly diverse collection of subdisciplines. Unfortunately, these subdisciplines are becoming rather insular, with their own research conferences and their own (sometimes idiosyncratic) notions of what constitutes a worthwhile research question or a significant result. The achievement of human-level AI will require integrating the best efforts among many different subfields of AI, and this in turn will require better communication amongst the researchers from these subfields. I believe that the field is capable of overcoming this challenge, and that human-level AI will be possible by the middle of this century.

Part B 14

References 14.1

14.2 14.3 14.4

14.5 14.6 14.7 14.8

A. Newell, H.A. Simon: Computer science as empirical inquiry: Symbols and search, Assoc. Comput. Mach. Commun. 19(3), 113–126 (1976) S. Hedberg: Proc. Int. Conf. Artif. Intell. (IJCAI) 03 conference highlights, AI Mag. 24(4), 9–12 (2003) C. Kuykendall: Analyzing solitaire, Science 283(5403), 791 (1999) R. Bjarnason, P. Tadepalli, A. Fern: Searching solitaire in real time, Int. Comput. Games Assoc. J. 30(3), 131–142 (2007) E. Horowitz, S. Sahni: Fundamentals of Computer Algorithms (Computer Science, Potomac 1978) N. Nilsson: Principles of Artificial Intelligence (Morgan Kaufmann, San Francisco 1980) D. Navinchandra: The recovery problem in product design, J. Eng. Des. 5(1), 67–87 (1994) S. Russell, P. Norvig: Artificial Intelligence, A Modern Approach (Prentice Hall, Englewood Cliffs 1995)

14.9

14.10

14.11

14.12

14.13 14.14 14.15

S. Robinson: Computer scientists find unexpected depths in airfare search problem, SIAM News 35(1), 1–6 (2002) C. Le Pape: Implementation of resource constraints in ilog schedule: a library for the development of constraint-based scheduling systems, Intell. Syst. Eng. 3, 55–66 (1994) P. Shaw: Using constraint programming and local search methods to solve vehicle routing problems, Proc. 4th Int. Conf. Princ. Pract. Constraint Program. (1998) pp. 417–431 P. Albertand, L. Henocque, M. Kleiner: Configuration based workflow composition, IEEE Int. Conf. Web Serv., Vol. 1 (2005) pp. 285–292 J. Pearl: Heuristics (Addison-Wesley, Reading 1984) R. Dechter: Constraint Processing (Morgan Kaufmann, San Francisco 2003) J. Shoenfield: Mathematical Logic (AddisonWesley, Reading 1967)

Artificial Intelligence and Automation

14.16

14.17

14.18

14.19

14.20

14.21

14.22

14.23

14.24

14.25

14.26

14.28

14.29

14.30

14.31

14.32

14.33

14.34

14.35

14.36

14.37

14.38

14.39

14.40

14.41 14.42

14.43 14.44 14.45

14.46

14.47

14.48 14.49

14.50

D. Nau, T.-C. Au, O. Ilghami, U. Kuter, J.W. Murdock, D. Wu, F. Yaman: SHOP2: An HTN planning system, J. Artif. Intell. Res. 20, 379–404 (2003) F. Bacchus, F. Kabanza: Using temporal logics to express search control knowledge for planning, Artif. Intell. 116(1/2), 123–191 (2000) J. Kvarnström, P. Doherty: TALplanner: A temporal logic based forward chaining planner, Ann. Math. Artif. Intell. 30, 119–169 (2001) M. Fox, D. E. Smith: Special track on the 4th international planning competition. J. Artif. Intell. Res. (2006), available at http://www.jair.org/ specialtrack.html B. Bonet, H. Geffner: Labeled RTDP: Improving the convergence of real-time dynamic programming, Proc. 13th Int. Conf. Autom. Plan. Sched. (ICAPS) (AAAI, 2003), pp 12–21 ˜ ozD. Nau, T.-C. Au, O. Ilghami, U. Kuter, H. Mun Avila, J.W. Murdock, D. Wu, F. Yaman: Applications of SHOP and SHOP2, IEEE Intell. Syst. 20(2), 34–41 (2005) M. Boddy, J. Gohde, J.T. Haigh, S. Harp: Course of action generation for cyber security using classical planning, Proc. 15th Int. Conf. Autom. Plan. Sched. (ICAPS) (2005) M. Ghallab, D. Nau, P. Traverso: Automated Planning: Theory and Practice (Morgan Kaufmann, San Francisco 2004) S.M. Lavalle: Planning Algorithms (Cambridge University Press, Cambridge 2006) J. Schaeffer, N. Burch, Y. Björnsson, A. Kishimoto, M. Müller, R. Lake, P. Lu, S. Sutphen: Checkers is solved, Science 317(5844), 1518–1522 (2007) D.E. Knuth, R.W. Moore: An analysis of alpha-beta pruning, Artif. Intell. 6, 293–326 (1975) G. Tesauro: Programming Backgammon Using Self-Teaching Neural Nets (Elsevier, Essex 2002) S.J.J. Smith, D.S. Nau, T. Throop: Computer bridge: A big win for AI planning, AI Mag. 19(2), 93–105 (1998) M. Harris: Laak-Eslami team defeats Polaris in man-machine poker championship, Poker News (2007), Available at www.pokernews. com/news/2007/7/laak-eslami-team-defeatspolaris-man-machine-poker-championship.htm A. Parker, D. Nau, V.S. Subrahmanian: Overconfidence or paranoia? Search in imperfectinformation games, Proc. Natl. Conf. Artif. Intell. (AAAI) (2006) D. Billings: Thoughts on RoShamBo, Int. Comput. Games Assoc. J. 23(1), 3–8 (2000) B. Johanson: Robust strategies and counterstrategies: Building a champion level computer poker player. Master Thesis (University of Alberta, 2007) S. Hart, R.J. Aumann (Eds.): Handbook of Game Theory with Economic Applications 2 (North Holland, Amsterdam 1994)

267

Part B 14

14.27

J. Pearl, S. Russell: Bayesian networks. In: Handbook of Brain Theory and Neural Networks, ed. by M.A. Arbib (MIT Press, Cambridge 2003) pp. 157–160 J. Pearl: Probabilistic Reasoning in Intelligent Systems: Networks of Plausible Inference (Morgan Kaufmann, San Fransisco 1988) M. Sahami, S. Dumais, D. Heckerman, E. Horvitz: A bayesian approach to filtering junk e-Mail. In: Learning for Text Categorization: Papers from the 1998 Workshop AAAI Technical Report WS-98-05 (Madison 1998) J.A. Zdziarski: Ending Spam: Bayesian Content Filtering and the Art of Statistical Language Classification (No Starch, San Francisco 2005) D. Quinlan: BayesInSpamAssassin, http://wiki. apache.org/spamassassin/BayesInSpamAssassin (2005) K.M. Hanson: Introduction to bayesian image analysis. In: Medical Imaging: Image Processing, Vol. 1898, ed. by M.H. Loew, (Proc. SPIE, 1993) pp. 716–732 L. Denoyer, P. Gallinari: Bayesian network model for semistructured document classification, Inf. Process. Manage. 40, 807–827 (2004) S. Fox, K. Karnawat, M. Mydland, S. Dumais, T. White: Evaluating implicit measures to improve web search, ACM Trans. Inf. Syst. 23(2), 147–168 (2005) L. Zadeh, G.J. Klir, Bo Yuan: Fuzzy Sets, Fuzzy Logic, and Fuzzy Systems: Selected Papers by Lotfi Zadeh (World Scientific, River Edge 1996) G.J. Klir, U.S. Clair, B. Yuan: Fuzzy Set Theory: Foundations and Applications (Prentice Hall, Englewood Cliffs 1997) A. Tate: Planning. In: MIT Encyclopedia of the Cognitive Sciences, (1999) pp. 652–653 T. Estlin, R. Castano, B. Anderson, D. Gaines, F. Fisher, M. Judd: Learning and planning for Mars rover science, Proc. Int. Joint Conf. Artif. Intell. (IJCAI) (2003) S.K. Gupta, D.A. Bourne, K. Kim, S.S. Krishanan: Automated process planning for sheet metal bending operations, J. Manuf. Syst. 17(5), 338–360 (1998) A. Gerevini, D. Long: Plan constraints and preferences in pddl3: The language of the fifth international planning competition. Technical Report (University of Brescia, 2005), available at http://cs-www.cs.yale.edu/homes/dvm/papers/ pddl-ipc5.pdf A.L. Blum, M.L. Furst: Fast planning through planning graph analysis, Proc. Int. Joint Conf. Artif. Intell. (IJCAI) (1995) pp. 1636–1642 A. Tate, B. Drabble, R. Kirby: O-Plan2: An Architecture for Command, Planning and Control (Morgan Kaufmann, San Francisco 1994) D.E. Wilkins: Practical Planning: Extending the Classical AI Planning Paradigm (Morgan Kaufmann, San Mateo 1988)

References

268

Part B

Automation Theory and Scientific Foundations

14.51 14.52

14.53 14.54

14.55

14.56

14.57

14.58

14.59 14.60

14.61

14.62

14.63

Part B 14

14.64

14.65

F.-H. Hsu: Chess hardware in deep blue, Comput. Sci. Eng. 8(1), 50–60 (2006) J. Schaeffer. One Jump Ahead: Challenging Human Supremacy in Checkers (Springer, Berlin, Heidelberg 1997) J. Schaeffer: A gamut of games, AI Mag. 22(3), 29–46 (2001) T. Sandholm: Expressive commerce and its application to sourcing, Proc. Innov. Appl. Artif. Intell. Conf. (IAAI) (AAAI Press, Menlo Park 2006) T.-C. Au, D. Nau: Accident or intention: That is the question (in the iterated prisoner’s dilemma), Int. Joint Conf. Auton. Agents and Multiagent Syst. (AAMAS) (2006) C. Chelba, F. Jelinek: Structured language modeling for speech recognition, Conf. Appl. Nat. Lang. Inf. Syst. (NLDB) (1999) B.H. Juang, L.R. Rabiner: Hidden Markov models for speech recognition, Technometrics 33(3), 251– 272 (1991) S. Lee, J. Tsujii, H. Rim: Lexicalized hidden Markov models for part-of-speech tagging, Proc. 18th Int. Conf. Comput. Linguist. (2000) N. Chomsky: Syntactic Structures (Mouton, The Hague 1957) E. Charniak: A maximum-entropy-inspired parser, Technical Report CS-99-12 (Brown University 1999) F. Gaspari: Online MT Services and Real Users Needs: An Empirical Usability Evaluation (Springer, Berlin, Heidelberg 2004), pp 74–85 P. Jackson, I. Moulinier: Natural Language Processing for Online Applications: Text Retrieval, Extraction, and Categorization (John Benjamins, Amsterdam 2002) M. Sahami, T.D. Heilman: A web-based kernel function for measuring the similarity of short text snippets, WWW ’06: Proc. 15th Int. Conf. World Wide Web (New York 2006) pp. 377–386 J. Burstein, M. Chodorow, C. Leacock: Automated essay evaluation: the criterion online writing service, AI Mag. 25(3), 27–36 (2004) Zhi Biao Wu, Loke Soo Hsu, Chew Lim Tan: A survey of statistical approaches to natural language processing, Technical Report TRA4/92 (National University of Singapore 1992)

14.66

14.67

14.68

14.69

14.70 14.71

14.72 14.73 14.74

14.75

14.76 14.77

14.78

14.79

C. A. Thompson: A brief introduction to natural language processing for nonlinguists. In: Learning Language in Logic, Lecture Notes in Computer Science (LNCS) Ser., Vol. 1925, ed. by J. Cussens, S. Dzeroski (Springer, New York 2000) pp. 36–48 H. Havenstein: Spring comes to AI winter, Computerworld (2005), available at http://www.computerworld.com/action/article.do? command=viewArticleBasic&articleId=99691 B.G. Buchanan, E.H. Shortliffe (Eds.): Rule-Based Expert Systems: The MYCIN Experiments of the Stanford Heuristic Programming Project (AddisonWesley, Reading 1984) R.G. Smith, J.D. Baker: The dipmeter advisor system – a case study in commercial expert system development, Proc. Int. Joint Conf. Artif. Intell. (IJCAI) (1983) pp. 122–129 M. Stefik: Inferring DNA structures from segmentation data, Artif. Intell. 11(1/2), 85–114 (1978) J.P. McDermott: R1 (“XCON”) at age 12: Lessons from an elementary school achiever, Artif. Intell. 59(1/2), 241–247 (1993) G. Steele: Common Lisp: The Language, 2nd edn. (Digital, Woburn 1990) P. Graham: ANSI Common Lisp (Prentice Hall, Englewood Cliffs 1995) P. Graham: Hackers and Painters: Big Ideas from the Computer Age (O’Reilly Media, Sebastopol 2004) pp. 165–180, also available at http://www.paulgraham.com/avg.html N. Muscettola, P. Pandurang Nayak, B. Pell, B.C. Williams: Remote agent: To boldly go where no AI system has gone before, Artif. Intell. 103(1/2), 5–47 (1998) W. Clocksin, C. Mellish: Programming in Prolog (Springer, Berlin, Heidelberg 1981) E. Feigenbaum, P. McCorduck: The Fifth Generation: Artificial Intelligence and Japan’s Computer Challenge to the World (Addison-Wesley Longman, Boston 1983) D. Nau, J. Wilkenfeld (Ed): Proc. 1st Int. Conf. Comput. Cult. Dyn. (ICCCD-2007) (AAAI Press, Menlo Park 2007) H. Liu, J. Salerno, M. Young (Ed): Proc. 1st Int. Workshop Soc. Comput. Behav. Model. Predict. (Springer, Berlin, Heidelberg 2008)

269

Virtual Realit 15. Virtual Reality and Automation

P. Pat Banerjee

Virtual reality of human activities (e.g., in design, manufacturing, medical care, exploration or military operations) often concentrates on an automated interface between virtual reality (VR) technology and the theory and practice of these activities. In this chapter we focus mainly on the role of VR technology in developing this interface. Although the scope and range of applications is large, two illustrative areas (production/service applications and medical applications) are explained in some detail to offer some insight into the magnitude of the benefits and existing challenges.

15.1 Overview of Virtual Reality and Automation Technologies ............... 269 15.2 Production/ Service Applications .............................. 271 15.2.1 Design ........................................ 271 15.2.2 Material Handling and Manufacturing Systems........... 271 15.3 Medical Applications ............................. 15.3.1 Neurosurgical Virtual Automation ... 15.3.2 Ophthalmic Virtual Automation ...... 15.3.3 Dental Virtual Automation .............

273 274 275 275

15.4 Conclusions and Emerging Trends .......... 276 References .................................................. 277

15.1 Overview of Virtual Reality and Automation Technologies conducted a survey [15.1] that provided the following details:

• • • • • • • • •

Industry growth remains strong: 9.8% in 2003 Industry value: US$ 42.6 billion worldwide Five-year forecast: industry value reaches US$ 78 billion in 2008 Average system cost: US$ 356 310 Number of systems sold in 2003: 338 000 Companies involved in visual simulation worldwide: 17 334 Most common visual display system: monoscopic desktop monitor Least common visual display system: autostereoscopic display Most common operating system: Microsoft Windows XP.

The survey [15.1] further comments on the top 17 applications of visual simulation systems from 1999–2003 as follows:

Part B 15

Virtual manufacturing and automation first came into prominence in the early 1990s, in part as a result of the US Department of Defense Virtual Manufacturing Initiative. The topic broadly refers to the modeling of manufacturing systems and components with effective use of audio-visual and/or other sensory features to simulate or design alternatives for an actual manufacturing environment mainly through effective use of high-performance computers. To understand the area, first we introduce a broader area of virtual reality (VR) and visual simulation systems. VR brings in a few exciting new developments. Firstly it provides a major redefinition of perspective projection, by introducing the concept of user-centered perspective. Traditional perspective projection is fixed, whereas in virtual reality one has the option to vary the perspective in real time, thus closely mimicking our natural experience of a three-dimensional (3-D) world. In the past few years, VR and visual simulation systems have made a huge impact in terms of industrial adoption; for example, Cyberedge Information Services

270

Part B

Automation Theory and Scientific Foundations

Table 15.1 Automation advantages of virtual reality (VR); * Unique to VR. General advantages of simulation, including less costs, less risks, less delay, and ability to study as yet unbuilt structures/objects are also valid

What is automated?

Application example

Advantage*

Application domain

• Testing a simulated mold of a new engine • Developing battlefield tactics

• Better visualization of tested product

Human supervisory interface Human feedback interface

Human application interaction

• Training in dental procedures • Teleoperating robots • Improving the safety of a process • Learning the properties of surfaces • Telerepair or teleassembly of remote objects

1. Software development/testing 2. Computer aided design (CAD)/computer-aided manufacture (CAM) visualization or presentation 3. Postgraduate education (college) 4. Virtual prototype 5. Museum/exhibition 6. Design evaluation, general 7. Medical diagnostics 8. Undergraduate education (college) a)

b)

• Greater ability to collaborate in planning and design of complex virtual situations • Detailed hands-on experience in a large simulated library of dental problems • Greater precision and quality of feedback through haptics • Better understanding of simulated behaviors • Greater ability to obtain knowledge about and manipulate in remote global and space locations • Deeper sense of involvement and engagement by the interacting humans

9. Aerospace 10. Automobile, truck, heavy equipment 11. Games development 12. Collaborative work 13. Architecture 14. Medical training 15. Dangerous environment operations 16. Military operation training 17. Trade show exhibit. c)

Part B 15.1 Fig. 15.1 (a) Sensics piSight head-mounted display for virtual prototyping, training, data mining, and other applications (http://www.sensics.com/, December 2007). (b) Pinch is an interacting system for interacting in a virtual environment using sensors in each fingertip (http://www.fakespace.com/, December 2007). (c) Proview SR80 full-color SXGA 3-D stereoscopic head-mounted display (http://www.vrealities.com/, December 2007)

Virtual Reality and Automation

In each of these application areas, VR is advantageous in automating and improving three critical areas, as shown in Table 15.1. A smaller subsection of VR and visual simulation is virtual manufacturing and automation, which often concentrates on an interface between VR technology and manufacturing and automation theory and practice. In this chapter we concentrate mainly on the role of VR technology in developing this interface. Some areas that can benefit from development of virtual manufacturing include product design [15.2, 3], hazardous operations modeling [15.4, 5], production process modeling [15.6, 7], training [15.8, 9], education [15.10, 11], information visualization [15.12, 13], telecommunications [15.14, 15], and teletravel. Lately a number of surgical simulation applications have emerged. VR is closely associated with an environment commonly known as a virtual environment (VE). VE systems differ from other previously developed

15.2 Production/Service Applications

271

computer-centered systems in the extent to which realtime interaction is facilitated, the perceived visual space is three- rather than two-dimensional, the human– machine interface is multimodal, and the operator is immersed in the computer-generated environment. The interactive, virtual image displays are enhanced by special processing and by nonvisual display modalities, such as auditory and haptic, to convince users that they are immersed in a synthetic space. The means of simulating a VE today is through immersion in computer graphics coupled with acoustic interface and domain-independent interacting devices, such as wands, and domain-specific devices such as the steering and brakes for cars, or earthmovers or instrument clusters for airplanes (Fig. 15.1a–c). Immersion gives the feeling of depth, which is essential for a three-dimensional effect. Head-mounted displays, stereoscopic projectors, and retinal display are some of the technologies used for such environments.

15.2 Production/Service Applications 15.2.1 Design The immersive display technology can be used for creating virtual prototypes of products and processes. Integrated production system engineering environments can provide functions to specify, design, engineer, simulate, analyze, and evaluate a production system. Some examples of the functions which might be included in an integrated production system engineering environment are:

The interoperability of the commercial engineering tools that are available today is a challenge. Examples of production systems which may eventually be engineered using this type of integrated environment include: transfer lines, group technology cells, automated or manually operated workstations, customized multipurpose equipment, and entire plants.

15.2.2 Material Handling and Manufacturing Systems An important outcome of virtual manufacturing is virtual factories of the future. When a single factory may cost over a billion US dollars (as is the case, for instance, in the semiconductor industry), it is evident that manufacturing decision-makers need tools that support good decision making about their design, deployment, and operation. However, in the case of manufacturing models, there is usually no testbed but the factory itself; development of models of manufacturing operations is very likely to disrupt factory operations while the models are being developed and tested.

Part B 15.2

1. Identification of product specifications and production system requirements 2. Producibility analysis for individual products 3. Modeling and specification of manufacturing processes 4. Measurement and analysis of process capabilities 5. Modification of product designs to address manufacturability issues 6. Plant layout and facilities planning 7. Simulation and analysis of system performance 8. Consideration of various economic/cost tradeoffs of different manufacturing processes, systems, tools, and materials 9. Analysis supporting selection of systems/vendors 10. Procurement of manufacturing equipment and support systems

11. Specification of interfaces and the integration of information systems 12. Task and workplace design 13. Management, scheduling, and tracking of projects.

272

Part B

Automation Theory and Scientific Foundations

Virtual factories are based on sophisticated computer simulations for a distributed, integrated, computerbased composite model of a total manufacturing environment, incorporating all the tasks and resources necessary to accomplish the operation of designing, producing, and delivering a product. With virtual factories capable of accurately simulating factory operations over time scales of months, managers would be able to explore many potential production configurations and schedules or different control and organizational schemes at significant savings of cost and time in order to determine how best to improve performance. A virtual factory model involves a comprehensive model or structure for integrating a set of heterogeneous and hierarchical submodels at various levels of abstraction. An ultimate goal would be the creation of a demonstration platform that would compare the results of real factory operations with the results of simulated factory operations. This demonstration platform would use a computer-based model of an existing factory and would compare its performance with that of a similarly equipped factory running the same product line, but using, for example, a new layout of equipment, a better scheduling system, a paperless product and process description, or fewer or more human operators. The entire factory would have to be represented in sufficient detail so that any model user, from factory manager to equipment operator, would be able to extract useful results. To accomplish this, two broad areas need to be addressed: 1. Hardware and software technology to handle sophisticated graphics and data-oriented models in a useful and timely manner 2. Representation of manufacturing expertise in models in such a way that the results of model operation satisfy manufacturing experts’ needs for accurate responses.

Part B 15.2

Some of the research considerations related to virtual modeling technology are as follows:



User interfaces. Since factory personnel in the 21st century will be interacting with many applications, it will not suffice for each application to have its own set of interfaces, no matter how good any individual one is. Much thought has to be given both to the nature of the specific interfaces and to the integration of interfaces in a system designed so as not to confuse the user. Interface tools that allow the user to filter and abstract large volumes of data will be particularly important.





Model consistency. Models will be used to perform a variety of geographically dispersed functions over a short time scale during which the model information must be globally accurate. In addition, pieces of the model may themselves be widely distributed. As a result, a method must be devised to ensure model consistency and concurrency, perhaps for extended time periods. The accuracy of models used concurrently for different purposes is a key determinant of the benefits of using such models. Testing and validation of model concepts. Because a major use of models is to make predictions about matters that are not intuitively obvious to decisionmakers, testing and validation of models and their use are very difficult. For factory operations and design alike, there are many potential right answers to important questions, and none of these is provably correct (e.g., what is the right schedule?). As a result, models have to be validated by being tested against understandable conditions, and in many cases, common sense must be used to judge if a model is correct. Because models must be tested under stochastic factory conditions, which are hard to duplicate or emulate, outside the factory environment, an important area for research involves developing tools for use in both testing and validating model operation and behavior. Tools for automating sensitivity analysis in the testing of simulation models would help to overcome model validation problems inherent in a stochastic environment. For more details, please refer to [15.16].

Figure 15.2 shows a schematic user interface for remote facility management using virtual manufacturing concepts. The foreground represents a factory floor layout that identifies important regions of the plant. The walls separating different regions have been removed to obtain a complete bird’s eye view of the plant. Transmitted streaming motion from video capture of important regions can be provided as a background. For this purpose one needs to identify and specify the objects for motion streaming. A combination of video and VR is a powerful tool in this context. The advantage of streaming motion coordinates to animate the factory floor would be to give the floor manager an overview of the floor. A suitable set of symbols can be designed to designate the status modes of various regions, namely running state, breakdown state, shutdown state, waiting state (e.g., for parts, worker), scheduled maintenance state, etc. An avataric representation of the floor manager can

Virtual Reality and Automation

15.3 Medical Applications

273

Scrolling text window ... Floor manager guiding operations remotely Warehouse monitoring supply chain optimization

Management of other plants

Main background video, audio and 3-D scene with animated overlays

Assembly training of a new hire

Product testing area, communication with product designers

Fig. 15.2 Conceptual demonstration of remote facility management user interface showing many telecollaborative activ-

ities

cept for another important area of the plant. A similar feature can be designed for warehouse monitoring and supply chain management. A window for management of other plants can take one to different plants, located anywhere in the world. Finally a scrolling text window can pop up any important or emergency messages such as suddenly scheduled meetings, changes of deadline, sudden shifts in strategy, etc.

15.3 Medical Applications Medical simulations using virtual automation have been a recent area of growth. Some of our activities in this area are highlighted in this Section. Using hap-

tics and VR in high-fidelity open-surgical simulation, certain principles of design and operational prototyping can be highlighted. A philosophy of designing

Part B 15.3

be used to guide operations. A window for the product testing area and communication with product designers can be used to efficiently streamline the product design process. A video camera device can be integrated to stream motion coordinates after the objects in the product design area and their layouts have been specified. Another window showing the assembly training of a new employee can illustrate the use of this con-

274

Part B

Automation Theory and Scientific Foundations

device prototypes by carefully analyzing contact based on open surgical simulation requirements and mapping them with open-source software and off-the-shelf hardware components is presented as an example. Applications in neurosurgery (ventriculostomy), ophthalmology (capsulorrhexis), and dentistry (periodontics) are illustrated. First, a prototype device known as ImmersiveTouch is described, followed by three applications. ImmersiveTouch is a patent-pending [15.17] nextgeneration augmented VR technology invented by us, and is the first system that integrates a haptic device with a head and hand tracking system, and a high-resolution high-pixel-density stereoscopic display (Fig. 15.3). Its ergonomic design provides a comfortable working volume in the space of a standard desktop. The haptic device is collocated with the 3-D

graphics, giving the user a more realistic and natural means to manipulate and modify 3-D data in real time. The high-performance, multisensorial computer interface allows relatively easy development of VR simulation and training applications that appeal to many stimuli: audio, visual, tactile, and kinesthetic. ImmersiveTouch represents an integrated hardware and software solution. The hardware integrates 3-D stereo visualization, force feedback, head and hand tracking, and 3-D audio. The software provides a unified applications programming interface (API) to handle volume processing, graphics rendering, haptics rendering, 3-D audio feedback, and interactive menus and buttons. ImmersiveTouch is an evolutionary virtualreality system resulting from the integration of a series of hardware solutions to 3-D display issues and the development of a unique VR software platform (API) drawing heavily on open-source software and databases.

15.3.1 Neurosurgical Virtual Automation

Dimensions: 42"W×79"H ×29"D (deployed): 45"H×33"D (packed)

Part B 15.3 Fig. 15.3 Immersive touch and its neurosurgical applica-

tion

Neurosurgical procedures, particularly cranial applications, lend themselves to VR simulation. The working space around the cranium is limited. Anatomical relationships within the skull are generally fixed and respiratory or somatic movements do not significantly impair imaging or rendering. The same issues that make cranial procedures so suitable for intraoperative navigation also apply to virtual operative simulation. The complexity of so many cerebral structures also allows little room for error, making the need for skill-set acquisition prior to the procedure that much more significant. The emergence of realistic neurosurgical simulators has been predicted since the mid-1990s when the explosion in computer processing speed seemed to presage future developments [15.18]. VR techniques have been attempted to simulate several types of spinal procedures such as lumbar puncture, in addition to craniotomy-type procedures. Ventriculostomy is a high-frequency surgical intervention commonly employed for treatment of head injury and stroke. This extensively studied procedure is a good example problem for augmented VR simulators. We investigated the ability of neurosurgery residents at different levels of training to cannulate the ventricle, using computer tomography data on an ImmersiveTouch workstation. After a small incision is made and a bur hole is drilled on a strategically chosen spot in the patient’s skull, a ventriculostomy catheter is inserted,

Virtual Reality and Automation

15.3 Medical Applications

275

aiming for the ventricles. A distinct popping or puncturing sensation is felt as the catheter enters the frontal horn of the lateral ventricle [15.19]. The performance evaluation from the ImmersiveTouch simulator based on a large sample of neurosurgeons was comparable to clinical study findings, in which the average distance and standard deviation of the catheter tip to the foramen of Monroe in both cases were close [15.18].

15.3.2 Ophthalmic Virtual Automation Cataract surgery is the most common surgical procedure performed by ophthalmic residents-in-training and general ophthalmologists in the USA. With over 1.5 million procedures performed every year, it represents the largest single surgical care provided under Medicare in the USA. Cataract surgery involves the removal of the opacified crystalline lens by phacoemulsification and replacement of the lens with an intraocular lens implant. Over the years cataract surgery has become a highly technical procedure requiring a number of very fine skills, under an operating microscope. It involves the use and understanding of sophisticated technology, as well as fine hand–eye coordination movements. The training of this highly skilled procedure to residents has become a challenging task for teaching physicians because of its highly technical nature. Current ways of training residents include:





In summary, all of the current paradigms available are suboptimal from the perspective of surgical education as well as patient care, and developments in virtualreality simulation will allow the surgeon to gain realistic operative experience before their first cataract surgery. The ophthalmic development of virtual-reality procedures has been minimal. The EYESI ophthalmic surgical simulator (VRmagic GmbH, Mannheim, Germany) simulates intraocular surgery but they have a heavy reliance on physical prototyping that is hard to reconfigure. The various steps in cataract surgery can be broken down into many steps, including incision, performing capsulorrhexis, phacoemulsification of the cataract and removal, removal of cortex, and placement of the intraocular lens. Many of these steps are being simulated by us using virtual reality and haptics (Fig. 15.4).

15.3.3 Dental Virtual Automation Dentistry provides a rich collection of clinical procedures which require dexterity in tactile feedback and thus provide a number of very interesting simulation problems. The rationale for using dental simulators is that, by using them, preclinical dental students learn procedure skills faster and more efficiently. The majority of current dental simulators use realistic manikins along with dentiform models (Kavo, Adec or Nevin) incorporated into a simulated dental operatory [15.19]. A number of dental schools also use the DentSim simulator (DenX Ltd., Jerusalem, Israel).

Part B 15.3



Practising surgical procedures in a wet lab situation, where surgery is practised on animal eyes. Though this procedure has some similarity to human surgery, there are many problems associated with this technique, which include: (a) Porcine and bovine models that do not provide a realistic sense of tissue tension, nor do they mimic the accurate dimensions of the human eye; (b) Any assessment of skills acquired during such animal laboratory procedures with an observing physician is often subjective and repetition is difficult. Another technique that has been used extracts eyes from a postmortem human eye bank to perform phacoemulsification. These tissues are very difficult to obtain, and are fairly expensive to procure. A common technique, the apprenticeship technique, is a see one, do one, teach one approach. However, apprenticeship is not the best approach, especially for a highly skilled procedure such as phacoemulsification.

Fig. 15.4 Capsulorrhexis simulation in cataract surgery using virtual reality and haptics

276

Part B

Automation Theory and Scientific Foundations

Periodontal probe

Periodontal probe template

Fig. 15.5 Periodontal simulations

Part B 15.4

This is a more sophisticated manikin simulator incorporating computer-aided audiovisual simulations having a VR component. It uses a tracking system to trace the movements of a handpiece and scores the accuracy of a student’s cavity preparation in a manikin’s synthetic tooth. The addition of haptics to dental simulators is extremely important because sensory motor skills must be developed by dental and hygiene trainees in order to be successful in their profession. This is especially true in the ability to perform a variety of periodontal procedures (i. e., scaling and root planning, periodontal probing, and the use of a periodontal explorer). These tactile skills are currently acquired by having trainees observe instructor demonstrations of a specific procedure and then having them practise on manikins or animal heads. After sufficient training and practice on models, the students proceed to patients. This time-consuming teaching process requires excessive one-on-one instructor–student interaction without students actually feeling what the instructor feels or being physically guided by the instructor while performing a procedure on a manikin or a patient. With the current critical shortage of dental faculty, the training problem has been compounded. Dental simulators that have been developed include those from Novint Technologies (Novint, Albuquerque,

NM) and Simulife Systems (Simulife Systems, Paris, France). Our work has led to PerioSim at the University of Illinois at Chicago, College of Dentistry [15.19, 20]. The PerioSim prototype system for periodontal probing is designed to provide a haptic force feedback and guidance system for interaction with an on-screen display. The display is a 3-D VR model of a periodontal probe and a human upper and lower dental arch, which includes teeth, their supportive structures, and other oral tissues. The fidelity of the haptic-based system is currently sufficiently sophisticated to differentiate between hard and soft, and normal and pathological tissues. While the development is taking place the other goals are to determine how realistic the PerioSim simulator is and the time required for learning how to use it. The steps followed by a trainee are as follows: Using a control panel, one of three periodontal instruments can be selected for on-screen use: a periodontal probe, a periodontal explorer or a Gracy scaler. The monitor graphic display is used in conjunction with a haptic device (PHANToM from SensAble Corp., Woburn, MA) for force feedback and perceiving the textural feel of the gingival crevice/pocket area. The 3-D VR periodontal probe can be used to locate and measure crevice or pocket depths around the gingival margins of the teeth. These sites can be identified via the haptic feedback obtained from the PHANToM stylus with or without the actual instrument attached to the stylus. A trainee will be able to differentiate the textural feel of pocket areas and locate regions of subgingival calculus. Since the root surface is covered by gingiva, the trainee cannot see the area being probed or the underlying calculus and must depend totally on haptic feedback to identify these areas. This situation corresponds to conditions encountered clinically. The control panel, which can be made to appear or disappear as needed, has a variety of controls, including adjusting the haptic feel and the degree of transparency of the gingiva, roots, crowns, bone or calculus. The control panel also permits the instructor to insert a variety of templates to guide student instrument positioning in a 3-D display environment (Fig. 15.5).

15.4 Conclusions and Emerging Trends Virtual-reality and automation technologies are aimed at reducing the time spent in providing service and desired training. At present almost all major companies operate globally. Management, control, and service of overseas facilities and products deployed overseas is

a major challenge. Both processes and factories need to be simulated, although the current thrust seems to be on individual process simulation. One of the important emerging themes is constant evolution of enabling technologies.

Virtual Reality and Automation

A review of ongoing evolution of enabling technologies is available in Burdea and Coiffet’s book on VR technologies [15.21]. The two main areas in this regard are hardware and software technologies (e.g., [15.22]). The hardware can be broken down into input and output devices and computing hardware architectures. Input devices consist of various trackers; mechanical, electromagnetic, ultrasonic, optical, and hybrid inertial trackers are covered. Tracking is used to navigate and manipulate in a VR environment. There are many more three-dimensional navigation devices that are available, including hand and finger movement tracking. Output devices address graphics displays, sound, and haptic feedback. The graphics displays include head-mounted displays, binocular-type hand-supported displays, floorsupported displays, desktop displays, and large displays based on large monitors and projectors supporting multiple participants simultaneously. The haptics tac-

References

277

tile feedback covers tactile mouse, touch-based glove, temperature-feedback glove, force-feedback joysticks, and haptic robotic arms. Hardware architectures include the two major rendering pipelines: graphics and haptics. Personal computer (PC) graphics accelerator cards such as those from nVidia are currently in vogue. Various distributed VR architectures addressing issues such as graphics and haptics pipelines synchronization, PC clusters for tiled visual displays, and multiuser shared virtual environments are equally important. Software challenges include those in modeling and VR programming. Modeling addresses geometric modeling, kinematics modeling, physical modeling, and behavior modeling. VR programming will continue to be aided by evolution of more powerful toolkits. The enabling technologies outlined above can provide answers to many of these challenges in virtual reality and automation.

References 15.1

15.2

15.3

15.4 15.5

15.6

15.8

15.9

15.10 15.11

15.12

15.13

15.14

15.15 15.16 15.17

15.18

V.S. Pantelidis: Virtual reality and engineering education, Comput. Appl. Eng. Educ. 5(1), 3–12 (1997) Y.S. Shin: Virtual reality simulations in Web-based science education, Comput. Appl. Eng. Educ. 10(1), 18–25 (2002) T.M. Rhyne: Going virtual with geographic information and scientific visualization, Comput. Geosci. 23(4), 489–491 (1997) O.N. Kwon, S.H. Kim, Y. Kim: Enhancing spatial visualization through virtual reality (VR) on the Web: software design and impact analysis, J. Comput. Math. Sci. Teach. 21(1), 17–31 (2002) P. Queau: Televirtuality: the merging of telecommunications and virtual reality, Comput. Graph. 17(6), 691–693 (1993) M. Torabi: Mobile virtual reality services, Bell Labs Tech. J. 7(2), 185–194 (2002) P. Banerjee, D. Zetu: Virtual Manufacturing (Wiley, New York 2001) P. Banerjee, C. Luciano, L. Florea, G. Dawe: Compact haptic and augmented virtual reality system, US Patent Appl. No. 11/338434 (2006), (previous version: C. Luciano, P. Banerjee, L. Florea, G. Dawe: Design of the ImmersiveTouch: A HighPerformance Haptic Augmented Virtual Reality System, CD ROM Proc. Human-Comput. Interact. (HCI) Int. Conf. (Las Vegas 2005)) P.P. Banerjee, C. Luciano, G.M. Lemole Jr, F.T. Charbel, M.Y. Oh: Accuracy of ventriculostomy catheter placement on computer tomography data using head and hand tracked high resolution virtual reality, J. Neurosurg. 107(3), 515–521 (2007)

Part B 15

15.7

B. Delaney: The Market for Visual Simulation/Virtual Reality Systems, 6th edn. (Cyberedge Information Services, Mountain View 2004), www.cyber-edge.com H.Y. Kan, V.G. Duffy, C.-J. Su: An Internet virtual reality collaborative environment for effective product design, Comput. Ind. 45(2), 197–213 (2001) M. Pouliquen, A. Bernard, J. Marsot, L. Chodorge: Virtual hands and virtual reality multimodal platform to design safer industrial systems, Comput. Ind. 58(1), 46–56 (2007) B. Stone, G. Pegman: Robots and virtual reality in the nuclear industry, Serv. Robot 1(2), 24–27 (1995) P.R. Chakraborty, C.J. Bise: Virtual-reality-based model for task-training of equipment operators in the mining industry, Miner. Res. Eng. 9(4), 437–449 (2000) S. Ottosson: Virtual reality in the product development process, J. Eng. Des. 13(2), 159–172 (2002) Y. Jun, J. Liu, R. Ning, Y. Zhang: Assembly process modeling for virtual assembly process planning, Int. J. Comput. Integr. Manuf. 18(6), 442–451 (2005) D. Lee, M. Woo, D. Vredevoe, J. Kimmick, W.J. Karplus, D.J. Valentino: Ophthalmoscopic examination training using virtual reality, Virtual Real. 4(3), 184–191 (1999) C.H. Park, G. Jang, Y.H. Chai: Development of a virtual reality training system for live-line workers, Int. J. Human-Comput. Interact. 20(3), 285–303 (2006)

278

Part B

Automation Theory and Scientific Foundations

15.19

15.20

C. Luciano: Haptics-based virtual reality Periodontal Training Simulator. Ph.D. Thesis (University of Illinois, Chicago 2006) A.D. Steinberg, P. Banerjee, J. Drummond, M. Zefran: Progress in the development of a haptic/virtual reality simulation program for scaling and root planing, J. Dent. Educ. 67(2), 161 (2003)

15.21 15.22

G. Burdea, P. Coiffet: Virtual Reality Technology, 2nd edn. (Wiley Interscience, New York 2003) C. Luciano, P. Banerjee, G.M. Lemole, J. Charbel, F. Charbel: Second generation haptic ventriculostomy simulator using the immersivetouch system, Proc. 14th Med. Meets Virtual Real. (2006) pp. 343–348

Part B 15

279

Automation o

16. Automation of Mobility and Navigation

Anibal Ollero, Ángel R. Castaño

This chapter deals with general concepts on the automation of mobility and autonomous navigation. The emphasis is on the control and navigation of autonomous vehicles. Thus, after an introduction with historical background and basic concepts, the chapter briefly reviews general concepts on vehicle motion control by using models of the vehicle, as well as other approaches based on the information provided by humans. Autonomous navigation is also studied, involving not only motion planning and trajectory generation but also interaction with the environment to provide reactivity and adaptation in the autonomous navigation. These interactions are represented by means of nested loops closed at different frequencies with different bandwidth requirements. The human interactions at different levels are also analyzed, taking into account transmission of control commands and feedback of sensory information. Finally, the

16.1 Historical Background ........................... 279 16.2 Basic Concepts...................................... 280 16.3 Vehicle Motion Control .......................... 283 16.4 Navigation Control and Interaction with the Environment........................... 285 16.5 Human Interaction ............................... 288 16.6 Multiple Mobile Systems ........................ 290 16.7 Conclusions .......................................... 292 References .................................................. 292 chapter studies multiple mobile systems by analyzing coordinated navigation of multiple autonomous vehicles and cooperation paradigms for autonomous mission execution.

16.1 Historical Background de Vauc¸anson, etc.). They had complex mechanisms and produced sounds and music synchronized with the motions. Many interesting automata were made in the 19th century. This was the time when production techniques decreased in cost. The robots (R.U.R. (Rossum’s Universal Robots), 1921) gave their name to many mechanical men built in the 1920 and 1930s to be shown in films or fairs or to demonstrate remote control techniques, such as the Westinghouse robots in the 1930s. By the end of the 19th and beginning of the 20th century, mass industrial production required the development of automation. Mobility automation also played an important role. Then, for example, primitive conveyor belts were used in the 19th century and the technology was introduced into assembly lines by 1913 in Ford Motor Company’s factory. Automation

Part B 16

The ambition to emulate the motion of living things has been sustained throughout history, from old automata to humanoid robots today. The Ancient Greeks and also other cultures from around the world created many automata and managed to make animated statues of mechanical people, animals, and objects (e.g., the moving stone statues made by Daedalus in 520 BC, the flying magpie created by King-shu in 500 BC, the mechanical pigeon made by Archytas of Tarentum in 400 BC, the singing blackbird and other figures that drank and moved created by Ctesibius in 280 BC, etc.). In the 14th century, remarkable automata were produced in Europe (by Johannes Müller and Leonardo da Vinci). The descriptions of mechanical automata in the 16th, 17th, and 18th centuries are also well known (Gianello Della Tour, Salomon de Caus, Christiaan Huygens, Jacques

280

Part B

Automation Theory and Scientific Foundations

of mobility in general became a key issue in factory automation. The first programmable paint-spraying mechanism was designed in 1938. Industrial automation met robotics with the design in 1954 of the first programmable robot by Devol, who coined the term universal automation. General Motors applied the first industrial robot on a production line in 1962. From 1966 through 1972, the Artificial Intelligence Center at SRI International (then the Stanford Research Institute) conducted research on the mobile robot system Shakey. Control and automation in the transportation of goods and people has also been the target for many centuries. Rudimentary elevators, operated by animal and human power or by water-driven mechanisms, were in use during the Middle Ages and can be traced back to the third century BC. The elevator as we know it today was first developed during the 19th century and relied on steam or hydraulic plungers for lifting capa-

bility under manual control. Thus, the valves governing the water flow were manipulated by passengers using ropes running through the cab, a system later enhanced with the incorporation of lever controls and pilot valves to regulate cab speed. Control has also been a key concept in the development of vehicles. Watt’s steam engines controlled by ball governor in 1787 played a central role in the railway developed by 1804 in Great Britain. Control also played a decisive role in the manned airplane flights of the Wright brothers in 1903. Automatic feedback control for flight guidance was possible by 1903 thanks to the gyroscope. Spinning gyroscopes were first mounted in torpedoes. The gyroscope resisted any change in direction by controlling the rudder, automatically correcting any deviation from a straight course. By 1910 gyroscopic stabilizing devices had been mounted in ships, and even in an airplane.

16.2 Basic Concepts Automation of mobility can be examined by considering the degree of flexibility. Railways are mechanically constrained by the rails. Thus, railway automation has been accomplished since the 1980s in France, where automated subway lines have been in operation since the 1990s for mass transit. The same happens with elevators and industrial automated warehouse systems based on overhead trolleys, as shown in Fig. 16.1 [16.1]. This is an effective solution in many warehouse systems and industry transportation in general. In other cases the path of motion is also physically predeter-

Part B 16.2

Fig. 16.1 Car seat covers industrial automated warehouse system based on overhead trolleys [16.1]

mined by different type of guides, such as inductive guide wires embedded in the floor, which are used in industrial automation to guide so-called automated guided vehicles (AGVs). Other AGVs use guideways painted in the floor that require maintenance to be detectable by AGV optical sensors. Installation of new AGVs or changing the pathways is time consuming and expensive in these systems. A more flexible solution consists of using beacons or marker arrangements installed in the factory. These systems are more flexible but require line-of-sight communication between devices to be mounted on the vehicles and a certain number of devices in the industrial environment. Increasing flexibility in industrial transportation has been an objective for many years. The application of industrial autonomous vehicles (Fig. 16.2) and mobile robots with onboard environment sensing for autonomous navigation provides higher flexibility but poses reliability problems. In general the trade-off between flexibility and reliability continues to be a critical aspect in many factory implementations. Flexible automation of transportation in outdoor environments poses significant challenges because of the difficulties in their conditioning and dynamic characteristics. Automation of cars for transportation has also been a research and development subject since the 1990s. The autonomous navigation of cars and vans has been a testbed for perception, planning, and

Automation of Mobility and Navigation

control techniques for almost 20 years. Thus, for example, autonomous visual-based car navigation was demonstrated in Germany by the mid 1980s, and the NavLab project was running in Carnegie Mellon University by the end of the 1980s [16.2] (Fig. 16.3). Many demonstrations in different environments have also been presented. However, the daily operation of these systems is still constrained and only in operation at low speed and in short trips in restricted areas. In [16.3] the state of the technology and future directions of these so-called cybercars are analyzed. The use of dedicated infrastructures and the gradual transition from driver assistance to full automation are highlighted as realistic paths toward fully autonomous cars. Some applications require equipped infrastructures, such as guideways for automatic vans with magnetic tracks integrated in the road pavement. The majority of automated highways systems need an equipped road with an adapted architecture, i. e., the PATH (partners for advanced transit and highways) project [16.4]. So-called unmanned vehicles serve as means of carrying or transporting something, but explicitly do not carry a human being. Thus, unmanned ground vehicles in the broader sense includes any machine that moves across the surface of the ground, such as legged machines and machines with onboard tools and robot manipulators (Fig. 16.4). In this chapter, we will concentrate on the control and navigation aspects of these vehicles. Unmanned aerial vehicles (UAVs) are self-propelled air vehicles that are either remotely controlled by a human operator (remotely piloted vehicles (RPV)) or are capable of conducting autonomous operations. During recent decades significant efforts have been devoted to

281

Fig. 16.2 Packmobile AGV, Egemin Automation

increase the flight endurance, flight range, and payload of UAVs. Today, UAVs with several thousands of kilometers of flight range, more than 24 h of flight endurance, and more than 1000 kg of payload (Fig. 16.5) are in operation. Furthermore, autonomous airships, helicopters of different size (Fig. 16.6), and other vertical take-off and landing UAVs have also been developed. UAV technology has also evolved to increase the onboard computation and communication capabilities.

Fig. 16.4 RAM 2 Mobile robot with manipulator at the University of Málaga

Part B 16.2

Fig. 16.3 Navlab I at Carnegie Mellon University

16.2 Basic Concepts

282

Part B

Automation Theory and Scientific Foundations

Fig. 16.6 Helicopter UAV at the University of Seville Fig. 16.5 Global Hawk, Northrop Grumman Corporation

The development of new navigation sensors, actuators, embedded control, and communication systems and the trend towards miniaturization point to miniand micro-UAVs with increasing capabilities. In [16.5] many UAVs are presented and compared. Autonomous underwater vehicles (AUV) and underwater robotics is also a very active field of research and development in which many new developments have been presented in recent years. These vehicles are natural extensions of the well-known remotely operated underwater vehicles (ROV) that are controlled and powered from the surface by an operator/pilot

Old vehicles

Railways automobiles airplanes Motion control

via an umbilical cable and have been used in many applications. Finally, it should be noted that in many cases mobility is strongly constrained by the particular characteristics of the environment. Thus, for example, the internal inspection [16.6] and eventual repairing of pipes impose constraints on the design of the robots that should navigate inside the pipe, carrying cameras and other sensors and devices. However, these applications will be not considered in this chapter. The autonomy of all of the above-mentioned vehicles is based on automatic motion control. The next section will summarize general concepts of vehicle

Ancient automata

Controlled machines Industrial robots

Part B 16.2

Environment perception Communication

Intelligent autonomous vehicles

Motion control Flexible manufacturing

Unmanned vehicles Planning

Primitive mechanical machines

Service and field robots

Teams swarming

Fig. 16.7 Evolution of automation in mobility and navigation

Planning Environment perception Distributed automation

Communication

Automation of Mobility and Navigation

motion control. Autonomous operation also requires environment perception. General concepts on environment perception and reactivity will be examined in the fourth section of this chapter. Another approach related to mobility enhancement is human augmentation, the objective of which is to augment human capabilities by means of motion-controlled devices interfacing with the human. Two different approaches can be followed. The first is to provide teleoperation capabilities to control the motion of remote vehicles or devices for transportation. The second approach is to augment the person’s physical abilities by means of wearable devices or exoskeletons. The idea is not new, but recent progress in sensing human body signals and embedded control systems makes it possible to build exoskeletons to carry heavy loads and march faster and longer.

16.3 Vehicle Motion Control

283

The applications are numerous and include handicapped people, military, and others. Section 16.5 will be devoted to the human–machine interaction for mobility and particularly for vehicle guidance. Finally, in recent years, a general emerging trend is the development of fleets or teams of autonomous vehicles. This trend involves ground, aerial, and aquatic fleets of vehicles. Section 16.6 is devoted to the coordination of fleets of vehicles. In all the following sections the background and significance of each topic, as well as the existing methods and trends are described. Figure 16.7 shows the evolution of vehicles, robotics, and industrial automation related to the automation of mobility and navigation, illustrating the impact of motion control, motion planning, environment perception, and communication technology.

16.3 Vehicle Motion Control The lowest-level vehicle control typically consists of the vehicle motion axis in which conventional linear proportional–integral-derivative (PID) motion controllers are usually applied. Other techniques such as fuzzy logic [16.7] are less commonly used. The reference signals of these servo controllers are provided by navigation control loops in which the objective is that the vehicle follows previously defined trajectories. In these control loops navigation sensors such as gyroscopes, accelerometers, compass, and global positioning systems (GPS) are used. The objectives are perturbation rejection and improving of dynamic response. The kinematics and dynamic behavior of the vehicle play an important role in these control loops. The usual formulation is given by the equation x˙ = f (x, u) ,

(16.1)

Part B 16.3

where x ∈ is the vehicle state vector, usually consisting of the position, the Euler angles, the linear velocities, and the angular velocities, and u ∈ Rm are the control variables. In general the above equation involves the rigid-body kinematics and dynamics, the force and moment generation, and the actuator dynamics. Kinematics is usually considered by means of nonlinear transformations between the reference systems associated to the vehicle body and the global reference system. The nonholonomic constraints, φ(x, ˙ x) = 0, where φ is a nonintegral function, restrict the vehicle’s admissible directions of motion and make the control problem more difficult. Thus, it has been shown [16.8] that it is not possible to stabilize a nonholonomic system to Rn

a given set-point by a continuous and time-invariant feedback control. Moreover, in many cases, there are underactuated control systems in which the number of control variables m is lower than the number of degrees of freedom. The dynamic model involves the relation between forces and torques, generated by the propulsion system and the environment, and the accelerations of the vehicle. These relations can be described by means of the Newton–Euler equations. Furthermore, in aerial vehicles, aerodynamics plays a significant role and should be considered. In ground vehicles the tires–terrain interactions create significant complexity. Several models have been developed to consider these interactions [16.9, 10]. The complexity of the complete dynamic models means that there are a lot of vehicle, road, and tire parameters to estimate and tune. Besides, these models change with tire pressure, road surface and conditions, vehicle weight, etc. so they are more commonly used for controller analysis and simulation, braking controller research or tire failure detection [16.11, 12]. In many formulations only simplified dynamic models are considered and then only the position and angles are included in the state vector of the above equation. Furthermore, if the vehicles move in a plane and the interactions with the terrain are neglected, then only the position in the plane and the orientation angle are considered as state variables. Sometimes a simple actuator dynamic model [16.13] is added to these simplified models. Control theory has been extensively applied to vehicle control. Many linear and nonlinear control

284

Part B

Automation Theory and Scientific Foundations

systems have been proposed to maintain stability and tracking paths or time trajectories [16.14]. The limitation in the stabilization of nonholonomic system has been avoided by means of time-varying state feedback and discontinuous feedback. The trajectory tracking can be formulated by defining the reference model x˙r = f (xr , u r ) .

(16.2)

Then, if the model of the vehicle is (16.1), the problem is to find a control law u = ϕ(x, xr , u, u r ) such that lim |x(t) − xr (t)| = 0 .

t→∞

(16.3)

Part B 16.3

The path tracking problem consists of the tracking of a defined path, taking into account the vehicle motion constraints defined by the equation above. Different trajectory and path tracking methods for ground vehicles have been formulated by implementing linear and nonlinear control laws, as shown and summarized in [16.14, 15]. Many practical implementations of path tracking are based on geometric methods [16.16–18]; for example, the simple pure-pursuit method is a linear proportional control law of the error of the vehicle position with respect to a goal point. The gain is given by the distance to this goal point defined in the path. The appropriate selection of this gain is a critical issue. Then, gain self-scheduling approaches can be applied. The stability of the path tracking control loop is analyzed in [16.19]. Even though these geometric methods are not optimal, they are usually easy to tune and have a good trade-off between performance and simplicity in real-time implementations as shown in the Defense Advanced Research Projects Agency (DARPA) Grand Challenge 2005 competition [16.20], where most of the teams used simple geometric approaches. Also traditional automatic control techniques such as generalized predictive control (GPC), robust control [16.21] or LQG/LQR (linear quadratic Gaussian/linear quadratic regulator) [16.22] have been successfully applied. These methods require a linear vehicle model so a simplified linearized version of more complex models, such as the previously commented ones, are typically employed. The steering of ground articulated vehicles and tractor–trailer systems (see, for example, [16.23, 24]) also presents interesting control problems. Tractor– trailer systems (Fig. 16.8) have as an additional state variable the angle between the tractor and the trailer. They are usually underactuated and the saturation in the actuators can play a significant role, particularly when maneuvering backwards because the vehicle tends to

Fig. 16.8 Romeo 4R with trailer in a parking manoeuvre

reach a so-called jack-knife when the angle between the tractor and the trailer is greater than a certain value due to perturbations coming from the vehicle–terrain interaction. In aerial and underwater vehicles the navigation problem is typically formulated in three-dimensional (3-D) space and different control levels involving the dynamic behavior of the vehicle can be identified. The objective of the lower levels is to keep the vehicle in a given attitude by maintaining the stability. The linear and angular velocities in the vehicle body axis, and orientation angles are usually considered as state variables. The higher-level motion control loop consists of the path or trajectory tracking (which includes precision timing). In this case, the course angle error and the cross-track distance can be considered as error signals in the guidance loop. In [16.25] control techniques for autonomous aerial vehicles are reviewed. The navigation of these UAVs is based on GPS positioning but visual-based position estimation have also been applied [16.26], particularly in case of GPS signal loss (see the next section). In [16.27] model-based control techniques for autonomous helicopters are reviewed and different experiments involving dynamic nonlinear behaviors are presented. The position and orientation of an helicopter is usually controlled by means of five control inputs: the main rotor collective pitch, which has a direct effect on the helicopter height; the longitudinal cyclic, which modifies the helicopter pitch angle and the longitudinal translation; the lateral cyclic, which affects the helicopter roll angle and the lateral translation; the tail rotor, which controls the heading of the helicopter and compensates the antitorque generated by the main rotor; and the throttle control. It is a mul-

Automation of Mobility and Navigation

tivariable nonlinear system with strong coupling in some control loops. Autonomous helicopter control has been a classical control benchmark and many modelbased control techniques have been applied, including multi-PID controllers, robust control, predictive control, and nonlinear control. The significance of each of these methods for practical implementations is still an open question. Safe landing on mobile platforms and transportation of loads by means of several UAVs overcoming the payload limitation of individual UAVs are open challenges. Very recently the joint transportation of a load by several helicopters has been demonstrated in the AWARE (acronym of the project platform for autonomous self-deploying and operation of wireless sensor-actuator networks cooperating with aerial objects) project [16.28]. There are also approaches in which learning from skilled human pilots or teleoperators plays the most significant role. In these approaches, fuzzy logic [16.29], neural networks [16.30], neurofuzzy techniques, and other artificial intelligence (AI) techniques are applied. Control theory and AI techniques have also been combined. Thus, for example, Takagi–Sugeno fuzzy systems have been applied to learn from human drivers by generating closed-loop control systems that can be analyzed and tuned by means of stability theory. These methods have been applied to drive autonomously trucks (Fig. 16.9) and heavy machines at high speed [16.31] by estimating the position of the vehicle by means of the fusion of GPS and deadreckoning with simple vehicle models. The system has

16.4 Navigation Control and Interaction with the Environment

285

Fig. 16.9 Autonomous 16 t Scania truck

been applied to test the tires of vehicles navigating autonomously in testing tracks. The general challenges are the analysis and design of reliable control techniques that could be implemented in real time at high frequency in the onboard processors, providing reactivity to perturbations while maintaining acceptable performance. This is particularly challenging when considering small or very small vehicles, such as micro-UAVs with important limitations in onboard processing. The application of micro-electromechanical systems (MEMS) to implement these control systems is an emerging technology trend. The practical application in real time of fault-detection techniques and fault-tolerant control systems to improve reliability is another emerging trend.

16.4 Navigation Control and Interaction with the Environment robot control architectures, but will merely describe the main interactions. Environment perception is based on the use of sensors such as cameras, radars, lasers, ultrasonic, and other range sensors. Thus, cameras and radars have been applied extensively for the guidance of autonomous cars. The processing of the images leads to the computation of relevant environment features that can be used to guide the vehicle by means of visual servoing techniques (image-based visual servoing). Alternatively, the features can be used to compute the position/orientation of the vehicle and then apply position-based visual servoing. The stability of the visual control loop in the guidance of vehicles has been studied by several authors (see, for example, [16.32]). The main drawbacks of these methods are robustness to

Part B 16.4

The consideration of interactions with the environment is also an important problem in mobility and navigation automation. These interactions can also be represented by means of loops closed at different frequencies, as shown in Fig. 16.10. The vehicle control described in the above paragraphs is also embedded in this figure. Reactivity dominates the higher-frequency loops, which also require higher bandwidth in communication channels (inner loops, towards the right in the figure), while deliberation is the main component of the lowerfrequency loops, which typically have lower bandwidth requirements in communication channels (outer loops, towards the left in the figure). The inner loops can be considered as the lower lever in the control hierarchy, while the outer loops are the higher levels in this hierarchy. This chapter will not provide details on mobile

286

Part B

Automation Theory and Scientific Foundations

Increasing bandwidth Increasing frequency Mission O p e r a t o r

Complexity Task generation

Path generation

Simplicity, analysis Trajectory generation

Deliberation Cognitive models

Geometric models

Vehicle control

Reactivity Distance position

V e h i c l e

Features extraction

Fig. 16.10 Vehicle control and decision loops. The width of the arrows indicates the frequency of the loops and re-

quired bandwidth in communication. The inner loops (right in the figure) correspond to higher-frequency loops with higher bandwidth requirements, while the outer loops (left in the figure) correspond to lower-frequency loops with lower bandwidth requirements

illumination changes and real-time constraints. Laserbased environment perception techniques are also used in navigation [16.33], as shown by the 2005 DARPA Grand Challenge (DGC) [16.34]. However, even laser measurements have some drawbacks in outdoor environments; for example, dust clouds could be treated as transient obstacles or weeds and large rocks cannot be differentiated. Compensation for such different environmental conditions plays an important role. The use of several sensors and the application of sensor data-fusion methods significantly improve robustness against changes in these conditions. Thus, for example, most autonomous vehicles in the DGC (see Fig. 16.11 for the 2007 Urban Grand Challenge) applied sensor data-fusion techniques for autonomous navigation. In some cases environment perception can substitute or complement GPS position-

Part B 16.4

Fig. 16.11 Winners of the Urban Grand Challenge, November 2007 [16.35]

ing, overcoming problems related to the visibility of satellites and degradation of the GPS signal. The above-mentioned techniques to compute the position of the vehicle with respect to the environment can also be applied to generate trajectories in these environments. Trajectory generation methods can eventually consider the kinematics and dynamic constraints of the vehicles in order to obtain trajectories that can be realistically executed with the vehicle control system described in the previous section. The relevance of these techniques depends on the characteristics of the vehicles. Thus, for example, they are very relevant in the navigation of ground wheeled vehicles with conventional car-like locomotion systems or in fixedwing airplanes, but could be ignored in omnidirectional ground vehicles navigating at low speeds. The computation of distances and positions of the vehicle with respect to the environment can also be used to obtain geometric models of the environment. Particularly mapping techniques can be applied. Moreover, many probabilistic simultaneous localization and mapping techniques (SLAM) have been proposed and successfully applied in robotics in the last decade [16.36]. Most implementations have been carried out by using lasers in two-dimensional (2-D) environments. However, the methods for the application of SLAM in 3-D environments are also promising. The results of vehicle position and environment mapping can be used for the planning of vehicle motion. The planning problem consists of the computation of a path for the vehicle from a starting configuration (position/orientation) to a goal position/orientation configuration, avoiding obstacles and minimizing a cost

Automation of Mobility and Navigation

287

nonholonomic and dynamic constraints of the vehicle [16.41]. Other extensions include the uncertainties in the models of the vehicle and the environment, as well as the motion of other vehicles and obstacles in the environment. The stability of reactive navigation is studied in [16.42], where Lyapunov techniques, input/output stability (conicity criterion), and frequency response methods are applied to study the stability of the navigation of an autonomous ground vehicle by using ultrasonic sensors. The stability is related to the parameters of the reactive navigation such as the sensor range and the velocity. The influence of the time delay, due to communication and computation, on the stability of the reactive navigation is also considered. The analysis is based on the definition of the perception function p = ψ(d, θ), where d and θ are, respectively, the distance and angle at which an obstacle is detected. The values of p are provided to the closed-loop controller. Then, the feedback controller u = ϕ( p) is applied to the vehicle with model given by (16.1). Planning under uncertainty has been a research topic for many years. In the classical planning methods the world is assumed to be deterministic, and the state observable. The uncertainty can be taken into account by considering stochastic Markov decision processes (MDPs) with observable states, which leads to stochas-

Part B 16.4

index usually related to the length of the path [16.37]. Today, many different techniques for automatic path planning can be applied. In the basic problem a geometric model of the vehicle is assumed and the model of the environment is assumed to be completely known (map known) and static, without other vehicles and moving obstacles. Many path planning methods are solved in the configuration space C, defined by the configuration variables q ∈ Rn that completely specify the position and orientation of the vehicle. Then, given qstart , qgoal ∈ C, the problem is to find a sequence of configurations in the obstacle-free configuration space qi ∈ Cfree connecting qstart and qgoal . The problem can be solved by searching in the discretized space. Well-known methods are visibility graphs and Voronoi diagrams. In these methods the connectivity of the free space is represented by means of a network of one-dimensional (1-D) curves. These methods have been extensively applied in 2-D environments. The consideration of 3-D models adds significant complexity for execution in real time. Another well-known strategy consists of searching in an adjacency graph of the free-space cells, obtained by discretization of the environment model into occupancy cells. These methods need the implementation of graph searching algorithms, such as A*, to find a solution and they are usually quite time consuming. The application of multiresolution techniques greatly improves the computational efficiency of these methods. On the other hand, in recent years, randomized methods and particularly so-called rapidly exploring random trees (RRT) [16.38], have been used to explore the free space, obtaining good results. This method makes it possible to explore high-dimensional configuration spaces, and even to include different constraints. Several of these techniques have been applied and extensively tested in the DGC 2005 competition. There are also methods based on the optimization of potential functions attracting the vehicle to the goal (global minimum) by considering at the same time the effect of repulsive forces exerted by the obstacles. The obvious difficulty of these latter methods, initially proposed for real-time local collision avoidance [16.39], is the existence of local minima. The potential-based methods have also been applied for motion planning, eventually combined with other planning methods such as the space-cell decomposition mentioned above. Different potential functions have been proposed to avoid the local minima problem in these methods [16.40]. The extensions of the basic motion planning problem described above include the consideration of the

16.4 Navigation Control and Interaction with the Environment

Fig. 16.12 The Aurora robot spraying a greenhouse

288

Part B

Automation Theory and Scientific Foundations

tic accurate models. If the state is assumed to be only partially observable, then partially observable Markov decision processes (POMDPs) can be used to consider stochastic inaccurate models. The outer loop (the upper level in the control architecture) in Fig. 16.10 is based on the consideration of cognitive models of the environment obtained from the geometric representations, knowledge extracted from the sensorial information, i. e., identification of particular objects in the environment (i. e., traffic signals), and previous human knowledge on the existing relations between these objects and the vehicle navigation. A key architectural issue is the appropriated combination of reactivity and planning. This is related to the interaction between the different levels or control loops in Fig. 16.10 and has a significant impact on the methods to be applied. Thus, some control architectures are based on the application of very simple motion strategies, without considering uncertainties or mobile objects in the environment, and providing reactivity based on real-time sensing of the environment. Reac-

tive techniques can be implemented in behavior-based control architectures to navigate in natural environments without models or with a minimal model of the environment. Figure 16.12 shows the Aurora mobile robot [16.43] which uses a behavior-based architecture to navigate in greenhouses using ultrasonic sensors. Other architectures are based on dynamic planning by incorporating environment information in real time and producing a new plan that reacts appropriately to the new information. In the architecture presented in [16.42] planning techniques based on the kinematics model of the vehicle are used to generate parking maneuvers for articulated vehicles (Fig. 16.8). One of the main general trends is the integration of control and perception components into embedded systems that can be networked using wired or wireless technologies, leading to cooperating objects, with sensing and/or actuation capabilities based on sensor fusion methods that allow full interaction with the environment. Open challenges are related to the development of tools for the analysis and design of these systems.

16.5 Human Interaction

Part B 16.5

In practice mobility automation requires the intervention of humans at a certain level. The key point is the level of interaction. Thus, with regards to Fig. 16.10, the human can be provided with information from the cognitive models, which encode expertise from other operators, and use this information to decompose a mission into tasks to be planned by the task generator module in the Fig. 16.10. However, if the task planner does not exist, the human operator can interact with the second outer loop. In this case the human operator can use the map of the environment displayed on a suitable display to generate a sequence of waypoints for the vehicle using an appropriate interface to specify these waypoints by means of a joystick or a simple mouse. The human operator can also generate in the next inner loop a suitable trajectory assisted by computer tools to visualize in an appropriate way the distances to the surrounding obstacles and check the suitability of these trajectories to be executed by the particular vehicle being commanded. Going to the right in the control loops of Fig. 16.10, the operator could directly provide commands to the vehicle control loop by observing significant environment features in the images provided by the onboard camera or cameras. The above-mentioned interactions involve hardware and software technologies to provide appropriate senso-

rial feedback (visual, audio . . . ) to the human pilots and generate actions at different levels from direct guidance to waypoint and task specification. At this point it is necessary to distinguish between human interventions onboard the vehicle and operation in a remote teleoperation station or from suitable remote devices such as personal digital assistants (PDAs) or even mobile phones involving the communication system, as shown in Fig. 16.13. The first approach can be considered as a compromise solution between vehicle full driving automation, which removes the driver from the control loop, to assisted driving to improve efficiency and reduce accidents, as mentioned in the Introduction. Integration of automatic functions in conventional cars has been a trend in the last years. Autonomous parking of conventional vehicles is an example of this trend. On the other hand, the development of mixed autonomous/manual driving cars seems a suitable approach for the gradual integration of autonomous vehicles on regular roads. Furthermore, the automation of functions in aircraft navigation is also well known. In the following, the remote teleoperation of vehicles is considered. Figure 16.13 illustrates teleoperation schemes. The low-level classical teleoperation approach consists of the presentation to the remote teleoperator of

Automation of Mobility and Navigation

images from a camera or cameras mounted on the front of the vehicle. Then, the vehicle is guided manually using joysticks, pedals or similar interfaces to the ones existing in the driving position onboard the vehicles. This approach has many problems; for example, the images may be degraded due to bandwidth instability, leading to poor spatial resolution and variable update rates, degrading the perception of the motion. Furthermore, an important problem is the presence of delays in both the images and sensor data sent to the operator and the operator commands sent back to the vehicle. These delays may generate instability of the teleoperation control loop. Various technologies have been proposed to overcome these problems. Thus, instead of showing in the display the data and images from the vehicles, it is possible to process these data to extract relevant features to be displayed. Note that this approach can be included in the inner (faster) control loop of Fig. 16.10. The computation of distances in the second loop could be relevant too. Moreover, the displaying of geometric representations of the environment around the vehicle (see also Fig. 16.13) combined with the images (augmentedreality technologies) could also be very useful. A classical method to reduce the harmful effect of delays in the transmission of images is the use of predictive displays with a synthetic graphic of the vehicle obtained by means of a simulation model. The graphic is overlaid on the real delayed images from the vehicle. This representation leads the operator to per-

16.5 Human Interaction

289

ceive in advance the effect of his commands, which can be used to compensate for delays. This can be easily combined with the augmented reality mentioned above. Thus, real-world imagery is embedded within a display of computer-generated landmarks or objects representing the same scene. The computer-generated component of a display can be updated immediately in response to control inputs from the human operator, providing rapid feedback to the operator. If a model of the environment is known, it can be stored in databases and rendered based, for example, on the current GPS position of the vehicle. Obviously the navigation conditions may have a significant effect on the operators. Thus, it has been pointed out that UAV operators may not modify their visual scanning methods to compensate for the nonrecreated multisensory cues. In order to improve the perception of the operators, haptic and multimodal interfaces (e.g., tactile and auditory) have been proposed. Multimodal interfaces may be used not just to compensate for the teleoperator’s sensory environment, but more generally to reduce cognitive-perceptual workload levels. Thus, for example, [16.44] have found that audio and tactile messages can improve many aspects of flight control and overall awareness of the situation in UAV teleoperation. The teleoperation methods presented above greatly depend on communication between the vehicle and the teleoperation station. The development of mobile communication in the last decade has changed the sit-

Planning reacting control

Actuation generation

Transmission

Actuation generation

Presentation

Transmission

Information acquisition

Fig. 16.13 Human interaction loops

Part B 16.5

Perception active perception

290

Part B

Automation Theory and Scientific Foundations

uation when compared with the existing technologies when the first autonomous vehicles where developed in the 1980s. Obviously, the communication technologies to be applied greatly depend of the level of intervention of the human teleoperator in the architecture of Fig. 16.13. Thus, high-bandwidth communication is essential at the lower- and high-frequency loops, where vehicle onboard autonomy is low and generation of teleoperation commands depends on observation of the images and information from other sensors onboard the vehicle. However, if the vehicle has onboard autonomy, the communication with the user does not require high bandwidth. Thus, for example, GSM (global system for mobile communications) and GPRS (general packet radio service) have been used for communicat-

ing the vehicles with the users through their mobile phones and PDAs. Furthermore, Wi-Fi (IEEE 802.11) has been applied for communication with the vehicle at low velocity and short range. An emerging trend is the application of ad hoc mobile networks to take into account the particular mobility of vehicles. The development of models of the loops in Fig. 16.13, as well as new analysis and design tools using these models, are important challenges to be addressed. These models should involve not only the vehicles, the control devices, and communication channels, but also suitable models of the human perception and action mechanisms, which typically require significant experimentation efforts to cope with the behavior of operators under different working conditions.

16.6 Multiple Mobile Systems

Part B 16.6

The automation of multiple vehicles offers many application possibilities. The interest in transportation is obvious. A basic configuration of multiple vehicles consists of a leader followed by vehicles in a single row. This is usually known as platooning [16.45]. The control of a platoon can be implemented by means of a local strategy, i. e., each vehicle is controlled from the unique data received from the vehicle at the front [16.46]. This approach relies mainly on the single-vehicle control problem considered above. The main drawback is that the regulation errors introduced by sensors noises grow from the first vehicle to the last one, leading to oscillations. Intervehicle communication can be used to overcome this problem [16.47]. Then, the distance, velocity, and acceleration with respect to the preceding vehicle are transmitted in order to predict the position and improve the controller by guaranteeing the stability of tight platoon applications [16.48]. Intervehicle communication can also be used to implement global control strategies. The formation of multiple vehicles is also useful for applications such as searching and surveying, exploration and mapping, hazardous material handling systems, active reconfigurable sensing systems, and space-based interferometry. The advantages when comparing with single-vehicle solutions are increased efficiency, performance, reconfigurability, and robustness. An added advantage is that new formation members can be introduced to expand or upgrade the formation, or to replace a failed member. Thus several applications of aerial, marine, and ground vehicle formations have been proposed. In these formations, the members

of the group of vehicles should keep user-defined distances from other group members. The control problem consists of maintaining these user-defined distances. Formation control involves the design of distributed control laws with limited and disrupted communication, uncertainty, and imperfect or partial measurements. The most common approach is the leader-follower [16.49]. This approach has limitations when considering the reliability of the leaders and the lack of explicit feedback from the follower to the leader. Then, if the follower is perturbed by some disturbances, the formation cannot be maintained. There are also alternative approaches based on virtual leaders [16.50], which is a reference point that moves according to the mission. The stability of the formation has been studied by many researchers that have proposed robust controllers to provide insensitivity to possibly large uncertainties in the motion of nearby agents, transmission delays in the feedback path, and the effect of quantized information. There are also behavior-based methods [16.51], which are often inspired by biology, where formation behaviors such as flocking and following are common. Different behaviors are defined as control laws for reaching and/or maintaining a particular goal. An emerging trend in formation control is the integration of obstacle avoidance into control schemes. Other approaches are based on the consideration of teams of robots describing different trajectories to accomplish tasks. Furthermore, having a team with multiple heterogeneous vehicles offers additional advantages due to the possibility of exploiting the complementarities of vehicles with different mobility attributes and

Automation of Mobility and Navigation

also different sensors with different perception functionalities. The vehicles need to be coordinated in time (synchronization) to accomplish missions such as monitoring. Spatial coordination is required to ensure that each vehicle will be able to perform its plan safely and coherently, regarding the plans of the others. Assuming that multiple robots share the same world, a path should be computed for each one that avoids collisions with obstacles and with other robots. Some formulations are based on the extension of single-robot path planning concepts such as the configuration space. If there are nr robots and each robot has a configuration space C i , i = 1, . . . , nr, the state space is defined as the Cartesian product X = C 1 × C 2 × · · · × C nr and the obstacle region in X is ⎞ ⎛ nr nr

ij ∪⎝ Xi X ⎠, (16.4) X obs = obs

ij X obs

291

Fig. 16.14 Coordinated flights in the COMETS project

[16.52]

obs

ij,i = j

i=1

X iobs

16.6 Multiple Mobile Systems

These methods have been implemented in the architecture designed in the COMETS project (acronym of the project real-time coordination and control of multiple heterogeneous unmanned aerial vehicles) [16.58] (Fig. 16.14). Cooperative perception requires integration of the results of individual perception. Each robot extracts knowledge by applying individual perception techniques, and the overall cooperative perception is performed by merging the individual results. This approach requires knowing the relative position and orientation of the robots. If the GPS signal is not available, position estimation based on environment perception should be applied [16.59, 60]. The cooperation of mobile entities also involves the generation of appropriated motion of the involved entities. In [16.61] the coopera-

Fig. 16.15 Experiment of the CROMAT system for the cooperation of aerial and ground robots [16.53], http://grvc.us.es/cromat

Part B 16.6

where and are the robot–obstacle and the robot–robot collision states. The problem is to find a continuous path in the free space from the initial state to the goal state, avoiding the obstacle region defined by (16.4). The classical planning algorithms for a single robot with multiple bodies [16.37] could be applied without adaptation in case of a centralized planning that takes into account all robots. The main concern, however, is that the dimension of the state space grows linearly in the number of robots. Complete algorithms require time that is at least exponential in dimension. Sampling-based algorithms are more likely to scale well in practice when there many robots, but the resulting dimension might still be too high. There are also decoupled path planning approaches such as the prioritized planning that considers one robot at a time according to a global priority. On the other hand, cooperation is defined in the robotic literature as a joint collaborative behavior that is directed toward some goal in which there is a common interest or reward. According to [16.54], given some task specified by a designer, a multiple-robot system displays cooperative behavior if, due to some underlying mechanism, there is an increase in the total utility of the system. Cooperative perception can be defined as the task of creating and maintaining a consistent view of a world containing dynamic objects by a group of agents, each equipped with one or more sensors. Cooperative vision perception has become a relevant topic in the multirobot domain, mainly in structured environments [16.55, 56]. In [16.57] cooperative perception methods for multi-UAV system are proposed.

292

Part B

Automation Theory and Scientific Foundations

Formations Multiple vehicle systems

Teams Homogeneous teams, swarms, heterogeneous teams

Fig. 16.16 Methods in multiple vehicle systems

Formation stability, guidance, obstacle avoidance Temporal coordination: synchronization, spatial coordination: path planning, task planning

tion is categorized into: swarm type, dealing with a large number of homogeneous robots, usually involving numerous repetitions of the same activity over a relatively large area; and intentional cooperation, usually requiring a smaller number of possibly heterogeneous robots (Fig. 16.15) performing several distinct tasks. In these systems the multirobot task allocation problem [16.62] is applied to maximize the efficiency of the team and ensure proper coordination among team members to allow them to complete their mission successfully. Recently, a very popular approach to multirobot task allocation has been the application of market-based negotiation rules by means of the contract net protocol [16.63, 64]. Figure 16.16 shows the different types of multiplevehicle systems and the methods that are applied in each type. Communication and networking also play an important role in the implementation of control systems for multiple unmanned vehicles. The star-shaped network configuration with all the vehicles linked to the control station with an unshared link only works well

with small teams. When the number of vehicles grows it could be necessary to apply wireless heterogeneous networks with radio nodes mounted at fixed ground stations, on ground vehicles, and in UAVs, and the routing techniques allow any two nodes to communicate either directly or through an arbitrary number of other nodes which act as relays. Furthermore, when there is little or no infrastructure, networks could be formed in an ad hoc fashion and information exchanges occur only via the wireless networking equipment carried by the individual UAVs. Finally, it should be noted that the wireless networking of teams of robots with sensors and actuators embedded in the infrastructure is a new research and development trend with many potential applications. The AWARE project (http://www.aware-project.net) is developing a new platform for the cooperation of autonomous aerial vehicles with ground wireless sensor–actuator networks. This platform will have self-deployment and self-configuration features for operation in sites without sensing and communication infrastructure.

16.7 Conclusions

Part B 16

Mobility and navigation have been very relevant topics in automation. Thus, automation of mobility plays an important role in factory automation. The automation of the transportation of people and goods in noncontrolled environments is more difficult and its complexity depends on the flexibility. This chapter has analyzed automation of mobility and navigation by focusing on autonomous vehicles. Then, vehicle motion control has been examined, and the main problems in navigation control and interaction of the vehicle with the environ-

ment were also studied. Moreover, taking into account that practical applications usually require some degree of human intervention, human interaction and related technologies were reviewed. The last part of the chapter was devoted to systems of multiple autonomous vehicles, including formations and fleets of homogeneous vehicles and also teaming of heterogeneous vehicles. The control and cooperation of these autonomous vehicles to accomplish tasks is an emerging trend that poses different challenges.

References 16.1

R. Marín, J. Garrido, J.L. Trillo, J. Sáez, J. Armesto: An industrial automated warehouse based on

overhead trolleys, MCPL’97 IFAC/IFIP Conf. Manag. Control Prod. Logist. (Campinas, 1997) pp. 137–142

Automation of Mobility and Navigation

16.2 16.3

16.4

16.5 16.6

16.7

16.8

16.9

16.10

16.11

16.12

16.13

16.14 16.15 16.16

16.17

16.19

16.20 16.21

16.22

16.23

16.24

16.25

16.26

16.27

16.28 16.29

16.30

16.31

16.32

16.33

16.34

DARPA Grand Challenge: Special issue, J. Field Robot. 23(8/9), 461–835 (2006) J.Y. Wang, M. Tomizuka: Robust H∞ lateral control for heavy-duty vehicles in automated highway systems, Proc. Am. Control Conf. (San Diego 1999) pp. 3671–3675 G.H. Elkaim, M. O’Connor, T. Bell, B. Parkinson: System identification and robust control of farm vehicles using CDGPS, Proc. ION GPS-97 (Kansas City 1997) pp. 1415–1424 A. González-Cantos, A. Ollero: Backing-up maneuvers of autonomous tractor-trailer vehicles using the qualitative theory of nonlinear dynamical systems, Int. J. Robot. Res. 28(1), 49–65 (2009) A. Astolfi, P. Bolzern, A. Locatelli: Path-tracking of a tractor-trailer vehicle along rectilinear and circular paths: a Lyapunov-based approach, IEEE Trans. Robot. Autom. 20(1), 154–160 (2004) A. Ollero, L. Merino: Control and perception techniques for aerial robotics, Annu. Rev. Control 28, 167–178 (2004) O. Amidi, T. Kanade, K. Fujita: A visual odometer for autonomous helicopter flight, Robot. Auton. Syst. 28, 185–193 (1999) M. Bejar, A. Ollero, F. Cuesta: Modeling and control of autonomous helicopters. In: Advances in Control Theory and Application, Lect. Notes Control Inf. Sci., Vol. 353, ed. by C. Bonivento, A. Isidori, L. Marconi, C. Rossi (Springer, Berlin Heidelberg 2007) pp. 1–27 AWARE Project: http://www.aware-project.net (last accessed March 5, 2009) A. Ollero, A. García-Cerezo, J.L. Martínez, A. Mandow: Fuzzy tracking methods for mobile robots. In: Applications of Fuzzy Logic: Towards High Machine Intelligence Quotient Systems, Vol. 9, ed. by M. Jamshidi, L. Zadeh, A. Titli, S. Boverie (Prentice Hall, Upper Saddle River 1997) pp. 347– 364, Chap. 17 G. Buskey, G. Wyeth, J. Roberts: Autonomous helicopter hover using an artificial neural network, Proc. IEEE Int. Conf. Robot. Autom. (2001) pp. 1635– 1640 A. Ollero, A. Rodríguez-Castaño, G. Heredia: Analysis of a GPS-based fuzzy supervised path tracking system for large unmanned vehicles, Proc. 4th IFAC Int. Symp. Intell. Compon. Instrum. Control Appl. (SICICA) (Buenos Aires 2000) pp. 141–146 F. Conticelli, D. Prattichizzo, F. Guidi, A. Bicchi: Vision-based dynamic estimation and set-point stabilization of nonholonomic vehicles, Proc. 2000 IEEE Int. Conf. Robot. Autom. (San Francisco 2000) pp. 2771–2776 J. González, A. Stenz, A. Ollero: A mobile robot iconic position estimator using a radial laser scanner, J. Intell. Robot. Syst. 13, 161–179 (1995) M. Buehler, K. Iaguemma, S. Singh: The 2005 DARPA Grand Challenge, Springer Tracts Adv. Robot., Vol. 36 (Springer, Berlin Heidelberg 2007)

293

Part B 16

16.18

C.E. Thorpe (Ed.): Vision and Navigation: The Carnegie Mellon Navlab (Kluwer, Boston 1990) M. Parent, A. de La Fortelle: Cybercars: past, present and future of the technology, Proc. ITS World Congr. (2005) R. Horowitz, P. Varaiya: Control design of an automated highway system, Proc. IEEE 88(7), 913–925 (2000) UAV Forum: http://www.uavforum.com/ (last accessed March 5, 2009) J. Moraleda, A. Ollero, M. Orte: A robotic system for internal inspection of water pipelines, IEEE Robot. Autom. Mag. 6(3), 30–41 (1999) H.M. Kim, J. Dickerson, B. Kosko: Fuzzy throttle and brake control for platoons of smart cars, Fuzzy Sets Syst. 84, 209–234 (1996) R.W. Brockett: Asymptotic stability and feedback stabilization. In: Differential Geometric Control Theory, ed. by R.S. Millman, R.W. Brockett, H.H. Sussmann (Birkhauser, Boston 1983) C.Y. Chan, H.S. Tan: Feasibility analysis of steering control as a driver-assistance function in collision situations, IEEE Trans. Intell. Transp. Syst. 2(1), 1–9 (2001) J.H. Hahn, R. Rajamani, L. Alexander: GPS-based real-time identification of tire–road friction coefficient, IEEE Trans. Control Syst. Technol. 10(3), 331–343 (2002) B. Samadi, R. Kazemi, K.Y. Nikravesh, M. Kabganian: Real-time estimation of vehicle state and tire-road friction forces, Proc. Am. Control Conf. (Arlington 2001) pp. 3318–3323 J. Huang, J. Ahmed, A. Kojic, J.P. Hathout: Control oriented modeling for enhanced yaw stability and vehicle steerability, Proc. Am. Control Conf. (Boston 2004) pp. 3405–3410 A. Kamga, A. Rachid: Speed, steering angle and path tracking controls for a tricycle robot, Proc. IEEE Int. Symp. Computer-Aided Control Syst. Des. (Dearborn 1996) pp. 56–61 C. deWit, B. Siciliano, G. Bastin: Theory of Robot Control (Springer, Berlin Heidelberg 1997) A. Ollero: Robótica. Manipuladores y Robots Móviles (Marcombo, Spain 2001), in Spanish J. Wit, C.D. Crane, D. Armstrong: Autonomous ground vehicle path tracking, J. Robot. Syst. 21(8), 439–449 (2004) ˜ o, A. Ollero, B.M. Vinagre, A. Rodríguez-Castan Y.Q. Chen: Setup of a spatial lookahead path tracking controller, Proc. 16th IFAC World Congr. (Prague 2005) ¨m, T. Johansson, O. Ringdahl: DevelT. Hellstro opment of an autonomous forest machine for path tracking, Springer Tracts Adv. Robot., Vol. 25 (Springer, Berlin Heidelberg 2006) pp. 603–614 G. Heredia, A. Ollero: Stability of autonomous vehicle path tracking with pure delays in the control loop, Adv. Robot. 21(1), 23–50 (2007)

References

294

Part B

Automation Theory and Scientific Foundations

16.35

16.36

16.37 16.38

16.39

16.40

16.41

16.42

16.43

16.44

16.45

16.46

16.47

16.48

Part B 16

16.49

DARPA Urban Challenge: http://www.darpa. mil/grandchallenge/images/photos/11_4_07/D2X_ 1328.jpg (last accessed March 5, 2009) S. Thrun, W. Burgard, D. Fox: Probabilistic Robotics, Intelligent Robotics and Autonomous Agents (MIT Press, Cambridge 2005) R.C. Latombe: Robot Motion Planning (Kluwer, Boston 1991) S.M. LaValle: Rapidly-exploring random trees: A new tool for path planning TR 98-11 (Iowa Univ., Iowa 1998) O. Khatib: Real-time obstacle avoidance for manipulators and mobile robots, Int. J. Robot. Res. 5(1), 90–98 (1986) S.A. Masoud, A.A. Masoud: Motion planning in the presence of directional and regional avoidance constraints using nonlinear, anisotropic, harmonic potential fields: a physical metaphor, IEEE Trans. Syst. Man Cybern. Part A, 32(6), 705–723 (2002) V.F. Muñoz, A. Ollero, M. Prado, A. Simón: Mobile robot trajectory planning with dynamic and kinematic constraints, Proc. IEEE Int. Conf. Robot. Autom., San Diego (1994) pp. 2802–2807 F. Cuesta, A. Ollero: Intelligent mobile robot navigation, Springer Tracts Adv. Robot., Vol. 16 (Springer, Berlin Heidelberg 2005) A. Mandow, J. Gomez de Gabriel, J.L. Martinez, ˜ oz, A. Ollero, A. García-Cerezo: The auV.F. Mun tonomous mobile robot aurora for greenhouse operation, IEEE Robot. Autom. Mag. 3(4), 18–28 (1996) G.L. Calhoun, M.H. Draper, H.A. Ruff, J.V. Fontejon: Utility of a tactile display for cueing faults, Proc. Hum. Factors Ergon. Soc. 46th Annu. Meet. (2002) pp. 2144–2148 P. Daviet, M. Parent: Platooning for small public urban vehicles, 4th Int. Symp. Exp. Robot. (ISER’95) (Stanford 1995) pp. 345–354 J. Bom, B. Thuilot, F. Marmoiton, P. Martinet: Nonlinear control for urban vehicles platooning, relying upon a unique kinematic GPS, 22nd Int. Conf. Robot. Autom. (ICRA’05) (Barcelona 2005) pp. 4149–4154 Y. Zhang, E.B. Kosmatopoulos, P.A. Ioannou, C.C. Chien: Autonomous intelligent cruise control using front and back information for tight vehicle following maneuvers, IEEE Trans. Veh. Technol. 48(1), 319–328 (1999) T.S. No, K.-T. Chong, D.-H. Roh: A Lyapunov function approach to longitudinal control of vehicles in a platoon, IEEE Trans. Veh. Technol. 50(1), 116–124 (2001) J.P. Desai, J.P. Ostrowski, V. Kumar: Modeling and control of formations of nonholonomic mobile robots, IEEE Trans. Robot. Autom. 17(6), 905–908 (2001)

16.50

16.51

16.52

16.53

16.54

16.55

16.56

16.57

16.58

16.59

16.60

16.61

16.62

16.63

16.64

M. Egerstedt, X. Hu, A. Stotsky: Control of mobile platforms using a virtual vehicle approach, IEEE Trans. Autom. Control 46, 1777–1782 (2001) T. Balch, R.C. Arkin: Behavior-based formation control for multi-robot teams, IEEE Trans. Robot. Autom. 14, 926–939 (1998) A. Ollero, I. Maza: Multiple Heterogeneous Aerial Vehicles, Springer Tracts Adv. Robot., Vol. 37 (Springer, Berlin Heidelberg 2007) I. Maza, A. Viguria, A. Ollero: Aerial and ground robots networked with the environment, Proc. Workshop Netw. Robot Syst. IEEE Int. Conf. Robot. Autom. (2005) pp. 1–10 Y.U. Cao, A.S. Fukunaga, A. Kahng: Cooperative mobile robotics: Antecedents and directions, Auton. Robots 4(1), 7–27 (1997) T. Schmitt, R. Hanek, M. Beetz, S. Buck, B. Radig: Cooperative probabilistic state estimation for vision-based autonomous mobile robots, IEEE Trans. Robot. Autom. 18(5), 670–684 (2002) S. Thrun: A probabilistic online mapping algorithm for teams of mobile robots, Int. J. Rob. Res. 20(5), 335–363 (2001) L. Merino, F. Caballero, J.R. Martínez-de Dios, J. Ferruz, A. Ollero: A cooperative perception system for multiple UAVs: application to automatic detection of forest fires, J. Field Robot. 23(3), 165–184 (2006) A. Ollero, S. Lacroix, L. Merino, J. Gancet, J. Wiklund, V. Remuss, I.V. Perez, L.G. Gutiérrez, D.X. Viegas, M.A. González, A. Mallet, R. Alami, R. Chatila, G. Hommel, F.J. Colmenero, B.C. Arrue, J. Ferruz, J.R. Martinez-de Dios, F. Caballero: Multiple eyes in the skies, IEEE Robot. Autom. 12(2), 46–57 (2005) K. Konolige, D. Fox, B. Limketkai, J. Ko, B. Stewart: Map merging for distributed robot navigation, IEEE Int. Conf. Intell. Robot. Syst. (2003) pp. 212– 217 L. Merino, F. Caballero, J. Wiklund, A. Moe, J.R. Martínez-de Dios, P.-E. Forssen, K. Nordberg, A. Ollero: Vision-based multi-UAV position estimation, Robot. Autom. Mag. 13(3), 53–62 (2006) L.E. Parker: Alliance: An architecture for faulttolerant multi-robot cooperation, IEEE Trans. Robot. Autom. 14(2), 220–240 (1998) B.P. Gerkey, M.J. Mataric: A formal analysis and taxonomy of task allocation in multi-robot systems, Int. J. Robot. Res. 23(9), 939–954 (2004) S.C. Botelho, R. Alami: M+: a scheme for multirobot cooperation through negotiated task allocation and achievement, Proc. IEEE Int. Conf. Robot. Autom. (Detroit 1999) B. Gerkey, M. Mataric: Sold: Auction methods for multi-robot coordination, IEEE Trans. Robot. Autom. 18(5), 758–768 (2002 )

295

Daniel W. Repperger, Chandler A. Phillips

A survey of the history of how humans have interacted with automation is presented. Starting with the early introduction of automation into the Industrial Revolution to the modern applications that occur in unmanned air vehicle systems, many issues are brought to light. Levels of automation are quantified and a preliminary list delineating what tasks humans can perform better than machines is presented. A number of application areas are surveyed that have or are currently dealing with positive and negative issues as humans interact with machines. The application areas where humans specifically interact with automation include agriculture, communications systems, inspection systems, manufacturing, medical and diagnostic applications, robotics, and teaching. The benefits and disadvantages of how humans interact with modern automation systems are presented in a trade-off space discussion. The modern problems relating to how humans have to deal with automation include trust, social acceptance, loss of authority, safety concerns, adaptivity of automation leading to unplanned unexpectancy, cost advantages, and possible performance gained.

17.2 Various Application Areas ...................... 17.2.1 Agriculture Applications ................ 17.2.2 Communications Applications ........ 17.2.3 Inspection Systems Applications..... 17.2.4 Manufacturing Applications ........... 17.2.5 Medical and Diagnostic Applications ................................ 17.2.6 Robotic Applications ..................... 17.2.7 Teaching Applications ................... 17.3 Modern Key Issues to Consider as Humans Interact with Automation ..... 17.3.1 Trust in Automation...................... 17.3.2 Cost of Automation ....................... 17.3.3 Adaptive Versus Nonadaptive Automation ................................. 17.3.4 Safety in Automation .................... 17.3.5 Authority in Automation ............... 17.3.6 Performance of Automation Systems ................. 17.3.7 When Should the Human Override the Automation? .......................... 17.3.8 Social Issues and Automation ........

297 297 298 298 298 298 298 299

299 299 300 300 300 300 301 301 301

17.4 Future Directions of Defining Human–Machine Interactions 301 17.5 Conclusions .......................................... 302

17.1 Some Basics of Human Interaction with Automation .................................. 296

References .................................................. 302

The modern use of the term automation can be traced to a 1952 Scientific American article; today it is widely employed to define the interaction of humans with machines. Automation (machines) may be electrical, mechanical, require interaction with computers, involve informatics variables, or possible relate to parameters in the environment. As noted [17.1], the first actual automation was the mechanization of manual labor during the Industrial Revolution. As machines became increasingly useful in reducing the drudgery and dan-

ger of manual labor tasks, questions begin to arise concerning how best to proportion tasks between humans and machines. Present applications still address the delineation of tasks between humans and machines [17.2], where, e.g., in chemistry and laboratory tasks, the rule of thumb is to use automation to eliminate much of the 3-D tasks (dull, dirty, and dangerous). In an effort to be more quantitative in the allocation of work and responsibility between humans and machines, Fitts [17.3] proposed a list to identify tasks

Part B 17

The Human R 17. The Human Role in Automation

296

Part B

Automation Theory and Scientific Foundations

Part B 17.1

Table 17.1 Fitts’ list [17.3]

Tasks humans are better at

Tasks machines are better at

Detecting small amounts of visual, auditory, or chemical energy Perceiving patterns of light or sound Improvising and using flexible procedures Storing information for long periods of time and recalling appropriate parts Reasoning inductively Exercising judgment

Responding quickly to control signals

that are better performed by humans or machines (Table 17.1). This list initially raised some concern that humans and machines were being considered equivalent in some sense and that human could easily be replaced by a mechanical counterpart. However, the important point that Fitts raised was that we should consider some proper allocation of tasks between humans and machines (functional allocation [17.4, 5])

Applying great force smoothly and precisely Storing information briefly, erasing it completely Reasoning deductively

which may be very specific to the skill sets of the human and those the machine may possess. This task-sharing concept has been discussed by numerous authors [17.6]. Ideas of this type have nowadays been generalized into how humans interact with computers, the Internet, and a host of other modern apparatus. It should be clarified, however, that present-day thinking has now moved away from this early list concept [17.7].

17.1 Some Basics of Human Interaction with Automation In an attempt to be more objective in delineating the interaction of humans with machines, Parasuraman et al. [17.8] defined a simple four-stage model of human information processing interacting with computers with the various levels of automation possible delineated in Table 17.2. Note how the various degrees of auto-

mation affect decision and action selection. This list differs from other lists generated, e.g., using the concept of supervisory control [17.9, p. 26] or on a scale of degrees of automation [17.1, p. 62], but are closely related. As the human gradually allocates more work and responsibility to the machine, the human’s role then

Table 17.2 Levels of automation of decision and action selection by the computer

Level High = 10 9 8 7 6 5 4 3 2 Low = 1

The computer decides everything, acts autonomously, ignoring the human Informs the human only if the computer decides to Informs the human only if asked Executes automatically, then necessarily informs the human Allows the human a restricted time to veto before automatic execution Executes the suggestion if the human approves Suggests one alternative Narrows the selection down to a few alternatives The computer offers a complete set of decision/action alternatives The computer offers no help; the human must take all actions and make decisions

The Human Role in Automation

of modern application areas involving humans dealing with automation. After these applications are discussed, the current and most pertinent issues concerning how humans interact with automation will be brought to light.

17.2 Various Application Areas Besides the early work by Fitts, automation with humans was also viewed as a topic of concern in the automatic control literature. In the early 1960s, Grabbe et al. [17.10] viewed the human operator as a component of an electrical servomechanism system. Many advantages were discovered at that time in terms of replacing some human function via automated means; for example, a machine does not have the same temperature requirements as humans, performance advantages may result (improved speed, strength, information processing, power, etc.), the economics of operation are significantly different, fatigue is not an issue, and the accuracy and repeatability of a response may have reduced variability [17.1, p. 163]. In more recent applications (for example, [17.11]) the issues of automation and humans extend into the realm of controlling multiple unmanned air vehicle systems. Such complex (unmanned) systems have the additional advantage that the aircraft does not need a life-support system (absence of oxygen supply, temperature, pressure control or even the requirement for a transparent windshield) if no humans are onboard. Hence the overall aircraft has lower weight, less expense, and improved reliability. Also there are political advantages in the situation of the aircraft being shot down. In this case, there would not be people involved in possible hostage situations. The mitigation of the political cost nowadays is so important that modern military systems see significant advantages in becoming increasingly autonomous (lacking a human onboard). Concurrent with military applications is the desire to study automation in air-traffic control and issues in cockpit automation [17.12], which have been topics of wide interest [17.13–15]. In air-traffic control, software can help predict an aircraft’s future position, including wind data, and help reduce near collisions. This form of predictive assistance has to be accepted by the air-traffic controller and should have low levels of uncertainty. Billings [17.13] lists seven principles of human-centered automation in the application domain of air-traffic control. The humancentered (user-centered) design is widely popularized

as the proper approach to integrate humans with machines [17.14, 16, 17]. Automation also extends to the office and other workspace situations [17.18] and to every venue where people have to perform jobs. Modern applications are also implicitly related to the revolution in information technology (IT) [17.19] noting that Fitts’ list was preceded by Watson’s (IBM’s founder in the 1930s) concept that Machines should work. People should think. In the early stages of IT, Licklider envisioned (from the iron, mainframe computer) the potential for man–computer symbiosis [17.20], interacting directly with the brain. IT concepts also prevail in the military. Rouse and Boff [17.21] equate military success via the IT analogies whereas bits and bytes have become strategically equated with bombs and bullets and advances in networks and communications technologies which have dramatically changed the nature of conflict. A brief list of some current applications are now reviewed to give a flavor of some modern areas that are presently wrestling with future issues of human interaction with automation.

17.2.1 Agriculture Applications Agriculture applications have been ubiquitous for hundreds of years as humans have interacted with various mechanical devices to improve the production of food [17.22,23]. The advent of modern farm equipment has been fundamental to reducing some of the drudgery in this occupation. The term shared control occurs in situations where the display of the level of automation is rendered [17.9]. In related fields such as fish farming (aquaculture), there are mechanized devices that significantly improve production efficiency. Almost completely automated systems that segment fish, measure them, and process them for the food industry have been described [17.24]. In all cases examples prevail on humans dealing with various levels of automation to improve the quality and quantity of agriculture goods produced. Chapter 63 discusses in more detail automation in agriculture.

297

Part B 17.2

becomes as the supervisor of the mechanical process. Thus the level of automation selected in Table 17.2 also defines the roles and duties of the human acting in the position of supervisor. With these basics in mind, it is appropriate to examine a brief sample

17.2 Various Application Areas

298

Part B

Automation Theory and Scientific Foundations

Part B 17.2

17.2.2 Communications Applications The cellphone and other mobile remote devices have significantly changed how people deal with communications in today’s world. The concept of Bluetooth [17.25] explores an important means of obviating some of the problems induced when humans have to deal with these communication devices. The Bluetooth idea is that the system will recognize the user when in reasonably close proximity and readjust its settings and parameters so as to yield seamless integration to the specific human operator in question. This helps mitigate the human–automation interaction problems by programming the device to be tailored to the user’s specifications. Other mobile devices include remote controls that are associated with all types of new household and other communication devices [17.26]. Chapter 13 discusses in more detail communication in automation including networking and wireless.

17.2.3 Inspection Systems Applications In [17.27], they discuss 100% automated inspection systems rather than having humans sample a process, e.g., in an assembly-line task. Prevailing thinking is that humans still outperform machines in most attributeinspection tasks. In the cited evaluation, three types of inspection systems were considered, with various levels of automation and interaction with the human operator. For vision tasks [17.28] pattern irregularity is key to identification of a vector of features that may be untoward in the inspection process.

17.2.4 Manufacturing Applications Applications in automation are well known to be complex [17.29], such as in factories where production and manufacturing provide a venue to study these issues. In [17.30] a human-centered approach is presented for several new technologies. Some of the negative issues of the use of automation discussed are increased need for worker training, concerns of reliability, maintenance, and upgrades of software issues, etc. In [17.31], manufacturing issues are discussed within the concept of the Internet and how distributed manufacturing can be controlled and managed via this paradigm. More and more modern systems are viewed within this framework of a machine with which the human has to deal by interfacing via a computer terminal connected to a network involving a number of distributed users. Chapters 49,

50, 51 presents different aspects of manufacturing automation.

17.2.5 Medical and Diagnostic Applications Automation in medicine is pervasive. In the area of anesthesiology, it is analogous to piloting a commercial aircraft, (“hours of boredom interspersed by moments of terror” [17.32]). Medical applications (both treatment and diagnosis) now abound where the care-giver may have to be remote from the actual site [17.33]. An important example occurs in modern robotic heart surgery where it is now only required to make two small incisions in the patient’s chest for the robotic end-effectors. This minimally invasive insult to the patient results in reduced recovery time of less than 1 week compared with typically 7 weeks for open heart surgery without using the robotic system. As noted by the surgeon, the greatest advantage gained is [17.34]: Without the robot, we must make a large chest incision. The only practical reason for this action is because of the ‘size of the surgeon’s hands’. However, using the robot now obviates the need for the large chest incision. The accompanying reduction of medical expenses, decreased risk of infection, and faster recovery time are important advantages gained. The automation in this case is the robotic system. Again, as with Fitts’ list, certain tasks of the surgery should be delegated to the robot, yet the other critically (medically) important tasks must be under the control and responsibility of the doctor. From a diagnostics perspective, the concept of remote diagnostic methods are explored [17.35]. As in the medical application, the operator (supervisor) must have an improved sense of presence about an environment remote from his immediate viewpoint and has to deal with a number of reduced control actions. Also, it is necessary to attempt to monitor and remotely diagnose situations when full information may not be typically available. Thus automation may have the disadvantage of limiting the quality of information received by the operator. Chapters 77, 78, 80, 82 provide more insights into automation in medical and healthcare systems.

17.2.6 Robotic Applications Robotic devices, when they interact with humans, provide a rich venue involving problems of safety, task delegation, authority, and a host of other issues. In [17.36] an application is discussed which stresses

The Human Role in Automation

17.3 Modern Key Issues to Consider as Humans Interact with Automation

17.2.7 Teaching Applications In recent years, there has been an explosive growth of teaching at universities involving long-distance learning classes. Many colleges and professional organizations now offer online courses with the advantage to the student of having the freedom to take classes at any

time during the day, mitigating conflicts, travel, and other costs [17.38]. The subject areas abound, including pharmacy [17.39], software engineering [17.40], and for students from industry in various fields [17.41]. The problem of humans interacting with automation that occurs is when the student has to take a class and deal directly with a computer display and a possibly not so user-friendly web-based system. Having the ability to interrelate (student with professor) has now changed and there is a tradeoff space that occurs between the convenience of not having to attend class versus the loss of ability to fully interact, such as in a classroom setting. In [17.42], a variety of multimedia methods are examined to understand and help obviate the loss of full interactive ability that occurs in the classroom. The issue pertinent to this example is that taking the course online (a form of automation) has numerous advantages but incurs a cost of introducing certain constraints into the human–computer interaction. These examples represent a small sample of present interactions of humans with automation. Derived from these and other applications, projections for some present and future issues that are currently of concern will be discussed in further detail in the next section.

17.3 Modern Key Issues to Consider as Humans Interact with Automation 17.3.1 Trust in Automation Historically, as automation became more prevalent, concern was initially raised about the changing roles of human operators and automation. Muir [17.43] performed a literature review on trust in automated systems since there were alternative theories of trust at that time. More modern thinking clarifies these issues via a definition [17.44]: Automation is often problematic because people fail to rely upon it appropriately. Because people respond to technology socially, trust influences reliance on automation. In particular, trust guides reliance when complexity and unanticipated situations make a complete understanding of the automation impractical. People tend to rely on automation they trust and tend to reject automation they do not. Taking levels of trust by pilots as an example, it was found [17.45] that, when trust in the automated sys-

tem is too low and an alarm is presented, pilots spend extra time verifying the problem or will ignore the alarm entirely. These monitoring problems are found in systems with a high propensity for false alarms, which leads to a reduced level of trust in the automation [17.46]. From the other extreme, if too much trust is placed on the automation, a false sense of security results and other forms of data are discounted, much to the peril of the pilot. From a trust perspective, Wickens and colleagues tested heterogeneous and homogeneous crews based on flight experience [17.47] and found little difference in flying proficiency for various levels of automation. However, the homogeneous crews obtained increased benefit from automation, which may be due to, and interpreted in terms of, having a different authority gradient. In considering the level of trust (overtrust or undertrust), Lee and Moray [17.48] later noted that, as more and more false alarms occur, the operator will decrease their level of trust accordingly even if the automation is adapted. It is as if the decision-aiding system must first prove itself before trust is developed. In

Part B 17.3

the concept of learning from humans. This involves teaching the robotic device certain motion trajectories that emulate successful applications gleaned from humans performing similar tasks. In robotic training, it is common to use these teach pendant paradigms, in which the robotic path trajectory is stored in a computer after having a human move the end-effector through an appropriate motion field environment. In [17.37], the term biomimicking motion is commonly employed for service robots that directly interact with humans. Such assistive aids are commonly used, for example, in a hospital setting, where a robotic helper will facilitate transfer of patients, removing this task from nurses or other care-givers who are normally burdened with this responsibility. Chapter 21 provides an insightful discussion on industrial robots.

299

300

Part B

Automation Theory and Scientific Foundations

Part B 17.3

more recent work [17.49], a quantitative approach to the trust issue is discussed, showing that overall trust in a system has a significantly inverse relationship with the uncertainty of a system. Three levels of uncertainty were examined using National Institute of Standards (NIST) guidelines, and users rated their trust at each level through questionnaires. The bottom line was that performance of a hybrid system can be improved by decreasing uncertainty.

17.3.2 Cost of Automation As mentioned previously, cost saving is one of the significant advantages of the use of automation, e.g., in an unmanned air vehicle, for which the removal of the requirement to have a life-support system makes such devices economically advantageous over alternatives. In [17.50] low-cost/cost-effective automation is discussed. By delegating some of the task responsibilities to the instrumentation, the overall system has an improved response. In a cost-effective sense, this is a better design. In [17.51] the application of a fuzzylogic adaptive Kalman filter which has the ability to provide improved real-time control is discussed. This allows more intelligence at the sensor level and unloads the control requirements at the operator level. The costs of such devices thus drops significantly and they become easier to operate. See Chap. 41 for more details on cost-oriented automation.

17.3.3 Adaptive Versus Nonadaptive Automation One could argue that, if automation could adapt, it could optimally couple the level of operator engagement to the level of mechanism [17.52]. To implement such an approach, one may engage biopyschometric measures for when to trigger the level of automation. This would seem to make the level of automation related to the workload on the human operator. One can view this concept as adaptive aiding [17.53]. For workload consideration, the well-known National Aeronautics and Space Administration (NASA) task load index (TLX) scale provides some of these objective measures of workload [17.54]. As a more recent example, in [17.55], adaptive function allocation as the dynamic control of tasks which involves responsibility and authority shifts between humans and machines is addressed. How this affects operator performance and workload is analyzed. In [17.56] the emphasis focuses on human-centered design for adaptive automation. The human is viewed

as an active information processor in complex system control loops and supports situational awareness and effective performance. The issues of workload overload and situational awareness are researched.

17.3.4 Safety in Automation Safety is a two-edged sword in the interaction of humans with automation. The human public demands safety in automation. As mentioned in regard to robotic surgery applications, the patient has a significantly reduced recovery time with the use of a robotic device, however, all such machines may fail at some time. When humans interact with robotic devices, they are always at risk and there are a number of documented cases in which humans have been killed by being close to a robotic device. In factories, safety when humans interact with machines has always been a key concern. In [17.57], a way to approach the safety assessment in a factory in terms of a safety matrix is introduced. This differs from the typical probability method. The elements of the matrix can be integrated to provide a quantitative safety scale. For traffic safety [17.58] human-centered automation is a key component to a successful system and is recommended to be multilayered. The prevailing philosophy is that it is acceptable for a human to give up some authority in return for a reduction in some mundane drudgery. Remote control presents an excellent case for safety and the benefit of automation. Keeping the human out of harm’s way through teleoperation and other means but providing a machine interface allows many more human interactions with external environments which may be radioactive, chemically adverse or have other dangers. See Chap. 39 for a detailed discussion on safety warnings for automation.

17.3.5 Authority in Automation The problem of trading off authority between the human and computer is unsettling and risky, for example, in a transportation system, such as a car. Events that are unplanned or produce unexpectancy may degrade performance. Familiarity with antibrake systems can be a lifesaver for the novice driver first experiencing a skidding event on an icy or wet road. However, this could also work to the detriment of the driver in other situations. As mentioned earlier, automation has a tradeoff space with respect to authority. In Table 17.2 it is noted that, the higher the level of automation, the greater the loss of authority. With more automation, the effort from

The Human Role in Automation

17.3.6 Performance of Automation Systems There are many ways to evaluate human–machine system performance. Based on the signal detection theory framework, various methods have been introduced [17.61–63]. The concept of likelihood displays shows certain types of statistical optimality in reducing type 1 and type 2 errors and provides a powerful approach to measure the efficacy of any human–machine interaction. Other popular methods to quantify human– machine performance include the information-theoretic models [17.64] with measures such as baud rate, reaction time, accuracy, etc. Performance measurement is always complex since it is well known that three human attributes interact [17.65] to produce a desired result. The requisite attributes include skill, and ruleand knowledge-based behaviors of the human operator.

17.3.7 When Should the Human Override the Automation? One way to deal with the adaptive nature of automation is to consider when the human should intervene [17.66].

It is well known that automation may not work to the advantage of the operator in certain situations [17.67]. Rules can be obtained, e.g., it is well known that it is easier to modify the automation rather than modify the human. A case in point is when high-workload situations may require the automation level to increase to alleviate some of the stress accumulated by the human in a critical task [17.68] in situations such as flight-deck management.

17.3.8 Social Issues and Automation There are a number of issues of the use of automation and social acceptance. In [17.69] the discussion centers on robots that are socially acceptable. There must be a balance between a design which is humancentered versus the alternative of being more socially acceptable. Tradeoff spaces exist in which these designs have to be evaluated as to their potential efficacy versus agreement in the venue in which they were designed. Also humans are hedonistic in their actions, that is, they tend to favor decisions and actions that benefit themselves or their favored parochial classes. Machines, on the other hand, may not have to deal with these biases. Another major point deals with the disadvantages of automation in terms of replacing human workers. If a human is replaced, alienation may result [17.70], resulting in a disservice to society. Not only do people become unemployed, but they also suffer from loss of identity and reduced self-esteem. Also alienation allows people to abandon responsibility for their own actions, which can lead to reckless behavior. As evidence of this effect, the Luddites in 19th century England smashed the knitting machines that had destroyed their livelihood. Turning anger against an automation object offers, at best, only temporary relief of a long-term problem.

17.4 Future Directions of Defining Human–Machine Interactions It is seen that the following parameters strongly affect how the level of automation should be modified with respect to humans:

• •

Trust Social acceptance

• • • •

Authority Safety Possible unplanned unexpectancy with adaptive automation Application-specific performance that may be gained

301

Part B 17.4

the operator is proportionally reduced; however, with loss of authority, the risk of a catastrophic disaster increases. This tradeoff space is always under debate. In [17.59] it is shown that some flexibility can be maintained. Applications where the tradeoff space exists between automation and authority include aircraft, nuclear power plants, etc., Billings [17.13] calls for the operator to maintain an active role in a system’s operation regardless of whether the automation might be able to perform the particular function in question better than the operator. Norman [17.60] stresses the need for feedback to be provided to the operator, and this has been overlooked in many recent systems.

17.4 Future Directions of Defining Human–Machine Interactions

302

Part B

Automation Theory and Scientific Foundations

Part B 17

17.5 Conclusions Modern application still wrestle with the benefit and degree of automation that may be appropriate for a task [17.71]. Emerging trends include how to define those jobs that are dangerous, mundane, and simple where there are benefits of automation. The challenges include defining a multidimensional tradeoff space that must take into consideration what would work best in a mission-effectiveness sense as humans have to deal

with constantly changing machines that obviate some of the danger and drudgery of certain jobs. For further discussion of the human role in automation refer to the chapters on Human Factors in Automation Design Chap. 25 and Integrated Human and Automation Systems, Including Automation Usability, Human Interaction and Work Design in (Semi)-Automated Systems Chap. 34 later in this Handbook.

References 17.1

17.2

17.3

17.4

17.5

17.6

17.7

17.8

17.9

17.10

17.11

17.12

T.B. Sheridan: Humans and Automation – System Design and Research Issues (Wiley, New York 2002), pp. 62, 163 G.E. Hoffmann: Concepts for the third generation of laboratory systems, Clinica Chimica Acta 278, 203– 216 (1998) P.M. Fitts (ed.): Human Engineering for an Effective Air Navigation and Traffic Control System (National Research Council, Washington 1951) A. Chapanis: On the allocation of functions between men and machines, Occup. Psychol. 39, 1–11 (1965) A. Bye, E. Hollnagel, T.S. Brendeford: Human– machine function allocation: a functional modeling approach, Reliab. Eng. Syst. Saf. 64, 291–300 (1999) B.H. Kantowitz, R.D. Sorkin: Allocation of functions. In: Handbook of Human Factors, ed. by G. Salvendy (Wiley, New York 1987) pp. 355–369 N. Moray: Humans and machines: allocation of function. In: People in Control – Human Factors in Control Room Design, IEEE Control Eng., Vol. 60, ed. by J. Noyes, M. Bransby (IEEE, New York 2001) pp. 101–113 R. Parasuraman, T.B. Sheridan, C.D. Wickens: A model for types and levels of human interaction with automation, IEEE Trans. Syst. Man Cybern. A: Syst. Hum. 30(3), 286–297 (2000) T.B. Sheridan: Telerobotics, Automation, and Human Supervisory Control (MIT Press, Cambridge 1992), p. 26 L.J. Fogel, J. Lyman: The human component. In: Handbook of Automation, Computation, and Control, Vol. 3, ed. by E.M. Grabbe, S. Ramo, D.E. Wooldridge (Wiley, New York 1961) M.L. Cummings, P.J. Mitchell: Operator scheduling strategies in supervisory control of multiple UAVs, Aerosp. Sci. Technol. 11, 339–348 (2007) N.B. Sarter: Cockpit automation: from quantity to quality, for individual pilot to multiple agents. In: Automation and Human Performance, ed. by R. Parasuraman, M. Mouloua (Lawrence Erlbaum, Mahwah 1996)

17.13

17.14

17.15

17.16

17.17

17.18

17.19

17.20

17.21

17.22

17.23

17.24

C.E. Billings: Toward a human-centered aircraft automation philosophy, Int. J. Aviat. Psychol. 1(4), 261–270 (1991) C.E. Billings: Aviation Automation: The Search for a Human-Centered Approach (Lawrence Erlbaum Associates, Mahwah 1997) D.O. Weitzman: Human-centered automation for air traffic control: the very idea. In: Human/Technology Interaction in Complex Systems, ed. by E. Salas (JAI Press, Stamford 1999) W.B. Rouse, J.M. Hammer: Assessing the impact of modeling limits on intelligent systems, IEEE Trans. Syst. Man Cybern. 21(6), 1549–1559 (1991) C.D. Wickens: Designing for situational awareness and trust in automation, Proc. Int. Fed. Autom. Control Conf. Integr. Syst. Eng. (Pergamon, Elmsford 1994) G. Salvendy: Research issues in the ergonomics, behavioral, organizational and management aspects of office automation. In: Human Aspects in Office Automation, ed. by B.G.F. Cohen (Elsevier, Amsterdam 1984) pp. 115–126 K.R. Boff: Revolutions and shifting paradigms in human factors and ergonomics, Appl. Ergon. 37, 391–399 (2006) J.C.R. Licklider: Man–computer symbiosis. IRE Trans. Hum. Factors Electron. In: Digital Center Research Reports, Vol. 61, ed. by J.C.R. Licklider, R.W. Taylor (Human Factors Accociation, Palo Alto 1990), reprinted in Memoriam (1960) W.B. Rouse, K.R. Boff: Impacts of next-generation concepts of military operations on human effectiveness, Inf. Knowl. Syst. Manag. 2, 1–11 (2001) M. Kassler: Agricultural automation in the new Millennium, Comput. Electron. Agric. 30(1–3), 237–240 (2001) N. Sigrimis, P. Antsaklis, P. Groumpos: Advances in control of agriculture and the environment, IEEE Control Syst. Mag. 21(5), 8–12 (2001) J.R. Martinez-de Dios, C. Serna, A. Ollero: Computer vision and robotics techniques in fish farms, Robotica 21, 233–243 (2003)

The Human Role in Automation

17.26

17.27

17.28 17.29

17.30

17.31

17.32

17.33

17.34

17.35 17.36

17.37

17.38 17.39

17.40

O. Diegel, G. Bright, J. Potgieter: Bluetooth ubiquitous networks: seamlessly integrating humans and machines, Assem. Autom. 24(2), 168–176 (2004) L. Tarrini, R.B. Bandinelli, V. Miori, G. Bertini: Remote Control of Home Automation Systems with Mobile Devices, Lecture Notes in Computer Science (Springer, Berlin Heidelberg 2002) X. Jiang, A.K. Gramopadhye, B.J. Melloy, L.W. Grimes: Evaluation of best system performance: human, automated, and hybrid inspection systems, Hum. Factor Ergon. Manuf. 13(2), 137–152 (2003) D. Chetverikov: Pattern regularity as a visual key, Image Vis. Comput. 18(12), 975–985 (2000) D.D. Woods: Decomposing automation: apparent simplicity, real complexity. In: Automation and Human Performance – Theory and Applications, ed. by R. Parasuraman, M. Mouloua (Lawrence Erlbaum, Mahwah 1996) pp. 3–17 A. Mital, A. Pennathur: Advanced technologies and humans in manufacturing workplaces: an interdependent relationship, Int. J. Ind. Ergon. 33, 295–313 (2004) S.P. Layne, T.J. Beugelsdijk: Mass customized testing and manufacturing via the Internet, Robot. Comput.-Integr. Manuf. 14, 377–387 (1998) M.B. Weinger: Automation in anesthesiology: Perspectives and considerations. In: Human– Automation Interaction – Research and Practice, ed. by M. Mouloua, J.M. Koonce (Lawrence Erlbaum, Mahwah 1996) pp. 233–240 D.W. Repperger: Human factors in medical devices. In: Encyclopedia of Medical Devices and Instrumentation, ed. by J.G. Webster (Wiley, New York 2006) pp. 536–547 D.B. Camarillo, T.M. Krummel, J.K. Salisbury: Robotic technology in surgery: past, present and future, Am. J. Surgery 188(4), 2–15 (2004), Supplement 1 E. Dummermuth: Advanced diagnostic methods in process control, ISA Trans. 37(2), 79–85 (1998) V. Potkonjak, S. Tzafestas, D. Kostic: Concerning the primary and secondary objectives in robot task definition – the learn from humans principle, Math. Comput. Simul. 54, 145–157 (2000) A. Halme, T. Luksch, S. Ylonen: Biomimicing motion control of the WorkPartner robot, Ind. Robot 31(2), 209–217 (2004) D.G. Perrin: It’s all about learning, Int. J. Instruct. Technol. Dist. Learn. 1(7), 1–2 (2004) K.M.G. Taylor, G. Harding: Teaching, learning and research in McSchools of Pharmacy, Pharm. Educ. 2(2), 43–49 (2002) N.E. Gibbs: The SEI education program: the challenge of teaching future software engineers, Commun. ACM 32(5), 594–605 (1989)

17.41

17.42

17.43

17.44

17.45

17.46

17.47

17.48

17.49

17.50 17.51

17.52

17.53 17.54

17.55

17.56

17.57

C.D. Grant, B.R. Dickson: New approaches to teaching and learning for industry-based engineering professionals, Proc. 2002 ASEE Annu. Conf. Expo., session 2213 (2002) Z. Turk: Multimedia: providing students with real world experiences, Autom. Constr. 10, 247–255 (2001) B. Muir: Trust between humans and machines, and the design of decision aids, Int. J. Man–Mach. Stud. 27, 527–539 (1987) J. Lee, K. See: Trust in automation: designing for appropriate reliance, Hum. Factors 46(1), 50–80 (2004) J. Lee, N. Moray: Trust and the allocation of function in the control of automatic systems, Ergonomics 35, 1243–1270 (1992) E.L. Wiener, R.E. Curry: Flight deck automation: promises and problems, Ergonomics 23(10), 995– 1011 (1980) C.D. Wickens, R. Marsh, M. Raby, S. Straus, R. Cooper, C.L. Hulin, F. Switzer: Aircrew performance as a function of automation and crew composition: a simulator study, Proc. Hum. Factors Soc. 33rd Annu. Meet., Santa Monica (Human Factors Society, 1989) pp. 792–796 J. Lee, N. Moray: Trust, self-confidence, and operators’ adaptation to automation, Int. J. Hum.– Comput. Stud. 40, 153–184 (1994) A. Uggirala, A.K. Gramopadhye, B.J. Melloy, J.E. Toler: Measurement of trust in complex and dynamic systems using a quantitative approach, Int. J. Ind. Ergon. 34, 175–186 (2004) H.-H. Erbe: Introduction to low cost/cost effective automation, Robotica 21, 219–221 (2003) J.Z. Sasiadek, Q. Wang: Low cost automation using INS/GPS data fusion for accurate positioning, Robotica 21, 255–260 (2003) J.G. Morrison, J.P. Gluckman: Definitions and prospective guidelines for the application of adaptive automation. In: Human Performance in Automated Systems: Current Research and Trends, ed. by M. Mouloua, R. Parasuraman (Lawrence Erlbaum, Hillsdale 1994), pp. 256–263 W.B. Rouse: Adaptive aiding for human/computer control, Hum. Factors 30, 431–443 (1988) S.G. Hart, L.E. Staveland: Development of the NASA-TLX (task load index): results of empirical and theoretical research. In: Human Mental Workload, ed. by P.A. Hancock, N. Meshkati (Elsevier, Amsterdam 1988) S.F. Scallen, P.A. Hancock: Implementing adaptive function allocation, Int. J. Aviat. Psychol. 11(2), 197– 221 (2001) D.B. Kaber, J.M. Riley, K.-W. Tan, M. Endsley: On the design of adaptive automation for complex systems, Int. J. Cogn. Ergon. 5(1), 37–57 (2001) Y. Mineo, Y. Suzuki, T. Niinomi, K. Iwatani, H. Sekiguchi: Safety assessment of factory automa-

303

Part B 17

17.25

References

304

Part B

Automation Theory and Scientific Foundations

Part B 17

17.58

17.59 17.60

17.61

17.62 17.63

17.64

tion systems, Electron. Commun. Jap. Part 3, 83(2), 96–109 (2000) T. Inagaki: Design of human–machine interactions in light of domain-dependence of humancentered automation, Cogn. Tech. Work 8, 161–167 (2006) T. Inagaki: Automation and the cost of authority, Int. J. Ind. Ergon. 31, 169–174 (2003) D. Norman: The problem with automation: inappropriate feedback and interaction, not overautomation, Proc. R. Soc. Lond. B237 (1990) pp. 585–593 R.D. Sorkin, D.D. Woods: Systems with human monitors: a signal detection analysis, Hum.– Comput. Interact. 1, 49–75 (1985) R.D. Sorkin: Why are people turning off our alarms?, J. Acoust. Soc. Am. 84, 1107–1108 (1988) R.D. Sorkin, B.H. Kantowitz, S.C. Kantowitz: Likelihood alarm displays, Hum. Factors 30, 445–459 (1988) C.A. Phillips: Human Factors Engineering (Wiley, New York 2000)

17.65

17.66

17.67

17.68

17.69

17.70

17.71

J. Rasmussen: Information Processing and Human–Machine Interaction (North-Holland, New York 1986) J.M. Haight, V. Kecojevic: Automation vs. human intervention: What is the best fit for the best performance?, Process. Saf. Prog. 24(1), 45–51 (2005) R. Parasuraman, V. Riley: Humans and automation: use, misuse, disuse, and abuse, Hum. Factors 39(2), 230–253 (1997) B.H. Kantowitz, J.L. Campbell: Pilot workload and flight deck automation. In: Automation and Human Performance – Theory and Applications, ed. by R. Parasuraman, M. Mouloua (Lawrence Erlbaum Associates, Mahwah 1996) pp. 117–136 J. Cernetic: On cost-effectiveness of humancentered and socially acceptable robot and automation systems, Robotica 21, 223–232 (2003) S. Zuboff: In the Age of the Smart Machine: The Future of Work and Power (Basic Books, New York 1988) S. Sastry: A SmartSpace for automation, Assem. Autom. 24(2), 201–209 (2004)

305

What Can Be 18. What Can Be Automated? What Cannot Be Automated?

The question of what can and what cannot be automated challenged engineers, scientists, and philosophers even before the term automation was defined. While this question may also raise ethical and educational issues, the focus here is scientific. In this chapter the limits of automation and mechanization are explored and explained in an effort to address this fundamental conundrum. The evolution of computer languages to provide domain-specific solutions to automation design problems is reviewed as an illustration and a model of the limitations of mechanization. The

18.1 The Limits of Automation ...................... 305 18.2 The Limits of Mechanization .................. 306 18.3 Expanding the Limit ............................. 309 18.4 The Current State of the Art ................... 311 18.5 A General Principle ............................... 312 References .................................................. 313

current state of the art and a general automation principle are also provided.

18.1 The Limits of Automation The recent (1948) neologism automation comes from autom(atic oper)ation. The term automatic means selfmoving or self-dictating (Greek aut´omatos) [18.1]. The Oxford English Dictionary (OED) defines it as Automatic control of the manufacture of a product through a number of successive stages; the application of automatic control to any branch of industry or science; by extension, the use of electronic or other mechanical devices to replace human labor. Thus, the primary theoretical limit of automation is built into the denotative meaning of the word itself. Automation is all about self-moving or self-dictating as opposed to self-organizing. Another way of saying this is that the very notion of automation is based upon, and thus limited by, its own mechanical metaphor. In fact, most people would agree that, if an automated process began to self-organize into something else, then it would not be a very good piece of automation per se. It would perhaps be a brilliant act of creating a new form of life (i. e., a self-organizing system), but that is certainly not what automation is all about.

Automation is fundamentally about taking some process that itself was created by a life process and making it more mechanical or in the modern computing metaphor hard-wired, such that it can be executed without any volitional or further expenditure of life-process energy. This phenomenon can even be seen in living organisms themselves. The whole point of skill-building in humans is to drive brain and other neural processes to become more nearly hard-wired. It is well known that, as the brain executes some particular circuit more and more, it hard-wires itself by growing more and more synaptic connections. This, in effect, automates a volitional process by making it more mechanical and thus more highly automated. Such nerve training is driven by practice and repetition to achieve greater performance levels in athletes and musicians. This is also what happens in our more conscious processes of automation. We create some new process and then seek to automate it by making it more mechanical. An objection to this notion might be to say that the brain itself is a mechanism, albeit a very complex one, and since the brain is capable of this self-organizing or volitional behavior how can we make this distinc-

Part B 18

Richard D. Patton, Peter C. Patton

306

Part B

Automation Theory and Scientific Foundations

tion? I think there are primarily two and possibly a third answer to this, depending on one’s belief system.

Part B 18.2

1. For those who believe that there is life after death it seems to me conclusive to say that, if we live on then, there must be a distinction between our mind and our brain. 2. For almost everyone else there is good research evidence for a distinction between the mind and the brain. This can especially be seen in people with obsessive compulsive disorder and, in fact, The Mind and the Brain is very persuasive in showing that a person has a mind which is capable of programming their brain or overcoming some faulting programming of their brain – even when the brain has been hard-wired in some particularly un-useful way [18.2]. 3. However, the utter materialist would further argue that the mind is merely an artifact of the elec-

trochemical function of the brain as an ensemble of neurons and synapses and does not exist as a separate entity in spite of its phenomenological differences in functionality. In any case, as long as we acknowledge that there is some operational degree of distinction between the mind as analogous to software and the brain as analogous to hardware, then we simply cannot determine to what extent the mind and the brain together are operating as a mechanism and to what extent they are operating as a self-organizing open system. The ethical and educational issues related to what can and cannot be automated, though important, are outside of the scope of this chapter. Readers are invited to read Chap. 47 on Ethical Issues of Automation Management, Chap. 17 on The Human Role in Automation, and Chap. 44 on Education and Qualification.

18.2 The Limits of Mechanization So, another way of asking what are the limits of automation is to ask what are the limits of mechanization? or, what are machines ultimately capable of doing autonomously? But what does mechanical mean? Fundamentally it means linear or stepwise, i. e., able to carry out an algorithmically defined process having clear inputs and clear outputs. The well-known Carnot circle used by the military engineer and founder of thermodynamics L. N. S. Carnot (1796–1832) to describe the heat engine and other mechanical systems (Fig. 18.1) separates the engine or system under study from the rest of the universe by a circle with an arrow in for input and an arrow out for output. This is a simple but powerful philosophical (and graphical) tool

The rest of the universe

In

The system under study

Out

Fig. 18.1 The Carnot circle as a system definition tool

for understanding the functions and limits of systems and familiar to every mechanical and systems engineer. More complex mechanical processes may have to go beyond strictly linear algorithmic control to include negative feedback, but still operate in such a way that they satisfy a clear objective function or goal. When the goal requires extremely precise positioning of the automation, a subprocess or correction function called dither is added to force the feedback loop to hunt for the precise solution or positioning in a heuristic manner but still subsidiary to and controlled by the algorithm itself. In any case, mechanical means non-context-sensitive and discrete, even if it involves dither. Machine theory is basically the opposite of general system theory. And by a general system we mean an open system, i. e., a system that is capable of locally overcoming entropy and is self-organizing. Today such systems are typically referred to as complex adaptive systems (CAS). An open system is fundamentally different from a machine. The core difference is that an open system is nonlinear. That is, in general, everything within the system is sensitive to its entire context, i. e., to everything else in the system, not just the previous step in an algorithm. This is most easily seen in quantum theory with the two-slit experiment. Somehow the single electron is aware that there are two slits rather than one and thus behaves like a wave rather than a particle.

What Can Be Automated? What Cannot Be Automated?

dQ 1 / dt = f 1 (Q 1 , Q 2 , . . ., Q n ) dQ 2 / dt = f 2 (Q 1 , Q 2 , . . ., Q n ) ... dQ n / dt = f n (Q 1 , Q 2 , . . ., Q n ) . Change of any measure Q i is therefore a function of all Q, from Q 1 to Q n ; conversely, change of any Q i entails change of all other measures and of the system as a whole [18.3]. What has been missing from the Strong Artificial Intelligence (AI) debate and indeed from most of Western thought is the nature of open systems and their propensity to generate emergent behavior. We are still

mostly caught up in the mechanical metaphor believing that it is possible to mechanize or model any system using machines or algorithms, but this is exactly the opposite of what is actually happening. It is systems themselves that use a mechanizing process to improve their performance and move onto higher levels of emergent behavior. However, it is no wonder that we are so caught up in the mechanical metaphor, as it is the very basis of the industrial revolution and is thus responsible for much of our wealth today. In the field of business application software this peripheral blindness (or, rather, intense focus) has led to huge failures in building complex business application software systems. The presumption is that building a software system is like building a bridge; that it is like a construction project; that it is fundamentally an engineering problem where there is a design process and then a construction process where the design process can fully specify something that can be constructed using engineering principles; more specifically, that there is some design process done by designers and that the construction process is the process of programming done by programmers. However, it is not. It is really a design problem through and through and, moreover, a design problem that does not have general-purpose engineering-like principles and solutions that have already been reduced to code by years of practice. It is a design problem of pure logic where the logic can only be fully specified in a completed program where the construction process is then simply running a compiler against the program to generate machine instructions that carry out the logic.

1st Generation

Machine code

2nd Generation

Assembler

≈10× over 1st generation

Machine specific symbolic languages

Allowed complex operating systems to be built – cost was built into hardware price.

High level language

≈10× over 2nd generation

C, COBOL, FORTRAN

Allowed for independent software companies to become profitable.

High-level language integrated with a (virtual) machine environment

≈10× 10× over 3rd generation

3rd Generation

4th Generation

Visual Basic, Powerbuilder, Java

“5th Generation”

Non-existent as a general purpose language must be domain-specific Lawson Landmark (Business Applications)

Greatly increased the scale of how large a software company could grow to.

10–20× over 4th generation

Fig. 18.2 Software language genera-

tions

307

Part B 18.2

In essence, the single electron’s behavior is sensitive to the entire experimental context. Now imagine a human brain with 100 billion neurons interconnected with some 100 trillion synapses, where even local synaptic structures make their own locally complex circuits. The human brain is also bathed in chemicals that influence the operation of this vast network of neurons whose resultant behavior then influences the mix of chemicals. And then there are the hypothesized quantum effects, giving rise to potential nonlocal effects, within any particular neuron itself. This is clearly a vastly different sort of thing than a machine: a difference in degree of context sensitivity that amounts to a difference in kind. One way to illustrate this mathematically is to define a system of simultaneous differential equations. Denoting some measure of elements, pi (i = 1, 2, . . ., n), by Q i , these, for a finite number of elements and in the simplest case, will be of the form

18.2 The Limits of Mechanization

308

Part B

Automation Theory and Scientific Foundations

Part B 18.2

There are no general-purpose solutions to all logic design problems. There are only special-purpose domain-specific solutions. This can be seen in the evolution, or lately lack thereof, of computer languages. We went from first-generation languages (machine code) to second-generation languages (assembler) to third-generation languages (FORTRAN, COBOL, C) to fourth-generation languages (Java, Progress) where each generation represented some tenfold productivity improvement in our ability to produce systems (Fig. 18.2). This impressive progression however slowed down and essentially stopped dead in its tracks some 20 years ago in its ability to significantly improve productivity. Since then there have been many attempts to come up with the next (fifth) generation language or general-purpose framework for dramatically improving productivity. In the 1980s computer-aided software engineering (CASE) tools were the hoped-for solution. In the 1990s object-oriented frameworks looked promising as the ultimate solution and now the industry as a whole seems primarily focused on the notion of serviceoriented architecture (SOA) as our best way forward. While these attempts have helped boost productivity to some extent they have all failed to produce an order of magnitude shift in the productivity of building software systems (Fig. 18.3). What they all have in common is the continuation of the mechanical metaphor. They are all attempts at general-purpose engineering-like solutions to this problem. General-purpose languages can only go so far in solving the productivity problem. However, special-purpose languages or domain-specific languages (DSL) take us much farther. There are multiDeveloper productivity (log scale)

Quality adaptability innovation

• The industry has only made incremental progress from 4GLs for 20+ years • Creating a 5GL works only for a specific problem domain

5GL

4GL (VB, Powerbuilder)

SOA Objects CASE

3GL (C, COBOL)

General purpose & broad

Fig. 18.3 Productivity progression of languages

Vertical domain-specific language 20× improvement

ple categories of DSLs. There are horizontal DSLs that address some functional layer, like SQL does for data access or UML does for conceptual design (as opposed to the detail design which is the actual complete program in this metaphor). And there are vertical DSLs which address some topical area such as mathematical analysis, molecular modeling or business process applications. There is also the distinction between a DSL and a domain-specific design language (DSDL). All DSDLs are DSLs but not all DSLs are DSDLs (a prescission distinction in Peirce’s nomenclature [18.4]). A design language is distinct from a programming or implementation language in that in a design language everything is defined relative to everything else. This is like the distinction between a design within a CAD system and the actually built component. In the CAD system one can move a line and everything changes around it. In the actually built component that line is a physical entity in some hardened structural relationship. Then there is the further prescission distinction of a pattern language versus a DSDL. A pattern language is a DSDL that has built-in syntax that allows for specific design solutions to be applied to specific domain problems. This tends to be the most vertically domain-specific and also the most powerful sort of language for improving the productivity of building systems. Lawson Landmark is one example of a pattern language that delivers a 20 times improvement over fourth-generation languages in its particular domain. It is not possible to mechanize or model an entire complex system. We can only mechanize aspects of any particular system. To mechanize the entire system is to destroy the system as such; i. e., it is no longer a system. Analysis of a system into its constituent parts loses its essence as a system, if a system is truly more than the sum of its parts. That is, the mechanistic model lacks the system’s inherent capability for self-organization and thus adaptability, and thus becomes more rigid and more limited in its capabilities, although, perhaps, much faster. And the limit to what aspects of a system can be mechanized is really the limit of our cognitive ability to model those aspects. In other words it is a design problem. In general the theoretical limit of mechanization is always the current limit of our capacity to design linear stepwise models in any particular solution domain. Thus the key to what can be automated is the depth of our knowledge and understanding within any specific problem domain, the depth required being that depth necessary to be able to design automatons that fit, where fit is determined both by the automaton actually producing what is expected as well as fitting appropriately

What Can Be Automated? What Cannot Be Automated?

Organisms are not machines, but they can to a certain extent become machines, or perhaps congeal into machines. Never completely, however, for a thoroughly mechanized organism would be incapable of reacting to the incessantly changing conditions of the outside world. The principle of progressive mechanization expresses the transition from undifferentiated wholeness to higher function, made possible by specialization and division of labor; this principle also implies loss of potentialities in the components and of regularity in the whole [18.5].

18.3 Expanding the Limit Human business processes (buying, selling, manufacturing, invoicing, and so on), like actual organisms, operate fundamentally as open systems or rather complex adaptive system (CAS). Other examples of CAS are cities, insect colonies, and so on. The architecture of an African termite mound requires more bits to describe than the number of neurons in a termite brain. So, where do they store it? In fact, they do not, because each termite is programmed as an automaton to instinctively perform certain functions under certain stimuli and the combined activity of the colony produces and maintains the mound. Thus, the entire world is fundamentally made up of vastly complex interacting systems. When we automate we are ourselves engaging in the principle of progressive mechanization. We are mechanizing some portion or aspect of a system. We can only mechanize those aspects that are themselves already highly specialized within the system itself or that we can make highly specialized; for example, it is possible to make a mechanical heart but it is impossible to make a mechanical stem cell or a mechanical human brain. It is possible to make a mechanical payroll program but impossible to make a mechanical company that responds to dynamic market forces and makes a profit. The result of this is that any mechanization requires specific understanding of some particular specialization within some particular system. In other words, there are innumerable specific domains of specialization within all of the complex interacting systems in the world. And thus any mechanization is inherently domain- pecific and requires detailed domain knowledge. The process of mechanization is therefore fundamentally a domain-specific design problem. Thus, the limit of mechanization is our ability to comprehend some particular aspect of a sys-

tem well enough to be able to extract some portion or aspect of that system in which it is possible to define a boundary or Carnot circle with clear inputs and clear outputs along with a transfer function mapping those inputs to those outputs. If we cannot enumerate the inputs and outputs and then describe what inputs result in what outputs then we simply cannot automate the process. This is the fundamental requirement of mechanization. This does not, however, mean that we are limited to our current simple mechanical metaphor of a machine as a set of inputs into a box that transforms those inputs into their associated outputs. Indeed the next step of mechanization under way is to try to mimic aspects of how a system itself works. One aspect of this is the object-oriented revolution in software, which itself grew out of the work done in complex adaptive systems research. Unfortunately, the prevailing simple mechanical metaphor and lack of understanding of the nature of CAS has blunted the evolution of object-oriented technology and limited its impact. Object-oriented concepts have taken us from a simplistic view of a machine as a black box process or function F as shown in Fig. 18.4, in which (outputs = F(inputs)), to conceptualizing a machine as an interacting set of agents or objects. These concepts have allowed us to manage higher levels of complexity in the machines we build but they have not taken us to a higher order of magnitude in our ability to mecha-

Input

The Black Box

Fig. 18.4 The engineer’s black box

Output

309

Part B 18.3

within the system as a whole; i. e., if the automation disturbs the system that it is within beyond some threshold then the very system that gives rise to the useful automaton will change so dramatically that the automation is no longer useful. An example of this might be a software program for paying employees. If this program does pay employees correctly but requires dramatic changes to the manner in which time card information is gathered such that it becomes too great a burden on some part of the system then the program will likely soon be surrounded by organizational T cells and rejected.

18.3 Expanding the Limit

310

Part B

Automation Theory and Scientific Foundations

nize complex systems. In the realm of business process software what has been missing is the following:

Part B 18.3

1. The full realization that what we are modeling with business process software is really a portion or aspect of a complex adaptive system 2. That this is fundamentally a logic design problem rather than an engineering problem 3. That, in this case, the problem domain is primarily about semiotics and pragmatics, i. e., the nature of sign processes and the impact on those who use them Fortunately there is a depth of research in these areas that provides guidance toward more powerful techniques and tools for making further progress. John Holland, the designer of the Holland Machine, one of the first parallel computers, has done extensive research into CAS and has discovered many basic principles that all CAS seem to have in common. His work led to object-oriented concepts; however, the object-oriented community has not continued to seek to implement his further discoveries and principles regarding CAS into object-oriented computing languages. One very useful concept is how CAS systems use rule-block formations in driving their behavior and the nature of how adaptation continues to build rule-block hierarchies on top of existing rule-block structures [18.6]. Christopher Alexander [18.7] is a building architect who discovered the notion of a pattern language for designing and constructing buildings and cities [18.8]. In general, a pattern language is a set of patterns or design solutions to some particular design problem in some particular domain. The key insight here is that design is a domain-specific problem that takes deep understanding of the problem domain and that, once good design solutions are found to any specific problem, we can codify them into reusable patterns. A simple example of a pattern language and the nature of domain specificity is perhaps how farmers go about building barns. They do not hire architects but rather get together and, through a set of rules of thumb based on how much livestock they have and storage and processing considerations, build a barn with such and such dimensions. One simple pattern is that, when the barn gets to be too long for just doors on the ends, they put a door in the middle. Now, can someone use these rules of thumb or this pattern language to build a skyscraper in New York? Charles Sanders Peirce was a 19th century American philosopher and semiotician. He is one of the founders of the quintessential American philosophy

known as pragmatism, and came up with a triadic semiotics based on his metaphysical categories of firstness, secondness, and thirdness [18.9]. Firstness is the category of idea or possibility. Secondness is the category of brute fact or instance, and thirdness is the category of laws or behavior. Peirce argues that existence itself requires these categories; that they are in essence a unity and that there is no existence without these three categories. Using this understanding one could argue that this demystifies to some extent the Christian notion of God being a unity and yet also being triune by understanding the Father as firstness, the Son as secondness, and the Holy Spirit as thirdness. Thus the trinity of God is essentially a metaphysical statement about the nature of existence. Peirce goes on to build a system of signs or semiotics based on this triadic structure and in essence argues that reality is ultimately the stuff of signs; e.g., God spoke the universe into existence. At first one could seek to use this notion to support the Strong AI view that all intelligence is symbol representation and symbol processing and thus a computer can ultimately model this reality. However, a key concept of Peirce is that the meaning of a sign requires an interpretant, which itself is a sign and thus also requires a further interpretant to give it meaning in a never-ending process of meaning creation; that is, it becomes an eternally recursive process. Another way of viewing this is to say that this process of making meaning is ultimately an open system. And while machines can model and hence automate closed systems they cannot fully model open systems. Peirce’s semiotics and his notion of firstness, secondness, and thirdness provide the key insights required for building robust ontology models of any particular domain. The key to a robust ontology model is not that it is right once and for all but rather that it can be done using a design language and that it has perfect fidelity with the resulting execution model. An ontology model is never right; it is just more and more useful. This is because of the notion of the relativity of categories. Perception is universally human, determined by man’s psychophysical equipment. Conceptualization is culture-bound because it depends on the symbolic systems we apply. These symbolic systems are largely determined by linguistic factors, the structure of the language applied. Technical language, including the symbolism of mathematics, is, in the last resort, an efflorescence of everyday language, and so will not be independent of the structure of the latter. This, of course, does not mean that the content of mathematics is true only within a certain culture. It is a tautologi-

What Can Be Automated? What Cannot Be Automated?

1. CAS are so complex that we cannot possibly understand large portions of them perfectly ab initio. We need to model them, explore them, and then improve them. 2. CAS are continually adapting. They are continually changing and thus, even if we perfectly modeled some aspect of a CAS, it will change and our model will become less and less useful. In order to do this we need a DSDL capable of quickly building high-fidelity models. That is, models which are themselves the drivers of the actual execution of automation. In order for the model of the CAS to ultimately actually drive the execution it is critical that the ontology

model be in perfect fidelity with the execution model. Today there is a big disconnect between what one can build in an analysis model and the resultant executing code or execution model. This is analogous to having a design of a two-storey home on a blueprint and then having the result become a three-storey office building. What is required is a design language that can both model the ontology in its full richness as the analysis model but also be able to model the full execution in perfect fidelity with the ontology model. In essence this means a single model that fully incorporates firstness, secondness, and thirdness in all their richness that can then either be fully interpreted on a machine or fully generated to some other machine language or a combination of both. Only with such a powerful design or pattern language can we overcome the inherent limitations we have in our ability to comprehend the workings of some particular CAS, model, and then automate those portions that can be mechanized and continue to keep pace with the continually evolving CAS that we are seeking to progressively mechanize.

18.4 The Current State of the Art The computing industry in general is still very much caught up in the mechanical metaphor. While objectoriented design and programming technology is more than decades old, and there is a patterns movement in the industry that is just over a decade old, there are very few examples of this new DSDL technology in use today. However, where it has been used the results have been dramatic, i. e., on the order of a 20-fold reduction of complexity as measured in lines of code. Lawson LandmarkTM is such a pattern language intended for the precise functional specification of business enterprise computer applications by domain specialists rather than programmers [18.11]. The result of the domain expert’s work is then run through a metacompiler to produce Java code which can be loaded and tested against a transaction script also prepared by the same or another domain expert (i. e., accountant, supply-chain expert, etc.). The traditional application programmer does not appear in this modern business application development scenario because his or her function has been automated. It has taken nearly 50 years for specification-based programming to arrive at this level of development and utility and several other aggressive attempts at

automation of intellectual functions have taken as long. Automation of human intellectual functions is basically an aspect of AI, and the potential of AI is basically a philosophical rather than a technical question. The two major protagonists in this debate are the philosopher Hubert Dreyfus [18.12, 13] and Ray Kurzweil [18.14–16], an accomplished engineer. Dreyfus argues that computers will never ever achieve anything like human intelligence simply because they do not have consciousness. He gives the argument from what he calls associative or peripheral consciousness in the human brain. Kurzweil has written extensively as a futurist arguing that computers will soon exceed human intelligence and even develop spiritual capabilities. Early in the computer era Herbert Simon hypothesized that a computer program that was able to play master-level chess would be exhibiting intelligence [18.17]. Dreyfus and other Strong AI opponents argue that it is not, because the chess program does not automatically play chess like a human does and therefore does not exhibit AI at all. They go on to show that is impossible for a program to play an even simpler game like Go well using this same technology. Play-

311

Part B 18.4

cal system of a hypothetico-deductive nature, and hence any rational being accepting the premises must agree to all its deductions [18.10]. The most critical aspect of achieving the theoretical limit of automation is the ability to continue to make execution models that are more and more useful. And this is true for two reasons:

18.4 The Current State of the Art

312

Part B

Automation Theory and Scientific Foundations

Part B 18.5

ing chess was the straw man set up by Herbert Simon in the mid-1950s to be the benchmark of AI, i. e., if a computer could beat the best human chess player, then it would show true intelligence, but it actually does not. It took nearly 50 years to develop a special-purpose computer able to beat the leading human chess master, Gary Kasparov, but it does not automate or mechanize human intelligence to do so. In fact, it just came up with a different design for a computational algorithm that could play chess. This is analogous to the problem of flying. We did not copy how a bird flies but rather came up with a special-purpose design that suited our particular requirements. The Strong AI hypothesis appears to assume that all human thought, or at least intelligent thought, can be reduced to computation, and since computers can compute orders of magnitude faster than humans they will soon, says Ray Kurzweil, exhibit human-like intelligence, and eventually intelligence even superior to that of humans. Of course, the philosophers who gainsay the Strong AI hypothesis argue that not all intelligent human thought and its consequent behavior can be reduced to simple computation, or even logic. An early goal of AI was to mechanize the function of an autonomous vehicle, that is, to give the vehicle a goal or set of objectives and the algorithms needed to accomplish them and let it function. The annual autonomous vehicle race in the Nevada desert shows that at least simple goals can be met autonomously or at least as well as a mildly intoxicated human driver. The semiautonomous Mars rovers show off this technology even better but still fall far short of being intelligent. Another of the early intrinsically human activities that AI researchers tried to automate was the typewriter. Prof. Marvin Minsky started his AI career as a graduate student at MIT in the mid-1950s working on a voice typewriter to type military correspondence for the Department of Defense (DoD). Now, more than 50 years

later, the technology is modestly successful as a software program. At the same time Prof. Anthony Oettenger did his dissertation at the Harvard Computation Laboratory on automatic language translation with the Automatic Russian–English Dictionary. It was modestly successful for a narrow genre of Russian technical literature and created the whole new field of computational linguistics. Today, more than 50 years later, a similar technology is available as a software program for Russian and a few other languages with a library of genre-specific and technical-area-specific vocabulary plug-ins sold separately. The best success story on automatic language translation today is the European Economic Community (EEC), which writes its memos in French in the Brussels headquarters and converts them into the 11 languages of the EEC and then sends them out to member nations. French bureaucratese is a very narrow language genre and probably the easiest case for autotranslation automation due not only to the genre but the source language. No one has ever suggested that Eugene Onegin will ever be translated automatically from Russian to English, since so far it has even resisted human efforts to do so. Amazing as it may seem, Shakespeare’s poetry translates beautifully to Russian but Pushkin’s does not translate easily to English. Linguists are divided, like philosophers, on whether computational linguistics technology will ever really achieve true automation, but we think that it probably will, subject to human pre- and post-editing in actual volume production practice, and then only for very narrow subject areas in a few restricted genres. Technical prose in which the translator is only copying one fact at a time from one language to another will be possible, but poetry will always be too complex, since poetry always violates the rules of grammar of its own source language.

18.5 A General Principle What we need is a general principle which will cleanly divide all the things that can be done into those which can be automated and those which cannot be automated. You cannot automate what you cannot do manually, but the converse it not true, since you cannot always automate everything you can do manually [18.4, 18, 19]. However, this principle is much too blunt. In his book Darwin’s Black Box Professor Michael Behe argued

from the principle of irreducible complexity that neoDarwinism was inadequate to explain the development of the eye or of the endocrine system because too many mutations and their immediate useful adaptations had to happen at once, since each of 20 or more required mutations would have no competitive advantage in adaptation individually [18.20]. In his second book The Edge of Evolution he sharpens his principle signifi-

What Can Be Automated? What Cannot Be Automated?

modeled to some extent mechanistically. Malaria can become resistant to a new antibiotic in weeks by Darwin’s black box if it requires only a one-gene change, however in 10 000 years malaria has not been able to overcome the cell-cycle mutation in humans because it would require too many concurrent mutations. We conclude that anything that can be reduced to an algorithm or computational process can be automated, but that some things, like most human thought and most functions of complex adaptive systems, are not reducible to a logical algorithm or a computational process and therefore cannot be automated.

References 18.1

18.2

18.3

18.4 18.5

18.6 18.7 18.8 18.9

18.10

American Machinist, 21 Oct. 1948. Creation of the term automation is usually attributed to Delmar S. Harder J.M. Schwartz, S. Begley: The Mind and the Brain: Neuroplasticity and the Power of Mental Force (Harper, New York 2002) K.L. von Bertalanffy: General System Theory: Foundations, Development, Applications (George Braziller, New York 1976) p. 56 D.D. Spencer: What Computers Can Do (Macmillan, New York 1984) K.L. von Bertalanffy: General System Theory: Foundations, Development, Applications (George Braziller, New York 1976) p. 213, revised edition J. Holland: Hidden Order, How Adaptation Builds Complexity (Addison-Wesley, Reading 1995) C. Alexander: The Timeless Way of Building (Oxford, New York 1979) C. Alexander: A Pattern Language (Oxford, New York 1981) C. S. Peirce: Collected Papers of Charles Sanders Peirce, Vols. 1–6 ed. by C. Hartshorne, P. Weiss, 1931–1935; Vols. 7–8 ed. by A. W. Burks, (Harvard University Press, Cambridge 1958) K.L. von Bertalanffy: General System Theory: Foundations, Development, Applications (George Braziller, New York 1976) p. 237

18.11

18.12

18.13 18.14 18.15

18.16 18.17 18.18

18.19 18.20 18.21

B.K. Jyaswal, P.C. Patton: Design for Trustworthy Software: Tools, Techniques and Methodology of Producing Robust Software (Prentice-Hall, Upper Saddle River 2006) p. 501 H. Dreyfus: What Computers Can’t Do: A Critique of Artificial Intelligence (Harper Collins, New York 1978) H. Dreyfus: What Computers Still Can’t Do: A Critique of Artificial Reason (MIT Press, Cambridge 1992) R. Kurzweil: The Age of Intelligent Machines (MIT Press, Cambridge 1992) R. Kurzweil: The Age of Spiritual Machines: When Computers Exceed Human Intelligence (Viking, New York 1999) R. Kurzweil: The Singularity is Near: When Humans Transcend Biology (Viking, New York 2005) H. Simon: Perception in chess, Cognitive Psychol. 4, 11–27 (1973) B. W. Arden (Ed.): What Can Be Automated?, The Computer Science and Engineering Research Study (COSERS) Ser., (MIT Press, Cambridge 1980) I.Q. Wilson, M.E. Wilson: What Computers Cannot Do (Vertex, New York 1970) M. Behe: Darwin’s Black Box: The Biochemical Challenge to Evolution (Free, New York 2006) M. Behe: The Edge of Evolution: The Search for the Limits of Darwinism (Free, New York 2007)

313

Part B 18

cantly to divide biological adaptations into those which can be explained by neo-Darwinism (single mutations) and those which cannot (multiple mutations). The refined principle, while sharper, still leaves a ragged edge between the two classes of adaptive biological systems, according to Behe [18.21]. In our case we postulate the principle of design. Anything that can be copied can be copied automatically. However, any process involving design cannot be automated and any sufficiently (i. e., irreducibly) complex adaptive system cannot be automated (as Behe shows), however simple adaptive systems can be

References

“This page left intentionally blank.”

315

Part C

Automati Part C Automation Design: Theory, Elements, and Methods

19 Mechatronic Systems – A Short Introduction Rolf Isermann, Darmstadt, Germany 20 Sensors and Sensor Networks Wootae Jeong, Uiwang, Korea 21 Industrial Intelligent Robots Yoshiharu Inaba, Yamanashi, Japan Shinsuke Sakakibara, Yamanashi, Japan 22 Modeling and Software for Automation Alessandro Pasetti, Tägerwilen, Switzerland Walter Schaufelberger (Δ), Zurich, Switzerland

26 Collaborative Human–Automation Decision Making Mary L. Cummings, Cambridge, USA Sylvain Bruni, Woburn, USA 27 Teleoperation Luis Basañez, Barcelona, Spain Raúl Suárez, Barcelona, Spain 28 Distributed Agent Software for Automation Francisco P. Maturana, Mayfield Heights, USA Dan L. Carnahan, Mayfield Heights, USA Kenwood H. Hall, Mayfield Heights, USA

23 Real-Time Autonomic Automation Christian Dannegger, Rottweil, Germany

29 Evolutionary Techniques for Automation Mitsuo Gen, Kitakyushu, Japan Lin Lin, Kitakyushu, Japan

24 Automation Under Service-Oriented Grids Jackson He, Hillsboro, USA Enrique Castro-Leon, Hillsboro, USA

30 Automating Errors and Conflicts Prognostics and Prevention Xin W. Chen, West Lafayette, USA Shimon Y. Nof, West Lafayette, USA

25 Human Factors in Automation Design John D. Lee, Iowa City, USA Bobbie D. Seppelt, Iowa City, USA

316

Automation Design: Theory, Elements, and Methods Part C From theory to building automation machines, systems, and systems-of-systems this part explains the fundamental elements of mechatronics, sensors, robots, and other components useful for automation, and how they are combined with control and automation software, including models and techniques for automation software engineering, and the automation of the design process itself. Design theories and methods cover also soft automation, automation modeling and programming languages, real-time and autonomic techniques, and emerging networking and service grids for automation. Human factors engineering and science in the design of automation, including interaction and interface design, and issues of trust and collaboration focus on systems and infrastructures integrating people with decision-support and with teleoperated, remote automatic equipment. Also in this part are advanced design methods and tools of distributed agents, evolutionary techniques and computing algorithms for automation, and design of eight key automation functions to prevent or recover from errors and conflicts, to assure automation reliability and sustainability.

317

Rolf Isermann

Many technical processes and products in the area of mechanical and electrical engineering show increasing integration of mechanics with digital electronics and information processing. This integration is between the components (hardware) and the information-driven functions (software), resulting in integrated systems called mechatronic systems. Their development involves finding an optimal balance between the basic mechanical structure, sensor and actuator implementation, and automatic information processing and overall control. Frequently formerly mechanical functions are replaced by electronically controlled functions, resulting in simpler mechanical structures and increased functionality. The development of mechatronic systems opens the door to many innovative solutions and synergetic effects which are not possible with mechanics or electronics alone. This technical progress has a very strong influence on a multitude of products in the areas of mechanical, electrical, and electronic engineering and is increasingly changing the design, for example, of conventional electromechanical components, machines, vehicles, and precision mechanical devices.

19.1 From Mechanical to Mechatronic Systems 317 19.2 Mechanical Systems and Mechatronic Developments ............. 19.2.1 Machine Elements, Mechanical Components ................................ 19.2.2 Electrical Drives and Servo Systems . 19.2.3 Power-Generating Machines ......... 19.2.4 Power-Consuming Machines ......... 19.2.5 Vehicles ...................................... 19.2.6 Trains ......................................... 19.3 Functions of Mechatronic Systems .......... 19.3.1 Basic Mechanical Design ............... 19.3.2 Distribution of Mechanical and Electronic Functions ............... 19.3.3 Operating Properties..................... 19.3.4 New Functions ............................. 19.3.5 Other Developments .....................

319 319 319 320 320 321 321 321 321 321 322 322 322

19.4 Integration Forms of Processes with Electronics.................................... 323 19.5 Design Procedures for Mechatronic Systems........................ 325 19.6 Computer-Aided Design of Mechatronic Systems ......................... 328 19.7 Conclusion and Emerging Trends ........... 329 References .................................................. 329

19.1 From Mechanical to Mechatronic Systems Mechanical systems generate certain motions or transfer forces or torques. For the oriented command of, e.g., displacements, velocities or forces, feedforward and feedback control systems have been applied for many years. The control systems operate either without auxiliary energy (e.g., a fly-ball governor), or with electrical, hydraulic or pneumatic auxiliary energy, to manipulate the commanded variables directly or with a power amplifier. A realization with added fixed wired (analog) devices turns out to enable only

relatively simple and limited control functions. If these analog devices are replaced with digital computers in the form of, e.g., online coupled microcomputers, the information processing can be designed to be considerably more flexible and more comprehensive. Figure 19.1 shows the example of a machine set, consisting of a power-generating machine (DC motor) and a power-consuming machine (circulation pump): (a) a scheme of the components, (b) the resulting sig-

Part C 19

Mechatronic S

19. Mechatronic Systems – A Short Introduction

318

Part C

Automation Design: Theory, Elements, and Methods

Part C 19.1

a)

I

Fig. 19.1a–c Schematic representation of a machine set: (a) scheme of the components; (b) signal flow diagram (two-port representation); (c) open-loop process. V – volt-

ω1

V ω2

b)

Power generating machine (DC motor)

Power electronics (actuator) IA

V

Drive train (gear unit) T1

A

Power consuming machine (pump) T2

PGM

DT ω1

VA

ω3

T3

PCM ω2

ω3

Pi

Po

c)

Energy flow

Manipulated variables

Measured

Mechanics & energy converter

Actuator Primary energy flow Pi Auxiliary energy supply

Sensors variables Consumer energy flow Po

Energy supply

Energy consumer

Man/machine interface Reference variables

Monitored variables

Information processing Manipulated variables

Measured variables

Information flow Energy flow

Primary energy flow

Auxiliary energy supply

Consumer energy flow

Energy supply

Energy consumer

Mechanical, hydraulic, thermal, electrical

nal flow diagram in two-port representation, and (c) the open-loop process with one or several manipulated variables as input variables and several measured variables as output variables. This process is characterized by different controllable energy flows (electrical, mechanical, and hydraulic). The first and last flow can be manipulated by a manipulated variable of low power (auxiliary power), e.g., through a power electronics device and a flow valve actuator. Several sensors yield measurable variables. For a mechanical–electronic system, a digital electronic system is added to the process. This electronic system acts on the process based on the measurements or external command variables in a feedforward or feedback manner (Fig. 19.2). If then the electronic and the mechanical system are merged to an autonomous overall system, an integrated mechanical– electronic system results. The electronics processes information, and such a system is characterized at least by a mechanical energy flow and an information flow. These integrated mechanical–electronic systems are increasingly called mechatronic systems. Thus, mechanics and electronics are joined. The word mechatronics was probably first created by a Japanese engineer in 1969 [19.1] and had a trademark by a Japanese company until 1972 [19.2]. Several definitions can be found in [19.3–7]. All definitions agree that mechatronics is an interdisciplinary field, in which the following disciplines act together (Fig. 19.3):

• •

Mechanics & energy converter

Actuators

age; VA – armature voltage; IA – armature current; T – torque; ω – angular frequency; Pi – drive power; Po – consumer power

Sensors



Mechanical systems (mechanical elements, machines, precision mechanics) Electronic systems (microelectronics, power electronics, sensor and actuator technology) Information technology (systems theory, control and automation, software engineering, artificial intelligence).

The solution of tasks to design mechatronic systems is performed on the mechanical as well as on the digital-electronic side. Thus, interrelations during design play an important role; because the mechanFig. 19.2 Mechanical process and information processing

develop towards a mechatronic system

19.2 Mechanical Systems and Mechatronic Developments

319

System theory Modeling Automation-technology Sofware Artificial intelligence

Part C 19.2

Mechatronic Systems – A Short Introduction

Fig. 19.3 Mechatronics: synergetic integration of different

disciplines

ical system influences the electronic system, and vice versa, the electronic system influences the design of the mechanical system (Fig. 19.4). This means that simultaneous engineering has to take place, with the goal of designing an overall integrated system (an organic system) and also creating synergetic effects. A further feature of mechatronic systems is integrated digital information processing. As well as basic control functions, more sophisticated control functions may be realized, e.g., calculation of nonmeasurable variables, adaptation of controller parameters, detection and diagnosis of faults and, in the case of failures, reconfiguration to redundant components. Hence, mechatronic systems are developing with adaptive or even learning behavior, which can also be called intelligent mechatronic systems. The developments to date can be found in [19.2, 7–11]. An insight into general aspects are given editorially in journals [19.5, 6], conference proceedings such as [19.12–17], journal articles by [19.18–21], and books [19.22–27]. A summary of research projects at the Darmstadt University of Technology can be found in [19.28].

Micro electronics Power electronics Sensors Actuators

Information technology

Electronics Mechatronics Mechanics & electromechanics

Mechanical elements Machines Precision mechanics Electrical elements

a) Conventional procedure Design construction

Mechan. system

Electronics

Separate components

b) Mechatronic procedure Design construction

Mechan. system

Electron. system

Mechatronic overall system

Fig. 19.4a,b Interrelations during the design and construction of

mechatronic systems

19.2 Mechanical Systems and Mechatronic Developments Mechanical systems can be applied to a large area of mechanical engineering. According to their construction, they can be subdivided into mechanical components, machines, vehicles, precision mechanical devices, and micromechanical components. The design of mechanical products is influenced by the interplay of energy, matter, and information. With regard to the basic problem and its solution, frequently either the energy, matter or information flow is dominant. Therefore, one main flow and at least one side flow can be distinguished [19.29]. In the following some examples of mechatronic developments are given. The area of mechanical components, machines, and vehicles is covered by Fig. 19.5.

19.2.1 Machine Elements, Mechanical Components Machine elements are usually purely mechanical. Figure 19.5 shows some examples. Properties that can be

improved by electronics are, for example, self-adaptive stiffness and damping, self-adaptive free motion or pretension, automatic operating functions such as coupling or gear shifting, and supervisory functions. Some examples of mechatronic approaches are hydrobearings for combustion engines with electronic control of damping, magnetic bearings with position control [19.30], automatic electronic–hydraulic gears [19.31], and adaptive shock absorbers for wheel suspensions [19.32].

19.2.2 Electrical Drives and Servo Systems Electrical drives with direct-current, universal, asynchronous, and synchronous motors have used integration with gears, speed sensors or position sensors and power electronics for many years. Especially the development of transistor-based voltage supplies and cheaper power electronics on the basis of transistors and thyristors with variable-frequency three-phase cur-

320

Part C

Automation Design: Theory, Elements, and Methods

Part C 19.2

Mechatronic systems

Mechatronic machine components • Semi-active hydraulic dampers • Automatic gears • Magnetic bearings

Mechatronic power producing machines

Mechatronic motion generators • Integrated electrical servo drives • Integrated hydraulic servo drives • Integrated pneumatic servo drives • Robots (multi-axis, mobile)

• Brushless DC motor • Integrated AC drives • Mechatronic combustion engines

Mechatronic power consuming machines • Integrated multi-axis machine tools • Integrated hydraulic pumps

Mechatronic automobiles • Anti-lock braking systems (ABS) • Electro hydraulic break (EHB) • Active suspension • Active front steering

Mechatronic trains • Tilting trains • Active boogie • Magnetic levitated trains (MAGLEV)

Fig. 19.5 Examples of mechatronic systems

rent supported speed control drives also for smaller power. Herewith, a trend towards decentralized drives with integrated electronics can be observed. The way of integration or attachment depends, e.g., on space requirement, cooling, contamination, vibrations, and accessibility for maintenance. Electrical servo drives require special designs for positioning. Hydraulic and pneumatic servo drives for linear and rotatory positioning show increasingly integrated sensors and control electronics. Motivations are requirements for easy-to-assemble drives, small space, fast change, and increased functions [19.33]. Multiaxis robots and mobile robots show mechatronic properties from the beginning of their design.

Combustion engines increasingly contain mechatronic components, especially in the area of actuators. Gasoline engines showed, for example, the following steps of development: microelectronic-controlled injection and ignition (1979), electrical throttle (1991), direct injection with electromechanical (1999) and piezoelectric injection valves (2003), variable valve control (2004); see, for example, [19.34]. Diesel engines first had mechanical injection pumps (1927), then analog-electronic-controlled axial piston pumps (1986), and digital-electronic-controlled high-pressure pumps, since 1997 with common-rail systems [19.35]. Further developments are the exhaust turbochargers with wastegate or controllable vanes (variable turbine geometry, VTG), since about 1993.

19.2.3 Power-Generating Machines 19.2.4 Power-Consuming Machines Machines show an especially broad variability. Powerproducing machines are characterized by the conversion of hydraulic, thermodynamic or electrical energy and delivery of power. Power-consuming machines convert mechanical energy to another form, thereby absorbing energy. Vehicles transfer mechanical energy into movement, thereby consuming power. Examples of mechatronic electrical power-generating machines are brushless DC motors with electronic commutation or speed-controlled asynchronous and synchronous motors with variable-frequency power converters.

Examples of mechatronic power-consuming machines are multiaxis machine tools with trajectory control, force control, tools with integrated sensors, and robot transport of the products; see, e.g., [19.36]. In addition to these machine tools with open kinematic chains between basic frame and tools and linear or rotatory axes with one degree of freedom, machines with parallel kinematics will be developed. Machine tools show a tendency towards magnetic bearings if ball bearings cannot be applied for high speeds, e.g., for high-speed milling of aluminum, and

Mechatronic Systems – A Short Introduction

19.2.5 Vehicles Many mechatronic components have been introduced, especially in the area of vehicles, or are in development: antilock braking control (ABS) [19.38], controllable shock absorbers [19.39], controlled adaptive suspensions [19.40], active suspensions [19.41, 42], drive dynamic control through individual braking (electronic

stability program, ESP) [19.43, 44], electrohydraulic brakes (2001), and active front steering (AFS) (2003). Of the innovations for vehicles 80–90% are based on electronic/mechatronic developments. Here, the value of electronics/electrics of vehicles increases to about 30% or more.

19.2.6 Trains Trains with steam, diesel or electrical locomotives have followed a very long development. For wagons the design with two boogies with two axes are standard. ABS braking control can be seen as the first mechatronic influence in this area [19.45, 46]. The high-speed trains (TGV, ICE) contain modern asynchronous motors with power electronic control. The trolleys are supplied with electronic force and position control. Tilting trains show a mechatronic design (1997) and actively damped and steerable boogies also [19.47]. Further, magnetically levitated trains are based on mechatronic construction; see, e.g., [19.47].

19.3 Functions of Mechatronic Systems Mechatronic systems enable, after the integration of the components, many improved and also new functions. This will be discussed by using examples.

19.3.1 Basic Mechanical Design The basic mechanical construction first has to satisfy the task of transferring the mechanical energy flow (force, torque) to generate motions or special movements, etc. Known traditional methods are applied, such as material selection, calculation of strengths, manufacturing, production costs, etc. By attaching sensors, actuators, and mechanical controllers, in earlier times, simple control functions were realized, e.g., the fly-ball governor. Then gradually pneumatic, hydraulic, and electrical analog controllers were introduced. After the advent of digital control systems, especially with the development of microprocessors around 1975, the information processing part could be designed to be much more sophisticated. These digitally controlled systems were first added to the basic mechanical construction and were limited by the properties of the sensors, actuators, and electronics, i. e., they frequently did not satisfy reliability and lifetime requirements under rough environmental conditions (temperature, vibrations, contamination)

and had a relatively large space requirement and cable connections, and low computational speed. However, many of these initial drawbacks were removed with time, and since about 1980 electronic hardware has become greatly miniaturized, robust, and powerful, and has been connected by field bus systems. Based on this, the emphasis on the electronic side could be increased and the mechanical construction could be designed as a mechanical–electronic system from the very beginning. The aim was to result in more autonomy, for example, by decentralized control, field bus connections, plug-and-play approaches, and distributed energy supply, such that self-contained units emerge.

19.3.2 Distribution of Mechanical and Electronic Functions In the design of mechatronic systems, interplay for the realization of functions in the mechanical and electronic parts is crucial. Compared with pure mechanical realizations, the use of amplifiers and actuators with electrical auxiliary energy has already led to considerable simplifications, as can be seen in watches, electronic typewriters, and cameras. A further considerable simplification in the mechanics resulted from

321

Part C 19.3

also for ultracentrifuges [19.37]. Within the area of manufacturing, many machinery, sorting, and transportation devices are characterized by integration with electronics, but as yet they are mostly not fully hardware-integrated. For hydraulic piston pumps the control electronics is now attached to the casing [19.33]. Further examples are packing machines with decentralized drives and trajectory control or offset-printing machines with replacement of the mechanical synchronization axis through decentralized drives with digital electronic synchronization and high precision.

19.3 Functions of Mechatronic Systems

322

Part C

Automation Design: Theory, Elements, and Methods

Part C 19.3

the introduction of microcomputers in connection with decentralized electrical drives, e.g., for electronic typewriters, sewing machines, multiaxis handling systems, and automatic gears. The design of lightweight constructions leads to elastic systems that are weakly damped through the material itself. Electronic damping through position, speed or vibration sensors and electronic feedback can be realized with the additional advantage of adjustable damping through algorithms. Examples are elastic drive trains of vehicles with damping algorithms in the engine electronics, elastic robots, hydraulic systems, far-reaching cranes, and space constructions (e.g., with flywheels). The addition of closed-loop control, e.g., for position, speed or force, does not only result in precise tracking of reference variables, but also an approximate linear overall behavior, even though mechanical systems may show nonlinear behavior. By omitting the constraint of linearization on the mechanical side, the effort for construction and manufacturing may be reduced. Examples are simple mechanical pneumatic and electromechanical actuators and flow valves with electronic control. With the aid of freely programmable reference variable generation, the adaptation of nonlinear mechanical systems to the operator can be improved. This is already used for driving-pedal characteristics within engine electronics for automobiles, telemanipulation of vehicles and aircraft, and in the development of hydraulically actuated excavators and electric power steering. However, with increasing number of sensors, actuators, switches, and control units, the cables and electrical connections also increase, such that reliability, cost, weight, and required space are major concerns. Therefore, the development of suitable bus systems, plug systems, and fault-tolerant and reconfigurable electronic systems are challenges for the designer.

of larger and time-variant friction by adaptive friction compensation. Larger friction at the cost of backlash may also be intended (e.g., gears with pretension), because it is usually easier to compensate for friction than for backlash. Model-based and adaptive control allow operation at more operating points (wide-range operation) compared with fixed control with unsatisfactory performance (danger of instability or sluggish behavior). A combination of robust and adaptive control enables wide-range operation, e.g., for flow, force, and speed control, and for processes involving engines, vehicles, and aircraft. Better control performance allows the reference variables to be moved closer to constraints with improved efficiencies and yields (e.g., higher temperatures, pressures for combustion engines and turbines, compressors at stalling limits, and higher tensions and higher speed for paper machines and steel mills).

19.3.4 New Functions Mechatronic systems also enable functions that could not be performed without digital electronics. Firstly, nonmeasurable quantities can be calculated on the basis of measured signals and influenced by feedforward or feedback control. Examples are time-dependent variables such as the slip for tires, internal tensions, temperatures, the slip angle and ground speed for steering control of vehicles or parameters such as damping and stiffness coefficients, and resistances. The automatic adaptation of parameters, such as damping and stiffness for oscillating systems based on measurements of displacements or accelerations, is another example. Integrated supervision and fault diagnosis becomes increasingly important with more automatic functions, increasing complexity, and higher demands on reliability and safety. Then, fault tolerance by triggering of redundant components and system reconfiguration, maintenance on request, and any kind of teleservice makes the system more intelligent.

19.3.3 Operating Properties 19.3.5 Other Developments By applying active feedback control, the precision of, e.g., a position is reached by comparison of a programmed reference variable with a measured control variable and not only through the high mechanical precision of a passively feedforward-controlled mechanical element. Therefore, the mechanical precision in design and manufacturing may be reduced somewhat and simpler constructions for bearings or slideways can be used. An important aspect in this regard is compensation

Mechatronic systems frequently allow flexible adaptation to boundary conditions. A part of the functions and also precision becomes programmable and rapidly changeable. Advanced simulations enable the reduction of experimental investigations with many parameter variations. Also, shorter time to market is possible if the basic elements are developed in parallel and the functional integration results from the software.

Mechatronic Systems – A Short Introduction

19.4 Integration Forms of Processes with Electronics

Conventional design

Mechatronic design

Added components Bulky Complex Cable problems Connected components Simple control Stiff construction Feedforward control, linear (analog) control Precision through narrow tolerances Nonmeasurable quantities change arbitrarily Simple monitoring Fixed abilities

Integration of components (hardware) Compact Simple mechanisms Bus or wireless communication Autonomous units Integration by information processing (software) Elastic construction with damping by electronic feedback Programmable feedback (nonlinear) digital control Precision through measurement and feedback control Control of nonmeasurable estimated quantities Supervision with fault diagnosis Adaptive and learning abilities

A far-reaching integration of the process and the electronics is much easier if the customer obtains the functioning system from one manufacturer. Usually, this is the manufacturer of the machine, the device or the apparatus. Although these manufacturers have to invest a lot of effort in coping with the electronics and the information processing, they gain the chance to add to the value of the product. For small devices and ma-

chines with large production numbers, this is obvious. In the case of larger machines and apparatus, the process and its automation frequently comes from different manufacturers. Then, special effort is needed to produce integrated solutions. Table 19.1 summarizes some properties of mechatronic systems compared with conventional electromechanical systems.

19.4 Integration Forms of Processes with Electronics Figure 19.6a shows a general scheme of a classical mechanical–electronic system. Such systems resulted from adding available sensors and actuators and analog or digital controllers to the mechanical components. The limits of this approach were the lack of suitable sensors and actuators, unsatisfactory lifetime under rough operating conditions (acceleration, temperature, and contamination), large space requirements, the required cables, and relatively slow data processing. With increasing improvements in the miniaturization, robustness, and computing power of microelectronic components, one can now try to place more emphasis on the electronic side and design the mechanical part from the beginning with a view to a mechatronic overall system. Then, more autonomous systems can be envisaged, e.g., in the form of encapsulated units with noncontacting signal transfer or bus connections and robust microelectronics. Integration within a mechatronic system can be performed mainly in two ways: through the integration of components and through integration by information processing (see also Table 19.1).

The integration of components (hardware integration) results from designing the mechatronic system as an overall system and embedding the sensors, actuators, and microcomputers into the mechanical process (Fig. 19.6b). This spatial integration may be limited to the process and sensor or the process and actuator. The microcomputers can be integrated with the actuator, the process or sensor, or be arranged at several places. Integrated sensors and microcomputers lead to smart sensors, and integrated actuators and microcomputers develop into smart actuators. For larger systems, bus connections will replace the many cables. Hence, there are several possibilities for building an integrated overall system by proper integration of the hardware. Integration by information processing (software integration) is mostly based on advanced control functions. Besides basic feedforward and feedback control, an additional influence may take place through process knowledge and corresponding online information processing (Fig. 19.6c). This means processing of available signals at higher levels, as will be discussed in the next Section. This includes the solution of tasks

Part C 19.4

Table 19.1 Some properties of conventional and mechatronic designed systems

323

Part C

Part C 19.4

a)

Automation Design: Theory, Elements, and Methods

Microcomputer

Actuators

Process

Integration by information processing

Sensors

Knowledge base

b)

Microcomputer

Actuators

Process

Information gaining • Identification • State observer

Sensors

Performance criteria Design methods • Control • Supervision • Optimization

Methematical process models

Possible points of integration

c) Information processing

Process knowledge

Online information processing Feedword, feedback control

Software Hardware

Supervision diagnosis

Adaptation optimization

Integration of components Microcomputer

Actuators

Process

Sensors

Microcomputer

Fig. 19.6a–c Integration of mechatronic systems: (a) general scheme of a (classical) mechanical–electronic system; (b) integration through components (hardware integration); (c) integration

Actuator

Process

Sensors

Fig. 19.7 Integration of mechatronic systems: integration of components (hardware integration); integration by information processing (software integration)

through functions (software integration)

such as supervision with fault diagnosis, optimization, and general process management. The corresponding problem solutions result in online information processing, especially using real-time algorithms, which must be adapted to the properties of the mechanical process, e.g., expressed by mathematical models in the

v

Feedforw. control

Management

Higher levels

Supervision

Supervision level

Control feedback

u

Process

r

Control level

y

Information processing

324

Processlevel

form of static characteristics, differential equations, etc. (Fig. 19.7). Therefore, a knowledge base is required, comprising methods for design and information gain, process models, and performance criteria. In this way, the mechanical parts are governed in various ways through higher-level information processing with intelligent properties, possibly including learning, thus resulting in integration with process-adapted software. Both types of integration are summarized in Fig. 19.7. In the following, mainly integration through information processing will be considered. Recent approaches for mechatronic systems mostly use signal processing at lower levels, e.g., damping or control of motions or simple supervision. Digital information processing, however, allows the solutions of many more tasks, such as adaptive control, learning control, supervision with fault diagnosis, decisions for maintenance or even fault-tolerance actions, economic optimization, and coordination. These Fig. 19.8 Different levels of information processing for process automation. u: manipulated variables; y: measured variables; v: input variables; r: reference variables

Mechatronic Systems – A Short Introduction

19.5 Design Procedures for Mechatronic Systems

Part C 19.5

Faults U

Actuators

Process model-based fault detection

N Y

Sensors

Process

Process model

Feature generation

Thresholdplausibil.check

Vibration signal models

Signal-based fault detection

r, Θ, x features Normal behavior

Change detection s analytical symptoms Fault diagnosis f diagnosed faults

Fig. 19.9 Scheme for model-based fault detection

higher-level tasks are sometimes summarized as process management. Information processing at several levels under real-time condition is typical for extensive process automation (Fig. 19.8). With the increasing number of automatic functions (autonomy) including electronic components, sensors, and actuators, increasing complexity, and increasing demands on reliability and safety, integrated supervision with fault diagnosis becomes increasingly important. This is, therefore, a significant natural feature of an intelligent mechatronic system. Figure 19.9 shows a process influenced by faults. These faults indicate unpermitted deviations from normal states and can be generated either externally or internally. External faults are, e.g., caused by the power supply, contam-

ination or collision, internal faults by wear, missing lubrication, and actuator or sensor faults. The classic methods for fault detection are limit-value checking and plausibility checks of a few measurable variables. However, incipient and intermittent faults cannot usually be detected, and in-depth fault diagnosis is not possible with this simple approach. Therefore, modelbased fault detection and diagnosis methods have been developed in recent years, allowing early detection of small faults with normally measured signals, also in closed loops [19.48–51]. Based on measured input signals U(t), output signals Y (t), and process models, features are generated by, e.g., parameter estimation, state and output observers, and parity equations (Fig. 19.9).

19.5 Design Procedures for Mechatronic Systems The design of mechatronic systems requires systematic development and use of modern software design tools. As with any design, mechatronic design is also an iterative procedure. However, it is much more involved than for pure mechanical or electrical systems. Figure 19.10 shows that, in addition to traditional domain-specific engineering, integrated simultaneous (concurrent) engineering is required due to the inte-

325

gration of engineering across traditional boundaries that is typical of the development of mechatronic systems. Hence, the mechatronic design requires a simultaneous procedure in broad engineering areas. Traditionally, the design of mechanics, electrics and electronics, control, and human–machine interface were performed in different departments with only occasionally contact,

326

Part C

Automation Design: Theory, Elements, and Methods

Part C 19.5

System definition

Traditional engineering

Requirements engineering (specification)

Mechanical & electrical engineering

Electronic engineering

Information & control engineering

Operating engineering

Process design

Electronic hardware design

Inform. processing & software design

Human machine interface design

Integrated (concurrent) engineering

Integration of components (hardware)

Integration by information processing (software) Integrated mechan. electronic system Generation of synergetic effects

Reliability & safety engineering

Manufacturing engineering

Mechatronic system

Fig. 19.10 From domain-specific traditional engineering to integrated, simultaneous engineering (iteration steps are not

indicated)

sometimes sequentially (bottom-up design). Because of the requirements for integration of hardware and software functions, these areas have to work together and the products have to be developed more or less simultaneously to an overall optimum (concurrent engineering, top-down design). Usually, this can only be realized with suitable teams. The principle procedure for the design of mechatronic systems is, e.g., described in the VDI-Richtlinie (guideline) 2206 [19.11]. A flexible procedural model is described, consisting of the following elements: 1. Cycles of problem solutions at microscale:

• • • •

Search for solutions by analysis and synthesis of basis steps Comparison of requirements and reality Performance and decisions Planning

2. Macroscale cycles in the form of a V-model:

• • • • • • • •

Logical sequence of steps Requirements System design Domain-specific design System integration Verification and validation Modeling (supporting) Products: laboratory model, functional model, preseries product

3. Process elements for repeating working steps:

• •

Repeating process elements System design, modeling, element design, integration, . . .

The V-model, according to [19.11, 52], is distinguished with regard to system design and system

Mechatronic Systems – A Short Introduction

19.5 Design Procedures for Mechatronic Systems

Validation

Specifications • Fulfillment of requirements • Sources, limitations • Reliability, safety

System design

Production • Simultaneous planning • Technologies • Assembling • Quality control Field testing • Final product • Normal use • Statistics • Certification

Verification

System testing • Test rigs • Stress testing, electromagnetic compatibility • Behavior testing • Reliability, safety

System design • Paritioning • Modules • Mechanics vs. electronics • Synergies Modeling & simulation • Models of component • Behavior analysis • Requirements for components • Design

System integration (software) • Signal analysis • Filtering • Tuning of algorithms System integration (hardware) • Assembling • Mutual adaption • Optimization • Synergies

Component design (domain specific) Mechanics

Electronics Automatic control

Human machine interface

Prototypes • Laboratory solutions • Modifications former products • Prototype computers/algorithms

System integration

Component testing • Hardware-in-the-loop simulation • Stress analysis

Mechatronic components • Mechanics • Electronics • Control-software • Human machine interface

Fig. 19.11 A “V” development scheme for mechatronic systems

integration with domain-specific design in mechanical engineering, electrical engineering, and information processing. Usually, several design cycles are required, resulting, e.g., in the following intermediate products:

• • •

Laboratory model: first functions and solutions, rough design, first function-specific investigations Functional model: further development, fine-tuning, integration of distributed components, power measurements, standard interfaces Pre-series product: consideration of manufacturing, standardization, further modular integration steps, encapsulation, field tests.

The V-model originates most likely from software development [19.53]. Some important design steps for

mechatronic systems are shown in Fig. 19.11 in the form of an extended V-model, where the following are distinguished: system design up to laboratory model, system integration up to functional model, and system tests up to pre-series product. The maturity of the product increases as the individual steps of the V-model are followed. However, several iterations have to be performed, which is not illustrated in the figure. Depending on the type of product, the degree of mechatronic design is different. For precision mechanic devices the integration is already well developed. In the case of mechanical components one can use as a basis well-proven constructions. Sensors, actuators, and electronics can be integrated by corresponding changes, as can be seen, e.g., in adaptive shock absorbers, hydraulic brakes, and fluidic actuators. In machines and vehicles it

Part C 19.5

Degree of maturity Requirements • Overall functions • Rated values • Costs & milestones

327

Automation Design: Theory, Elements, and Methods

Part C 19.6

can be observed that the basic mechanical construction remains constant but is complemented by mechatronic

components, as is the case for machine tools, combustion engines, and automobiles.

19.6 Computer-Aided Design of Mechatronic Systems The general goal in the design of mechatronic systems is the use of computer-aided design tools from different domains. A survey is given in [19.11]. The design model given in [19.52] distinguishes the following integration levels:

• • •



System-oriented level: coupling of information technology (IT) tools with, e.g., CORBA, DCOM, and JAVA

The domain-specific design is usually designed on general CASE tools, such as CAD/CAE for mechanBasic level: specific product development, computer- ics, two-dimensional (2-D) and three-dimensional (3-D) aided engineering (CAE) tools design with AutoCAD, computational fluid dynamics Process-oriented level: design packages, status, pro- (CFD) tools for fluidics, electronics and board-layout cess management, data management (PADS), microelectronics (VHDL), and computer aided Model-oriented level: common product model for design of control systems CADCS tools for the control data exchange (STEP) design (see, e.g., [19.52]).

ECU model

Process model θFW

p2, stat

p2

p2 θFW neng

SiL neng

T2, stat

Control algorithm

upwm

p2, setpoint

iL

H

Simulation tool

T2

P

Part C

RC

328

High-performance real-time computer (full pass, bypass)

Integrated mechatronic system (final product) Real process (engine)

Real ECU + real actuator (injection pump)

Fig. 19.12 Different couplings of process and electronics for a mechatronic design. SiL: software-in-the-loop; RCP: rapid control prototyping; HiL: hardware-in-the-loop

Mechatronic Systems – A Short Introduction

Hardware-in-the-loop (HiL) simulation is used to perform various tests in a laboratory with final hardware (electronic control unit: ECU) and the final software together with the simulated process on a powerful computer. Through HiL simulation, extreme operational and environmental conditions can also be investigated, along with faults and failures that cannot be realized with a real process on a test rig or a real vehicle, because the situations would be either too dangerous or too expensive. HiL simulation requires special electronics for reconstruction of the sensor signals and usually includes real actuators (e.g., hydraulics, pneumatics or injection pumps). Through these simulation methods the development of mechatronic systems can be performed without synchronous development on the side of the process, the electronics, or the software. When designing mechatronic systems the traditional borders of various disciplines have to be crossed. For the classical mechanical engineer this frequently means that knowledge of electronic components, information processing, and systems theory has to be deepened, and for the electrical/electronic engineer that knowledge on thermodynamics, fluid mechanics, and engineering mechanics has to be enlarged. For both, more knowledge on modern control principles, software engineering, and information technology may be necessary (see also [19.60]).

19.7 Conclusion and Emerging Trends This Chapter could only give a brief overview of mechatronic systems. As outlined, mechatronic systems cover a very broad area of engineering disciplines. Advanced mechatronic components and systems are realized in many products such as automobiles, combustion engines, aircraft, electrical drives, actuators, robots, and precision mechanics and micromechanics. However, the integration aspects of mechanics and electronics include increasingly more components and systems in the wide areas of mechanical and electrical engineering.

As the development towards the integration of computer-based information processing into products and their manufacturing comprises large areas of engineering, suitable education in modern engineering and also training is fundamental for technological progress. This means, among others, to take multidisciplinary solutions and method-oriented procedures into account. The development of curricula for mechatronics as a proper combination of electrical and mechanical engineering and computer science during the last decade shows this tendency.

References 19.1

N. Kyura, H. Oho: Mechatronics – An industrial perspective, IEEE/ASME Trans. Mechatron. 1, 10–15 (1996)

19.2

F. Harashima, M. Tomizuka: Mechatronics – “What it is, why and how?”, IEEE/ASME Trans. Mechatron. 1, 1–2 (1996)

329

Part C 19

For overall modeling, object-oriented software is especially of interest based on the use of general model-building laws. The models are first formulated as noncausal objects installed in libraries. They are then coupled with graphical support (object diagrams) by using methods of herit and reusability. Examples are MODELICA, MOBILE, VHDLAMS, 20 SIM; see, e.g., [19.54–59]. A broadly used tool for simulation and dynamics design is MATLAB/SIMULINK. To design mechatronic systems various simulation environment models have been developed, as shown in the V-model (Fig. 19.11). In the case of software-inthe-loop (SiL) simulation the process and its control is simulated in a higher language to carry out basic investigations (Fig. 19.12). This does not require real-time simulation and is directed towards consideration of general process behavior and control structure in an earlier stage to avoid too many prototypes. If first mechatronic prototypes exist but the final hardware of the control is missing, the rapid-controlprototyping (RCP) procedure can be used. In this, a mechatronic prototype operates as a real system with a simulated control on a test rig in order to test, e.g., control algorithms under real conditions. The prototyping computer is a powerful real-time computer with higher-language programming.

References

330

Part C

Automation Design: Theory, Elements, and Methods

Part C 19

19.3 19.4

19.5 19.6 19.7

19.8

19.9

19.10

19.11

19.12

19.13

19.14

19.15

19.16

19.17

19.18

19.19 19.20 19.21

19.22

P.A. MacConaill, P. Drews, K.-H. Robrock: Mechatronics and Robotics I (ICS, Amsterdam 1991) S.J. Ovaska: Electronics and information technology in high range elevator systems, Mechatronics 2, 88–99 (1992) IEEE/ASME Trans. Mechatron., 1(1) (IEEE, Piscataway 1996), (scope) Mechatronics. An International Journal. Aims and Scope. (Pergamon Press, Oxford 1991) G. Schweitzer: Mechatronics – a concept with examples in active magnetic bearings, Mechatronics 2, 65–74 (1992) J. Gausemeier, D. Brexel, T. Frank, A. Humpert: Integrated product development, 3rd Conf. Mechatron. Robot. (Teubner, Paderborn, Stuttgart 1996) R. Isermann: Modeling and design methodology of mechatronic systems, IEEE/ASME Trans. Mechatron. 1, 16–28 (1996) M. Tomizuka: Mechatronics: from the 20th to the 21st century, 1st IFAC Conf. Mechatron. Syst. (Elsevier, Oxford, Darmstadt 2000) pp. 1–10 VDI 2206: Entwicklungsmethodik für mechatronische Systeme (Design methodology for mechatronic systems) (Beuth, Berlin 2004), in German UK Mechatronics Forum. Conferences in Cambridge (1990), Dundee (1992), Budapest (1994), Guimaraes (1996), Skovde (1998), Atlanta (2000), Twente (2002). IEE & ImechE (1990–2002). R. Isermann (Ed.): IMES. Integrated Mechanical Electronic Systems Conference (in German) TU Darmstadt, March 2–3, Fortschr.-Ber. VDI Series 12, 179. (VDI, Düsseldorf 1993) DUIS. Mechatronics and Robotics. M. Hiller, B. Fink (Eds). 2nd Conf., Duisburg/Moers, Sept 27–29. (IMECH, Moers 1993) O. Kaynak, M. Özkan, N. Bekiroglu, I. Tunay (Eds.): Recent Advances in Mechatronics, Proceedings of International Conference ICRAM’95 (Istanbul, 1995) AIM (1999, 2001, 2003). IEEE/ASME Conference on Advanced Intelligent Mechatronics. Atlanta (1999), Como (2001), Kobe (2003), Monterey (2005), Zürich (2007). (IEEE, Piscataway 1999–2007) IFAC-Symposium on Mechatronic Systems: Darmstadt (2000), Berkeley (2002), Sydney (2004), Heidelberg (2006). (Elsevier, Oxford 2000–2006) M. Hiller: Modelling, simulation and control design for large and heavy manipulators, Int. Conf. Recent Adv. Mechatron. (Istanbul, 1995) pp. 78–85 J. Lückel (Ed.): 3rd Conf. Mechatron. Robot. (Teubner, Paderborn, Stuttgart 1995) J. van Amerongen: Mechatronic design, Mechatronics 13, 1045–1066 (2003) R. Isermann: Mechatronic systems – Innovative products with embedded control. Control Eng. Pract. 16, 14–29 (2008) K. Kitaura: Industrial Mechatronics (New East Business Ltd., 1986), in Japanese

19.23

19.24 19.25

19.26 19.27 19.28

19.29 19.30

19.31

19.32

19.33

19.34 19.35 19.36 19.37

19.38

19.39

D. Bradley, D. Dawson, D. Burd, A. Loader: Mechatronics-electronics in Products and Processes (Chapman Hall, London 1991) P. McConaill, P. Drews, K.-H. Robrock: Mechatronics and Robotics (ICS, Amsterdam 1991) B. Heimann, W. Gerth, K. Popp: Mechatronik (Mechatronics) (Fachbuchverlag Leipzig, Leipzig 2001), in German R. Isermann: Mechatronic Systems (Springer, Berlin 2003), German edition: 1999 C. Bishop: The Mechatronics Handbook (CRC, Boca Raton 2002) R. Isermann, B. Breuer, H. Hartnagel (Eds.): Mechatronische Systeme für den Maschinenbau (Mechatronic Systems for Mechanical Engineering. (Wiley, Weinheim 2002) results of the special research project 241 IMES in German G. Pahl, W. Beitz, J. Feldhusen, K.-H. Grote: Engineering Design, 3rd edn. (Springer, London 2007) R. Nordmann, M. Aenis, E. Knopf, S. Straßburger: Active magnetic bearings, 7th Int. Conf. Vib. Rotating Mach. (IMechE) (Nottingham, 2000) R. Ingenbleek, R. Glaser, K. H. Mayr: Von der Komponentenentwicklung zur integrierten Funktionsentwicklung am Beispiel der Aktuatorik und Sensorik für Pkw-Automatengetriebe (From the design of components to the development of integrated functions). In: VDI-Conf. Mechatronik 2005 – Innovative Produktentwicklung, VDI Bericht Ser., Vol. 1892 Wiesloch, Germany. (VDI, Düsseldorf 2005) pp. 575–592, in German R. Kallenbach, D. Kunz, W. Schramm: Optimierung des Fahrzeugverhaltens mit semiaktiven Fahrwerkregelungen (Optimization of the vehicle behavior with semiactive chassis control) (VDI, Düsseldorf 1988), in German A. Feuser: Zukunftstechnologie Mechatronik (Future technology mechatronics), Ölhydraul. Pneum. 46(9), 436 (2002), in German R. Bosch: Handbook for Gasoline Engine Management (Wiley, New York 2006) R. Bosch: Diesel Engine Management (Wiley, New York 2006) D.A. Stephenson, J.S. Agapiou: Metal Cutting Theory and Practice, 2nd edn. (CRC, Boca Raton 2005) S. Kern, M. Roth, E. Abele, R. Nordmann: Active damping of chatter vibrations in high speed milling using an integrated active magnetic bearing, Adaptronic Congress 2006; Conference Proceedings (Göttingen 2006) M. Mitschke, H. Wallentowitz: Dynamik der Kraftfahrzeuge (Vehicle Dynamics), 4th edn. (Springer, Berlin 2004), in German P. Causemann: Kraftfahrzeugstoßdämpfer (Shockabsorbers) (Verlag Moderne Industrie, Landsberg/Lech 2001), in German

Mechatronic Systems – A Short Introduction

19.41

19.42

19.43

19.44

19.45

19.46

19.47

19.48

19.49

19.50

J. Bußhardt, R. Isermann: Parameter adaptive semi-active shock absorbers, ECC Eur. Control Conf., Vol. 4 (Groningen, 1993) pp. 2254–2259 D. Metz, J. Maddock: Optimal ride height and pitch control for championship race cars, Automatica 22(5), 509–520 (1986) W. Schramm, K. Landesfeind, R. Kallenbach: Ein Hochleistungskonzept zur aktiven Fahrwerkregelung mit reduziertem Energiebedarf, Automobiltech. Z. 94(7/8), 392–405 (1992), in German A.T. van Zanten, R. Erhardt, G. Pfaff: FDR - Die Fahrdynamik-Regelung von Bosch, Automobiltech. Z. 96(11), 674–689 (1994), in German P. Rieth, S. Drumm, M. Harnischfeger: Elektronisches Stabilitätsprogramm (Electronical Stability Program) (Verlag Moderne Industrie, Landsberg/Lech 2001), in German B. Breuer, K.H. Bill: Bremsenhandbuch (Handbook of Brakes), 2nd edn. (Vieweg, Wiesbaden 2006), in German H.-J. Schwartz: Regelung der Radsatzdrehzahl zur maximalen Kraftschlussausnutzung bei elektrischen Triebfahrzeugen, Dissertation (TH Darmstadt 1992) in German R. Goodall, W. Kortüm: Mechatronics developments for railway vehicles of the future, IFAC Conf. Mechatron. Syst. (Elsevier, Darmstadt, London 2000) R. Isermann: Supervision, fault-detection and fault-diagnosis methods – an introduction, Control Eng. Pract. 5(5), 639–652 (1997) R. Isermann: Fault-Diagnosis Systems – An Introduction from Fault Detection to Fault Tolerance (Springer, Berlin, Heidelberg 2006) J. Gertler: Fault Detection and Diagnosis in Engineering Systems (Marcel Dekker, New York 1998)

19.51 19.52

19.53

19.54

19.55

19.56

19.57

19.58

19.59

19.60

J. Chen, R.J. Patton: Robust Model-Based Fault Diagnosis for Dynamic Systems (Kluwer, Boston 1999) J. Gausemeier, M. Grasmann, H.D. Kespohl: Verfahren zur Integration von Gestaltungs- und Berechnungssystemen. VDI-Berichte Nr. 1487. (VDI, Düsseldorf 1999), in German STARTS Guide: The STARTS Purchases Handbook: Soft-Ware Tools for Application to Large RealTime Systems, 2nd edn. (National Computing Centre Publications, Manchester 1989) J. James, F. Cellier, G. Pang, J. Gray, S.E. Mattson: The state of computer-aided control system design (CACSD), IEEE Control Syst. Mag. 15(2), 6–7 (1995) M. Otter, C. Cellier: Software for modeling and simulating control systems. In: The Control Handbook, ed. by W.S. Levine (CRC, Boca Raton 1996) pp. 415– 428 H. Elmqvist: Object-Oriented Modeling and Automatic Formula Manipulation in Dymola (Scand. Simul. Soc. SIMS, Kongsberg 1993) M. Hiller: Modelling, simulation and control design for large and heavy manipulators, International Conference on Recent Advances in Mechatronics (Istanbul, 1995) pp. 78–85 M. Otter, E. Elmqvist: Modelica – language, libraries, tools, Workshop and EU-Project RealSim, Simul. News Eur. 29/30, 3–8 (2000) M. Otter, C. Schweiger: Modellierung mechatronischer Systeme mit MODELICA (Modelling of mechatronic systems with MODELICA). Mechatronischer Systementwurf: Methoden - Werkzeuge Erfahrungen – Anwendungen, Darmstadt 2004. VDI Ber. 1842, 39–50. (VDI, Düsseldorf 2004) J. van Amerongen: Mechatronic education and research - 15 years of experience, 3rd IFAC Symp. Mechatron. Syst. (Sydney, 2004) pp. 595–607

331

Part C 19

19.40

References

“This page left intentionally blank.”

333

Sensors and S 20. Sensors and Sensor Networks

Sensors are essential devices in many industrial applications such as factory automation, digital appliances, aircraft/automotive applications, environmental monitoring, and system diagnostics. The main role of those sensors is to measure changes of physical quantities of surroundings. In general, sensors are embedded into sensory devices with a circuitry as a part of a system. In this chapter, various types of sensors and their working principles are briefly explained as well as their technical advancement to recent smart microsensors is introduced. Specifically, the individual sensor issue is also extended to emerging networked sensors and their applications from recent research activities. Through this chapter, readers can also understand how multisensors or networked sensors can be configured and how they can collaborate with each other to provide higher performance and reliability within networked sensor systems.

20.1 Sensors ................................................ 20.1.1 Sensing Principles ....................... 20.1.2 Position, Velocity, and Acceleration Sensors.............. 20.1.3 Miscellaneous Sensors ................. 20.1.4 Micro- and Nanosensors ..............

333 333

20.2 Sensor Networks ................................... 20.2.1 Sensor Network Systems............... 20.2.2 Multisensor Data Fusion Methods .. 20.2.3 Sensor Network Design Considerations ............................ 20.2.4 Sensor Network Architectures........ 20.2.5 Sensor Network Protocols ............. 20.2.6 Sensor Network Applications.........

338 338 339

20.3 Emerging Trends .................................. 20.3.1 Heterogeneous Sensors and Applications ......................... 20.3.2 Security...................................... 20.3.3 Appropriate Quality-of-Service (QoS) Model ................................ 20.3.4 Integration with Other Networks ...

346

335 336 336

341 342 343 345

346 346 346 346

References .................................................. 347

20.1 Sensors A sensor is an instrument that responds to a specific physical stimulus and produces a measurable corresponding electrical signal. A sensor can be mechanical, electrical, electromechanical, magnetic or optical. Any devices that are directly altered in a predictable, measurable way by changes in a real-world parameter can be a sensor for that parameter. Sensors have an important role in daily life because of the need to gather information and process it conveniently for specific tasks. Recent advances in microdevice technology, microfabrication, chemical processes, and digital signal processing have enabled the development of micro/nanosized, low-cost, and low-power sensors called microsensors. Microsensors have been successfully ap-

plied to many practical areas, including medical and space devices, military equipment, telecommunication, and manufacturing applications [20.1, 2]. When compared with conventional sensors, microsensors have certain advantages, such as interfering less with the environment they measure, requiring less manufacturing cost, being used in narrow spaces and harsh environments, etc. The successful application of microsensors depends on sensor capability, cost, and reliability

20.1.1 Sensing Principles Sensors can be technically classified into various types according to their working principle, as listed

Part C 20

Wootae Jeong

334

Part C

Automation Design: Theory, Elements, and Methods

Table 20.1 Technical classification of sensors according to their working principle

Part C 20.1

Sensing principle

Sensors

Resistance change

Strain gage, potentiometer, potentiometric throttle position sensor (TPS), resistance temperature detector (RTD), thermistor, piezoresistive sensor, magnetoresistive sensor, photoresistive sensor Capacitive-type torque meter, capacitance level sensor Linear variable differential transformer (LVDT), inductive angular position sensor (magnetic pick-up), inductive torque meter Electromagnetic flow meter Thermocouple Piezoelectric accelerometer, sound navigation and ranging (SONAR) Photodiode, phototransistor, photo-interrupter (optical encoder) Hall sensor

Capacitance change Inductance change Electromagnetic induction Thermoelectric effect Piezoelectric effect Photoelectric effect Hall effect

in Table 20.1. That is, sensors can measure physical phenomena by capturing resistance change, capacitance change, inductance change, thermoelectric effect, piezoelectric effect, photoelectric effect, Hall effect, and so on [20.3, 4]. Among these effects, most sensors utilize the resistance change of a conductor, i. e., resistivity. As long as the current density is uniform in the insulator, the resistance R of a conductor of crosssectional area A can be computed as R=

ρl , A

(20.1)

where l is the length of the conductor, A is the crosssectional area, and ρ is the electrical resistivity of the material. Resistivity is a measure of the material’s ability to oppose electric current. Therefore, change of resistance can be measured by detecting physical deformation (l or A) of conductive materials or by sensing resistivity (ρ) of conductor. As an example, a strain gage is a sensor that measures resistance by deformation of length or cross-sectional area, and a thermometer is a sensor that measures resistance by examining the resistivity change of a material. By expanding (20.1) in a Taylor series and then simplifying the equation, resistance change can be expressed as ΔR = Δρ

ρ ρl l + Δl − ΔA 2 . A A A

(20.2)

By dividing both side of (20.2) by the resistance R, the resistance change rate can be expressed as ΔR Δρ Δl ΔA Δρ = + − = + ε + 2νε , R ρ l A ρ

(20.3)

where ε and ν are the strain and the Poisson’s ratio of the material, respectively. When the resistivity (ρ) of a sensing material is close to constant, the resistance can be determined from the values of strain (ε) and Poisson’s ratio (ν) of the material (e.g., strain gage). When the resistivity of a sensing material is sensitive to the measuring targets and values of ε, ν can be neglected; the resistance can be measured from the resistivity change (Δρ/ρ) (e.g., resistance temperature detector (RTD)). In capacitance-based sensors, the sensor measures the amount of electric charge stored between two plates of capacitors. The capacitance C can be calculated as C=

εA , d

(20.4)

where A is the area of each plate, d is the separation between the plates, and ε is the dielectric constant (or permittivity) of the material between the plates. The dielectric constant for a number of very useful dielectrics changes as a function of the applied electrical field. Thus, capacitance-based sensors utilize capacitance change by measuring the dielectric constant, the area (A) of, or the separation (d) between the plates. A capacitive-type torque meter is an example of a capacitance-based sensor. Inductance-based sensors measure the ratio of the magnetic flux to the current. Linear variable differential transformers (LVDT; Fig. 20.1) and magnetic pick-up sensors are representative inductance-based sensors. Electromagnetic induction-based sensors are based on Faraday’s law of induction, which is involved in the operation of transformers, inductors, and many forms of electrical generators. The law states that the induced

Sensors and Sensor Networks

Fig. 20.1 Cutaway view of an LVDT. Current is driven

through the primary coil at A, causing an induction current to be generated through the secondary coils at B

electromotive force (EMF) in any closed circuit is equal to the time rate of change of the magnetic flux through the circuit. Quantitatively, the law takes the following form (20.5)

where E is the electromotive force (EMF) and ΦB is the magnetic flux through the circuit. Besides these types of sensors, thermocouples measure the temperature difference between two points rather than absolute temperature. In traditional applications, one of the junctions (the cold junction) is maintained at a reference temperature, while the other end is attached to a probe. Having available a cold junction at a known temperature is simply not convenient for most directly connected control instruments. They incorporate into their circuits an artificial cold junction using some other thermally sensitive device, such as a thermistor or diode, to measure the temperature of the input connections at the instrument, with special care being taken to minimize any temperature gradient between terminals. Hence, the voltage from a known cold junction can be simulated, and the appropriate correction applied. Photodiodes, phototransistors, and photo-interrupters are sensors that use the photoelectric effect. Other types of sensors are listed in Table 20.1.

20.1.2 Position, Velocity, and Acceleration Sensors Sensors can also be classified by the physical phenomena measured, such as position, velocity, acceleration,

Position Sensors A position sensor is any device that enables position measurement. Position sensors include limit switches or proximity sensors that detect whether or not something is close to or has reached a limit of travel. Position sensors also include potentiometers that measures rotary or linear position. The linear variable differential transformer (LVDT) is an example of the potentiometers for measuring linear displacement, while resolvers and optical encoders measure the rotary position of a rotating shaft. The LVDT and resolver function much like a transformer. The optical encoder produces digital signals that convert motion into a sequence of digital pulses. In fact, there also exist optical encoders for measuring linear motion. Some position sensors are classified by their measuring techniques. Sonars measure distance with sonic/ultrasonic waves and radar utilizes electronic/ radio to detect or measure the distance between two objects. Many other sensors are used to measure position or distance. Velocity Sensors Speed measurement can be obtained by taking consecutive position measurements at known time intervals and computing the derivative of the position values. A tachometer is an example of a velocity sensor that does this for a rotating shaft. The typical dynamic time constant of a tachometer is in the range 10–100 μs. A tachometer is a passive analog sensor that provides an output voltage proportional to the velocity of a shaft. There is no need for an external reference or excitation voltage. Traditionally tachometers have been used for velocity measurement and control only, but all modern tachometers have quadratic outputs which are used for velocity, position, and direction measurements, making them effectively functional as position sensors. Acceleration Sensors An acceleration sensor or accelerometer is a sensor designed to measure continuous mechanical vibration such as aerodynamic flutter and transitory vibration such as shock waves, blasts or impacts. Accelerometers are normally mechanically attached or bonded to an object or structure for which acceleration is to be measured. The accelerometer detects acceleration along one axis and is insensitive to motion in orthog-

Part C 20.1

B

dΦB , dt

335

heat, pressure, flow rate, sound, etc. This classification of sensors is briefly explained below.

A

E=−

20.1 Sensors

336

Part C

Automation Design: Theory, Elements, and Methods

Part C 20.1

onal directions. Strain gages or piezoelectric elements constitute the sensing element of an accelerometer, converting vibration into a voltage signal. The design of an accelerometer is based on the inertial effects associated with a mass connected to a moving object. Detailed information and technical working processes about position, velocity, and acceleration sensors can be found in many references [20.5, 6].

tact produces a voltage proportional to the temperature of the junction [20.7, 8]. Flow Sensors A flow sensor is a device for sensing the rate of fluid flow. In general, a flow sensor is the sensing element used in a flow meter to record the flow of fluids. Some flow sensors have a vane that is pushed by the fluid (e.g., a potentiometer), while other flow sensors are based on heat transfer caused by the moving medium.

20.1.3 Miscellaneous Sensors There are other groups of sensors to measure physical quantities such as force, strain, temperature, pressure, and flow. Force sensors are represented by a load cell that is used to measure a force. The load cell consists of several strain gages connected to a bridge circuit to yield a voltage proportional to the load. Temperature sensors are devices that indirectly measure quantities such as pressure, volume, electrical resistance, and strain and then convert the values using the physical relationship between the quantity and temperature; for example: (a) a bimetallic strip composed of two metal layers with different coefficients of thermal expansion utilizes the difference in the thermal expansion of the two metal layers, (b) a resistance temperature sensor constructed of metallic wire wound around a ceramic or glass core and hermetically sealed utilizes the resistance change of the metallic wire with temperature, and (c) a thermocouple constructed by connecting two dissimilar metals in cona)

b)

c)

d)

Ultrasonic Sensors Ultrasonic sensors or transducer generates highfrequency sound waves and evaluate the echo received back by the sensor. An ultrasonic sensor computes the time interval between sending the signal and receiving the echo to determine the distance to an object. Radar or sonar works on a principal similar to that of the ultrasonic sensor. Some sensors are depicted in Fig. 20.2. However, there are many other groups of sensors not listed in this section. With the advent of semiconductor electronics and manufacturing technology, sensors have become miniaturized and accurate, and brought into existence micro/nanosensors. Vision Sensors Another widely used sensor is a vision sensor. A vision sensor is typically used embedded in a vision system. A vision system can be used to measure shape, orientation, area, defects, differences between parts, etc. Vision technology has improved significantly over the last decade in that they have become rather standard smart sensing components in most factory automation systems for part inspection and location detection. In general, a vision system consists of a vision camera, an image processing computer, and a lighting system. The basic principle of operation of a vision system is that it forms an image by measuring the light reflected from objects, and the sensor head analyzes the output voltage from the light intensity received. The sensor head consists of an array of photosensitive, photodiodes or charge-coupled devices (CCD). Currently, various signal-processing techniques for the reflected signals are applied for many industrial applications to provide accurate outputs, as illustrated in Fig. 20.3.

20.1.4 Micro- and Nanosensors Fig. 20.2a–d Various sensors: (a) absolute encoder, (b) photoresistor, (c) sonar, (d) digital load cell cutaway (courtesy of Society of

Robots)

A microsensor is a miniature electronic device functioning similar to existing large-scale sensors. With recent micro-electromechanical system (MEMS) technology,

Sensors and Sensor Networks

b)

c)

d)

337

Part C 20.1

a)

20.1 Sensors

Fig. 20.3a–d Types of vision sensor applications: (a) automated low-volume/high-variety production, (b) vision sensors for error-proof oil cap assembly, (c) defect-free parts with 360◦ inspection, (d) inspection of two-dimensional (2-D) matrix-marked codes (courtesy of Cognex Corp.)

Mica2 2002

Wec 1999 smart rock

Rene 2000

Dot 2001 demo scale

Spec 2003 mote on a chip

Mica 2002

Fig. 20.4 Evolution of smart wireless microsensors (courtesy of Crossbow Technology Inc.)

microsensors are integrated with signal-processing circuits, analog-to-digital (A/D) converters, programmable memory, and a microprocessor, a so-called smart microsensor [20.9, 10]. Current smart microsensors contain an antenna for radio signal transmission. Wireless microsensors are now commercially available and are evolving with more powerful functionalities, as illustrated in Fig. 20.4. In general, a wireless microsensor consists of a sensing unit, a processing unit, a power unit, and communication elements. The sensing unit is an electrical part detecting the physical variable from the environment. The processing unit (a tiny microprocessor) performs signal-processing functions, i. e., integrating

Node

Processing unit (processor, memory)

Receiver

Node

Sensing unit (sensor, ADC)

Transmitter

Power unit

Amplifier

Node

Fig. 20.5 Wireless micronode model. Each node has a sensing

module (analog-to-digital converter (ADC)), processing unit, and communication elements

338

Part C

Automation Design: Theory, Elements, and Methods

Fig. 20.6 Three-dimensional (3-D) model of three types of single-walled carbon nanotubes, like those used to make certain nanosensors (created by Michael Ströck on February 1, 2006)

Part C 20.2

data and computation required in the processing of information. The communication elements consist of a receiver, a transmitter, and an amplifier if needed. The power unit provides energy source with other units (Fig. 20.5). Basically, all individual sensor nodes are operated by a limited battery, but a base-station node as a final data collecting center can be modeled with an unlimited energy source. Under the microscale, nanosensors are used in chemical and biological sensory applications to deliver information about nanoparticles. As an example, nanotubes are used to sense various properties of gaseous molecules, as depicted in Fig. 20.6. In developing and commercializing nanosensors, developers still need to overcome high costs of production and reliability challenges. In the near future, there is tremendous

(0,10) nanatube (zig-zag)

(7,10) nanatube (chiral)

(10,10) nanatube (armchair)

room to enhance the technology and implement various nanosensors in real-life applications.

20.2 Sensor Networks 20.2.1 Sensor Network Systems Before the advent of microminiaturization technology, single-sensor systems had an important role in a variety of practical applications because they were relatively easy to construct and analyze. Single-sensor systems, however, were the only solution when there was critical limitation of implementation space. Moreover, singlesensor systems for recently emerging applications have various limitations and disadvantages:



• •

They have limited applications and uses; for instance, if a system should measure several variables, e.g., temperature, pressure, and flow rate, at the same time in the application, single-sensor systems are insufficient. They cannot tolerate a variety of failures which may take place unexpectedly. A single sensor cannot guarantee timely delivery of accurate information all of the time because it is inevitably affected by noise and other uncertain disruptions.

These limitations are critical when a system requires highly reliable and timely information. Therefore,

single-sensor systems are not suitable when robust and accurate information is required in the application. To overcome the critical disadvantages of singlesensor systems in most applications, multisensor network systems which require replicated sensory information have been studied, along with their communication network technologies. Replicated sensor systems are applicable not only because microfabrication technology enables production of various microsensors at low manufacturing cost, but also because microsensors can be embedded in a system with replicated deployment. These redundantly deployed sensors enable a system to improve accuracy and tolerate sensor failure, i. e., distributed microsensor arrays and networks (DMSA/DMSN) are built from collections of spatially scattered microsensor nodes. Each node has the ability to measure the local physical variable within its accuracy limit, process the raw sensory data, and cooperate with its neighboring nodes. Sensors incorporated with dedicated signal-processing functions are called intelligent, or smart, sensors. The main roles of dedicated signal processing functions are to enhance design flexibility and realize new sensing functions. Additional roles are to reduce loads on central processing units and signal transmission lines by

Sensors and Sensor Networks

20.2.2 Multisensor Data Fusion Methods There are three major ways in which multiple sensors interact [20.11, 12]: (1) complementary, when sensors do not depend on each other directly, but are combined to give a more complete image of the phenomena being studied; (2) competitive, when sensors provide independent measurement of the same information regarding a physical phenomenon; and (3) cooperative, when sensors combine data from independent sensors to derive information that would be unavailable from the individual sensors. In order to combine information collected from each sensor, various multisensory data fusion methods can be applied. Multisensor data fusion is the process of combining observations from a number of different sensors to provide a robust and complete description of an environment or process of interest. Most current data fusion methods employ probabilistic descriptions of observations and processes and use Bayes’ rule to combine this information [20.13, 14]. Bayes’ Rule Bayes’ rule lies at the heart of most data fusion methods. In general, Bayes’ rule provides a means to make inferences about an object or environment of interest described by a state x, given an observation z. Based on the rule of conditional probabilities, Bayes’ rule is obtained as P(z|x)P(x) (20.6) . P(x|z) = P(z) The conditional probability P(z|x) serves the role of a sensor model. The probability is constructed by fixing the value of x = x and then asking what probability density P(z|x = x) on x is inferred. The multisensory form of Bayes’ rule requires conditional independence

P(z 1 , . . . , z n |x) = P(z 1 |x) . . . P(z n |x) n # P(z i |xi ) . = i=1

The recursive form of Bayes’ rule is P(x|Z k ) =

P(z k |x)P(x|Z k−1 )   . P z k |Z k−1

(20.8)

From this equation, one needs to compute and store only the posterior density P(x|Z k−1 ), which contains a complete summary of all past information. Probabilistic Grids Probabilistic grids are the means to implement the Bayesian data fusion technique to problems in mapping [20.15] and tracking [20.16]. Practically, a grid of likelihoods on the states xij is produced in the form P(z = z|xij ) = Λ(xij ). It is then trivial to apply Bayes’ rule to update the property value at each grid cell as

P + (xij ) = CΛ(xij )P(xij ) ∀i, j ,

(20.9)

where C is a normalizing constant obtained by summing posterior probabilities to 1 at node ij only. Computationally, this is a simple pointwise multiplication of two grids. Grid-based fusion is appropriate to situations where the domain size and dimension are modest. In such cases, grid-based methods provide straightforward and effective fusion algorithms. Monte Carlo and particle filtering methods can be considered as grid-based methods, where the grid cells themselves are samples of the underlying probability density for the state. The Kalman Filter The Kalman filter is a recursive linear estimator that successively calculates an estimate for a continuousvalued state on the basis of periodic observations of the state. The Kalman filter may be considered a specific instance of the recursive Bayesian filter [20.17] for the case where the probability densities on states are Gaussian. The Kalman filter algorithm produces estimates that minimize mean-squared estimation error conditioned on a given observation sequence and so is the conditional mean

x(i| ˆ j)  E[x(i)|z(1), . . . , z( j)]  E[x(i)|Z j ] . (20.10)

The estimate variance is defined as the mean-squared error in this estimate   P(i| j)  E [x(i) − x(i| ˆ j)][x(i) − x(i| ˆ j)] |Z j . (20.11)

(20.7)

339

The estimate of the state at a time k, given all information up to time k, is written x(k|k). The estimate of ˆ

Part C 20.2

distributing information processing to the lower layers of the system [20.10]. A set of microsensors deployed close to each other to measure the same physical quantity of interest is called a cluster. Sensors in a cluster can be either of the same or different type to form a distributed sensor network (DSN). A DSN can be utilized in a widely distributed sensor system and implemented as a locally concentrated configuration with a high density.

20.2 Sensor Networks

340

Part C

Automation Design: Theory, Elements, and Methods

Part C 20.2

the state at a time k given only information up to time k − 1 is called a one-step-ahead prediction and is written x(k|k − 1). ˆ The Kalman filter is appropriate to data fusion problems where the entity of interest is well defined by a continuous parametric state. Thus, it would be useful to estimate position, attitude, and velocity of an object, or the tracking of a simple geometric feature. Kalman filters, however, are inappropriate for estimating properties such as spatial occupancy, discrete labels or processes whose error characteristics are not easily parameterized. Sequential Monte Carlo Methods The sequential Monte Carlo (SMC) filtering method is a simulation of the recursive Bayes update equations using sample support values and weights to describe the underlying probability distributions. SMC recursion begins with an a posterior probability density represented by a set of support values and weights Nk−1 i , wik−1|k−1 }i=1 in the form {xk−1 Nk−1  

  i wik−1 δ xk−1 − xk−1 . P xk−1 |Z k−1 = i=1

(20.12)

Leaving the weights unchanged wik = wik−1 and allowing the new support value xki to be drawn on the basis of i old support value xk−1 , the prediction becomes Nk−1 

   wik−1 δ xk − xki . P xk |Z k−1 =

(20.13)

i=1

The SMC observation update step is relatively straightforward and described in [20.13, 18]. SMC methods are well suited to problems where state-transition models and observation models are highly nonlinear. However, they are inappropriate for problems where the state space is of high dimension. In addition, the number of samples required to model a given density faithfully increases exponentially with state-space dimension. Interval Calculus Interval representation of uncertainty has a number of potential advantages over probabilistic techniques. An interval to bound true parameter values provides a good measure of uncertainty in situations where there is a lack of probabilistic information, but in which sensor and parameter error is known to be bounded. In this

technique, the uncertainty in a parameter x is simply described by a statement that the true value of the state x is known to be bounded between a and b, i. e., x ∈ [a, b]. There is no other additional probabilistic structure implied. With a, b, c, d ∈ R, interval arithmetic is also possible as d([a, b], [c, d]) = max(|a − c|, |b − d|) .

(20.14)

Interval calculus methods are sometimes used for detection, but are not generally used in data fusion problems because of the difficulties to get results converged to anything value, and to encode dependencies between variables. Fuzzy Logic Fuzzy logic has achieved widespread popularity for representing uncertainty in high-level data fusion tasks. Fuzzy logic provides an ideal tool for inexact reasoning, particularly in rule-based systems. In the conventional logic system, a membership function μA (x) (also called the characteristic function) is defined. Then the fuzzy membership function assigns a value between 0 and 1, indicating the degree of membership of every x to the set A. Composition rules for fuzzy sets follow the composition processes for normal crisp sets as

A ∩ B  μA∩B (x) = min[μA (x), μB (x)] , A ∪ B  μA∪B (x) = max[μA (x), μB (x)] .

(20.15) (20.16)

The relationship between fuzzy set theory and probability is, however, still debated. Evidential Reasoning Evidential reasoning methods are qualitatively different from either probabilistic methods or fuzzy set theory. In evidential reasoning, belief mass cannot only be placed on elements and sets, but also sets of sets, while in probability theory a belief mass may be placed on any element xr ∈ χ and on any subset A ⊆ χ. The domain of evidential reasoning is the power set 2χ . Evidential reasoning methods play an important role in discrete data fusion, attribute fusion, and situation assessment, where information may be unknown or ambiguous. Multisensory fusion methods and their models are summarized in Table 20.2, and details can be founded in [20.13, 14]. In addition, multisensor integration or fusion is not only the process of combining inputs from sensors with information from other sensors, but also the logical procedure of inducing optimal output from multiple inputs with one representative format [20.19]. In the fusion of

Sensors and Sensor Networks

20.2 Sensor Networks

341

Table 20.2 Multisensor data fusion methods [20.13] Approach

Method

Fusion model and rule

Probabilistic modeling

Bayes’ rule

P(x|Z k ) =

Probabilistic grids

P + (xij ) = CΛ(xij )P(xij ) P(i| j)    E [x(i) − x(i| ˆ j)][x(i) − x(i| ˆ j)] |Z j   P x k |Z k Nk     ( wik−1 P z k = z k |x k = xki δ x k − x ki =C

The Kalman filter

i=1

Nonprobabilistic modeling

Interval calculus Fuzzy logic Evidential reasoning

large-size distributed sensor networks, an additional advantage of multisensor integration (MSI) is the ability to obtain more fault-tolerant information. This fault tolerance is based on redundant sensory information that compensates for faulty or erroneous readings of sensors. There are several types of multisensor fusion and integration methods, depending on the types of sensors and their deployment [20.20]. This topic has received increasing interest in recent years because of the sensibility of networks built with many low-cost microand nanosensors. As an example, a recent improvement of the fault-tolerance sensor integration algorithm (FTSIA) by Liu and Nof [20.21, 22] enables it not only to detect possibly faulty sensors and widely faulty sensors, but also to generate a final data interval estimate from the correct sensors after removing the readings of those faulty sensors.

20.2.3 Sensor Network Design Considerations Sensor networks are somewhat different from traditional operating networks because sensor nodes, especially microsensors, are highly prone to failure over time. As sensor nodes weaken or even die, the topology of the active sensor networks changes frequently. Especially when mobility is introduced into the sensor nodes, maintaining the robustness and discovering topology consistently become challenging. Therefore, the algorithms developed for sensor network communication and task administration should be flexible and stable against changes of network topology and work properly under unexpected failure of sensors. In addition, in order to be used in most applications, DSN systems should be designed with application-

d([a, b], [c, d]) = max(|a − c|, |b − d|) A ∩ B  μA∩B (x) = min[μA (x), μB (x)] A ∪ B  μA∪B (x) = max[μA (x), μB (x)] 2χ = {{occupied, empty}, . . . {occupied}, {empty}, 0}

specific communication algorithms and task administration protocols because microsensors and their networking systems are extremely resource constrained. Therefore, most research efforts have focused on applicationspecific protocols with respect to energy consumption and network parameters such as node density, radio transmission range, network coverage, latency, and distribution. Current network protocols also use broadcasting for communication, while traditional and ad hoc networks use point-to-point communication. Hence, the routing protocols, in general, should be designed by considering crucial sensor network features as follows: 1. Fault tolerance: Over time, sensor nodes may fail or be blocked due to lack of power, physical damage or environmental interference. The failure of sensor nodes, however, should not affect the overall operation of the sensor network. Thus, fault tolerance or reliability is the ability to sustain sensor network functionality despite likely problems. 2. Accuracy improvement: Redundancy of information can reduce overall uncertainty and increase the accuracy with which events are perceived. Since nodes located close to each other are combining information about the same event, fused data improve the quality of the event information. 3. Timeliness: DSN can provide the processing parallelism that may be needed to achieve an effective integration process, either at the actual speed that a single sensor could provide, or at even faster operation speed. 4. Network topology: A large number of nodes deployed throughout the sensory field should be maintained by carefully designed topology because any changes in sensor nodes and their deployments

Part C 20.2

Sequential Monte Carlo methods

P(z k |x)P(x|Z k−1 )   P z k |Z k−1

342

Part C

Automation Design: Theory, Elements, and Methods

Part C 20.2

affect the overall performance of DSN. Therefore, a flexible and simple topology is usually preferred. 5. Energy consumption: Since each wireless sensor node is working with a limited power source, the design of power-saving protocols and algorithms is a significant issue for providing longer lifetime of sensor network systems. 6. Lower cost: Despite the use of redundancy, a distributed microsensor system obtains information at lower cost than the equivalent information expected from a single sensor because it does not require the additional cost of functions to obtain the same reliability and accuracy. The current cost of a microsensor node, e.g., dust mote [20.9], is still expensive (US$ 25–172), but it is expected to be less than US$ 1 in the near future, so that sensor networks can be justified. 7. Scalability: The coverage area of a sensor network system depends on the transmission range of each node and the density of the deployed sensors. The density of the deployed nodes should be carefully designed to provide a topology appropriate for the specific application. To provide the optimal solution to meet these design criteria in the sensor network, researchers have considered various protocols and algorithms. However, none of these studies has been developed to improve all a)

b) BS

Cluster head

BS

Cluster head

Cluster

c)

BS

d)

BS

Fig. 20.7a–d Four different configurations of wireless sensor networks: (a) single hop with clustering, (b) multihop with clustering, (c) single hop without clustering, and (d) multihop without clustering. BS – base station

design factors because the design of a sensor network system has typically been application specific. A distributed network of microsensor arrays (MSA) can yield more accurate and reliable results based on built-in redundancy. Recent developments of flexible and robust protocols with improved fault tolerance will not only meet essential requirements in distributed systems but will also provide advanced features needed in specific applications. While MEMS sensor technology has advanced significantly in recent years, scientists now realize the need for design of effective MEMS sensor communication networks and task administration.

20.2.4 Sensor Network Architectures A well-designed distributed network of microsensor arrays can yield more accurate and reliable results based on built-in redundancy. Recent developments of flexible and robust protocols with improved fault tolerance will not only meet essential requirements in distributed systems but will also provide advanced features needed in specific applications. They can produce widely accessible, reliable, and accurate information about physical environments. Various architectures have been proposed and developed to improve the performance of systems and fault-tolerance functionality of complex networks depending on their applications. General DSN structures for multisensor systems were first discussed by Wesson et al. [20.23]. Iyengar et al. [20.24] and Nadig et al. [20.25] improved and developed new architectures for distributed sensor integration. A network is a general graph G = (V, L), where V is a set of nodes (or vertices) and L is a set of communicating links (or edges) between nodes. For a DSN, a node means an intelligent sensing node consisting of a computational processor and associated sensors, and an edge is the connectivity of nodes. As shown in Fig. 20.7, a DSN consists of a set of sensor nodes, a set of cluster-head (CH) nodes, and a communication network interconnecting the nodes [20.20, 24]. In general, one sensor node communicates with more than one CH, and a set of nodes communicating with a CH is called a cluster. A clustering architecture can increase system capacity and enable better resource allocation [20.26, 27]. Data are integrated in CH by receiving required information from associated sensors of the cluster. In the cluster, CHs can interact not only with other CHs, but also with higher-level CHs or a base station. A number of network configurations have been developed to prolong network

Sensors and Sensor Networks

343

progress of its tour, the mobile agent returns to the base station without having to visit other nodes on its route. This logic reduces network load, overcoming network latency, and improves fault-tolerance performance.

20.2.5 Sensor Network Protocols Communication protocols for distributed microsensor networks provide systems with better network capability and performance by creating efficient paths and accomplishing effective communication between the sensor nodes [20.29, 33, 34]. The point-to-point protocol (PTP) is the simplest communication protocol and transmits data to only one of its neighbors, as illustrated in Fig. 20.8a. However, PTP is not appropriate for a DSN because there is no communication path in case of failure of nodes or links. In the flooding protocol (FP), the information sent out by the sender node is addressed to all of its neighbors, as shown in Fig. 20.8b. This disseminates data quickly in a network where bandwidth is not limited and links are not loss-prone. However, since a node always sends data to its neighbors, regardless of whether or not the neighbor has already received the data from another source, it leads to the implosion problem and wastes resources by sending duplicate copies of data to the same node. The gossiping protocol (GP) [20.35, 36] is an alternative to the classic flooding protocol in which, instead of indiscriminately sending information to all its neighboring nodes, each sensor node only forwards the data to one randomly selected neighbor, as depicted in Fig. 20.8c. While the GP distributes information more slowly than FP, it dissipates resources, such as energy, at a relatively lower rate. In addition, it is not as robust relative to link failures as a broadcasting protocol (BP), because a node can only rely on one other node to resend the information for it in the case of link failure. In order to solve the problem of implosion and overlap, Heinzelman et al. [20.37] proposed the sensor a) PTP

b) FP

c) GP

Fig. 20.8a–c Three basic communication protocols: (a) point-topoint protocol (PTP), (b) flooding protocol (FP), and (c) gossiping protocol (GP)

Part C 20.2

lifetime and reduce energy consumption in forwarding data. In order to minimize energy consumption, routing schemes can be broadly classified into two categories: (1) clustering-based data forwarding scheme (Fig. 20.7a,b) and (2) multihop data forwarding scheme without clustering (Fig. 20.7b,d). In recent years, with the advancement of wireless mobile communication technologies, ad hoc wireless sensor networks (AWSNs) have become important. With this advancement, the above wired (microwired) architectures remain relevant only where wireless communication is physically prohibited; otherwise, wireless architectures are considered superior. The architecture of AWSN is fully flexible and dynamic, that is, a mobile ad hoc network represents a system of wireless nodes that can freely reorganize into temporary networks as needed, allowing nodes to communicate in areas with no existing infrastructure. Thus, interconnection between nodes can be dynamically changed, and the network is set up only for a short period of communication [20.28]. Now the AWSN with an optimal ad hoc routing scheme has become an important design concern. In applications where there is no given pattern of sensor deployment, such as battlefield surveillance or environmental monitoring, the AWSN approach can provide efficient sensor networking. Especially in dynamic network environments such as AWSN, three main distributed services, i. e., lookup service, composition service, and dynamic adaptation service by self-organizing sensor networks, are also studied to control the system (see, for instance, [20.29]). In order to route information in an energy-efficient way, directed diffusion routing protocols based on the localized computation model [20.30, 31] have been studied for robust communication. The data consumer will initiate requests for data with certain attributes. Nodes will then diffuse the requests towards producers via a sequence of local interactions. This process sets up gradients in the network which channel the delivery of data. Even though the network status is dynamic, the impact of dynamics can be localized. A mobile-agent-based DSN (MADSN) [20.32] utilizes a formal concept of agent to reduce network bandwidth requirements. A mobile agent is a floating processor migrating from node to node in the DSN and performing data processing autonomously. Each mobile agent carries partially integrated data which will be fused at the final CH with other agents’ information. To save time and energy consumption, as soon as certain requirements of a network are satisfied in the

20.2 Sensor Networks

344

Part C

Automation Design: Theory, Elements, and Methods

Part C 20.2

protocol for information via negotiation (SPIN). SPIN nodes negotiate with each other before transmitting data, which helps ensure that only useful transmission of information will be executed. Nodes in the SPIN protocol use three types of messages to communicate: ADV (new data advertisement), REQ (request for data), and DATA (data message). Thus, SPIN protocol works in three stages: ADV–REQ–DATA. The protocol begins when a node advertises the new data that is ready to be disseminated. It advertises by sending an ADV message to its neighbors, naming the new data (ADV stage). Upon receiving an ADV, the neighboring node checks to see whether it has already received or requested the advertised data to avoid implosion and the overlap problem. If not, it responds by sending a REQ message for the missing data back to the sender (REQ stage). The protocol completes when the initiator of the protocol responds to the REQ with a DATA message, containing the missing data (DATA stage). In a relatively large sensor network, a clustering architecture with a local cluster-head (CH) is necessary. Heinzelman et al. [20.38] proposed the lowenergy adaptive clustering hierarchy (LEACH), which is a clustering-based protocol that utilizes randomized rotation of local cluster base stations to evenly distribute the energy load of sensors in DSN. Energy-minimizing routing protocols have also been developed to extend the lifetime of the sensing nodes in a wireless network; for example, a minimum transmission energy (MTE) routing protocol [20.39] chooses intermediate nodes such that the sum of squared distances is minimized by assuming a square-of-distance power loss between two nodes. This protocol, however, results in unbalanced termination of nodes with respect to the entire network.

Sensor level Networked sensors

Middleware level Context management

Low-level data abstraction • Data dessamination • Self-configuration • Sensor rate configuration • Communication scheduling • Monitoring the battery power • Sensor state control • Cluster control messages

In recent years, a time-based network protocol has been developed. The objective of a time-based protocol is to ensure that, when any tasks keep the resource idle for too long, their exclusive service by the resource is disabled; that is, the time-based control protocol is intended to provide a rational collaboration rule among tasks and resources in the networked system [20.40]. Here, slow sensors will delay timely response and other sensors may need to consume extra energy. The patented fault tolerant time-out protocal (FTTP) uses the basic concept of a time-out scheme effectively in a microsensor communication control (FTTP is a patent-pending protocol of the PRISM Center at Purdue University, USA). The design of industrial open protocols for mostly wired communication known as fieldbuses, such as DeviceNet and ControlNet, have also been evolved to provide open data exchange and a messaging framework [20.41]. Further development for wireless has been investigated in asset monitoring and maintenance using an open communication protocol such as ZigBee [20.42]. Wireless sensor network application designers also require a middleware to deliver a general runtime environment that inherits common requirements under application specifications. In order to provide robust functions to industrial applications under somewhat limited resource constraints and the dynamics of the environment, appropriate middleware is also required to contain embedded trade-offs between essential quality-of-service (QoS) requirements from applications. Typically, a sensor network middleware has a layered architecture that is distributed among the networked sensor systems. Based on traditional

• • • •

Resource context Cluster context Energy context Temporal context

• Service interpreter • Application specification • Qos requirements • Data aggregation • Analysis service

Qos control Error handling Service differenciation Network control Event detection and coordination • Middleware component control • • • •

Application level

Services

Application manager • Analyze data • Manage database • Application requirements • Industrial application classification • Trade-offs

Fig. 20.9 Middleware architecture for facility sensor network applications (MidFSN) (after [20.36])

Sensors and Sensor Networks

20.2 Sensor Networks

Part C 20.2

Base station

Middleware (MidFSN) Resource management QoS control services Photoelectronic sensor Inductive sensor

Fig. 20.10 Wireless microsensor network system with two different types of microsensor nodes in an industrial automation application (after [20.43])

architectures, researchers [20.43] have recently been developing a middleware for facility sensor network (MidFSN) whose layered structure is classified into three layers as depicted in Fig. 20.9.

GPS

Kalman filter

20.2.6 Sensor Network Applications Distributed microsensor networks have mostly been applied to military applications. However, a recent trend in sensor networks has been to apply the technology to various industrial applications. Figure 20.10 illustrates a networked sensor application used in factory automation. Environment applications such as examination of flowing water and detection of air contaminants require flexible and dynamic topology for the sensor network. Biomedical applications of collecting information from internal human body are based on bio/nanotechnology. For these applications, geometrical and dynamical characteristics of the system must be considered at the design step of network architecture [20.44]. It is also essential to use fault-tolerant network protocols to aggregate very weak signals without losing any critical signals. Specifically designed sensor network systems can also be applicable for intelligent transportation systems, monitoring material flow, and home/office network systems.

Doppler

Gyroscope

345

Accelerometer Tachometer

Transponder

Fig. 20.11 Networked sensors for train tracking and tracing (global positioning system (GPS))

Public transportation systems are another example of sensor network applications. Sensor networks have been successfully implemented into highway systems for vehicle and traffic monitoring, providing key technology for intelligent transportation systems (ITS). Recently various networked sensors have been applied to railway system to monitor the location of rolling stocks and detect objects or obstacles on the rail in advance (Fig. 20.11). Networked sensors can cover a wide monitoring area and deliver more accurate information.

346

Part C

Automation Design: Theory, Elements, and Methods

20.3 Emerging Trends

Part C 20.3

Energy-conserving microsensor network protocols have drawn great attention over the years. Other important metrics such as latency, scalability, and connectivity have also been deeply studied recently. However, it should be realized that there are still emerging research issues in sensor network systems. Although current wireless microsensor network research is moving forward to more practical application areas, emerging research on the following new topics should be further examined and will surface.

needs to be built into the network design and not as an afterthought. That is, network techniques to provide low-latency, survivable, and secure networks are required. In general, low probability of communication detection is needed for networks because sensors are envisioned for use behind enemy lines. For the same reasons, the network should be protected again intrusion and spoofing. For the network security, some research questions should be examined:

20.3.1 Heterogeneous Sensors and Applications

1. How much and what type of security is really needed? 2. How can data be authenticated? 3. How can misbehaving nodes be prevented from providing false data? 4. Can energy and security be traded-off such that the level of network security can be easily adapted?

In many networked sensor applications and their performance evaluation, homogeneous or identical sensors were most commonly considered; therefore network performance was mainly determined by the geometrical distances between sensors and the remaining energy of each sensor. In practical applications other factors can also influence coverage, such as obstacles, environmental conditions, and noise. In addition to nonhomogeneous sensors, other sensor models can deal with nonisotropic sensor sensitivities, where sensors have different sensitivities in different directions. The integration of multiple types of sensors such as seismic, acoustic, and optical sensors in a specific network platform and the study of the overall coverage of the system also present several interesting challenges. In addition, when sensor nodes should be shared by multiple applications with differing goals, protocols must efficiently serve multiple applications simultaneously. Therefore, for heterogeneous sensors and their network application development, several research questions should be considered: 1. How should resources be utilized optimally in heterogeneous sensor networks? 2. How should heterogeneous data be handled efficiently? 3. How much and what type of data should be processed to meet quality-of-service (QoS) goals while minimizing energy usage?

20.3.2 Security Another emerging issue in wireless sensor networks is related to network security. Since the sensor network may operate in a hostile environment, security

20.3.3 Appropriate Quality-of-Service (QoS) Model Research in QoS has received considerable attention over the years. QoS has to be supported at media access control (MAC), routing, and transport layers. Most existing ad hoc routing protocols do not support QoS. The routing metric used in current work still refers to the shortest path or minimum hop. However, bandwidth, delay, jitter, and packet loss (reliability or data delivery ratio) are other important QoS parameters. Hence, mechanisms of current ad hoc routing protocols should allow for route selection based on both QoS requirements and QoS availability. In addition to establishing QoS routes, QoS assurance during route reconfiguration has to be supported too. QoS considerations need to be made to ensure that end-to-end QoS requirements continue to be supported. Hence, there is still significant room for research in this area.

20.3.4 Integration with Other Networks In the near future, sensor networks may interface with other networks, such as a Wi-Fi network, a cellular network, or the Internet. Therefore, to find the best way to interface these networks will be a big issue. Sensor network protocols should support (or at least not compete with) the protocols of the other networks; otherwise sensors could have dual network interface capabilities.

Sensors and Sensor Networks

References

347

References 20.1

20.2

20.4

20.5

20.6

20.7 20.8

20.9

20.10

20.11 20.12

20.13

20.14 20.15

20.16 20.17 20.18 20.19

20.20

20.21

20.22

20.23

20.24

20.25

20.26

20.27

20.28 20.29

20.30

20.31

20.32

20.33

20.34

20.35

Y. Liu, S.Y. Nof: Distributed micro flow-sensor arrays and networks: Design of architecture and communication protocols, Int. J. Prod. Res. 42(15), 3101–3115 (2004) S.Y. Nof, Y. Liu, W. Jeong: Fault-tolerant time-out communication protocol and sensor apparatus for using same, patent pending (Purdue University, 2003) R. Wesson, F. Hayes-Roth, J.W. Burge, C. Stasz, C.A. Sunshine: Network structures for distributed situation assessment, IEEE Trans. Syst. Man Cybern. 11(1), 5–23 (1981) S.S. Iyengar, D.N. Jayasimha, D. Nadig: A versatile architecture for the distributed sensor integration problem, IEEE Trans. Comput. 43(2), 175–185 (1994) D. Nadig, S.S. Iyengar, D.N. Jayasimha: A new architecture for distributed sensor integration, Proc. IEEE Southeastcon’93E (1993) S. Ghiasi, A. Srivastava, X. Yang, M. Sarrafzadeh: Optimal energy aware clustering in sensor networks, Sensors 2, 258–269 (2002) C.R. Lin, M. Gerla: Adaptive clustering for mobile wireless networks, IEEE J. Sel. Areas Commun. 15(7), 1265–1275 (1997) M. Ilyas: The Handbook of Ad Hoc Wireless Networks (CRC, Boca Raton 2002) A. Lim: Distributed services for information dissemination in self-organizing sensor networks, J. Franklin Inst. 338(6), 707–727 (2001) D. Estrin, J. Heidemann, R. Govindan, S. Kumar: Next century challenges: Scalable coordination in sensor networks, Proc. 5th Annu. Int. Conf. Mobile Comput. Netw. (MobiCOM ’99) (1999) C. Intanagonwiwat, R. Govindan, D. Estrin, J. Heidemann, F. Silva: Directed diffusion for wireless sensor networking, IEEE/ACM Trans. Netw. 11(1), 2– 16 (2003) Y.A. Chau, E. Geraniotis: Multisensor correlation and quantization in distributed detection systems, Proc. 29th IEEE Conf. Decis. Control, Vol. 5 (1990) pp. 2692–2697 W.B. Heinzelman, A.P. Chandrakasan, H. Balakrishnan: An application-specific protocol architecture for wireless microsensor networks, IEEE Trans. Wirel. Commun. 1(4), 660–670 (2002) S.S. Iyengar, M.B. Sharma, R.L. Kashyap: Information routing and reliability issues in distributed sensor networks, IEEE Trans. Signal Process. 40(12), 3012–3021 (1992) A.S. Ween, N. Tomecko, D.E. Gossink: Communications architectures and capability improvement evaluation methodology, 21st Century Military Commun. Conf. Proc. (MILCOM 2000), Vol. 2 (2000) pp. 988–993

Part C 20

20.3

R.C. Luo: Sensor technologies and microsensor issues for mechatronics systems, IEEE/ASME Trans. Mechatron. 1(1), 39–49 (1996) R.C. Luo, C. Yih, K.L. Su: Multisensor fusion and integration: approaches, applications, and future research directions, IEEE Sens. J. 2(2), 107–119 (2002) J.S. Wilson: Sensor Technology Handbook (Newnes Elsevier, Amsterdam 2004) J. Fraden: Handbook of Modern Sensors: Physics, Designs, and Applications, 3rd edn. (Springer, Berlin, Heidelberg 2003) J.G. Webster (Ed.): The Measurement, Instrumentation and Sensors Handbook (CRC, Boca Raton 1998) C.W. de Silva (Ed.): Mechatronic Systems: Devices, Design, Control, Operation and Monitoring (CRC, Boca Raton 2008) S. Cetinkunt: Mechatronics (Wiley, New York 2007) D.G. Alciatore, M.B. Histand: Introduction to Mechatronics and Measurement Systems (McGrawHill, New York 2007) B. Warneke, M. Last, B. Liebowitz, K.S.J. Pister: Smart dust: communicating with a cubicmillimeter computer, Computer 34(1), 44–51 (2001) H. Yamasaki (Ed.): Intelligent Sensors, Handbook of Sensors and Actuators, Vol. 3 (Elsevier, Amsterdam 1996) R. Brooks, S. Iyengar: Multi-Sensor Fusion (Prentice Hall, New York 1998) K. Faceli, C.P.L.F. Andre de Carvalho, S.O. Rezende: Combining intelligent techniques for sensor fusion, Appl. Intell. 20, 199–213 (2004) D.-W. Hugh, T.C. Henderson: Multisensor data fusion. In: Springer Handbook of Robotics, ed. by B. Siciliano, O. Khatib (Springer, Berlin, Heidelberg 2008) H.B. Mitchell: Multi-Sensor Data Fusion (Springer, Berlin, Heidelberg 2007) A. Elfes: Sonar-based real-world mapping and navigation, IEEE Trans. Robot. Autom. 3(3), 249–265 (1987) L.D. Stone, C.A. Barlow, T.L. Corwin: Bayesian Multiple Target Tracking (Artech House, Norwood 1999) P.S. Mayback: Stochastic Models, Estimation and Control, Vol. I (Academic, New York 1979) Y. Bar-Shamon, T.E. Fortmann: Tracking and Data Association (Academic, New York 1998) R.C. Luo, M.G. Kay: Multisensor integration and fusion in intelligent systems, IEEE Trans. Syst. Man Cybern. 19(5), 901–931 (1989) S.S. Iyengar, L. Prasad, H. Min: Advances In Distributed Sensor Integration: Application and Theory, Environmental and Intelligent Manufacturing Systems, Vol. 7 (Prentice Hall, Upper Saddle River 1995)

348

Part C

Automation Design: Theory, Elements, and Methods

20.36

20.37

Part C 20

20.38

20.39

S.M. Hedetniemi, S.T. Hedetniemi, A.L. Liestman: A survey of gossipingand broadcasting in communication networks, Networks 18, 319–349 (1988) W.R. Heinzelman, J. Kulik, H. Balakrishnan: Adaptive protocols for information dissemination in wireless sensor networks, Proc 5th Annu. ACM/IEEE Int. Conf. Mobile Comput. Netw. (MobiCom’99) (1999) pp. 174–185 W.R. Heinzelman, A. Chandrakasan, H. Balakrishnan: Energy-efficient communication protocol for wireless microsensor networks, Proc. 33rd Annu. Hawaii Int. Conf. Syst. Sci. (2000) pp. 3005– 3014 M. Ettus: System capacity, latency, and power consumption in multihop-routed SS-CDMA wireless

20.40

20.41 20.42 20.43

20.44

networks, Radio Wirel. Conf. (RAWCON’98) (1998) pp. 55–58 Y. Liu, S.Y. Nof: Distributed micro flow-sensor arrays and networks: Design of architecture and communication protocols, Int. J. Prod. Res. 42(15), 3101–3115 (2004) OPC HAD specifications (2003). Version 1.20.1.00 edition, http://www.opcfoundation.org. ZigBee Alliance. Network Specification, Version 1.0, 2004. W. Jeong, S.Y. Nof: A collaborative sensor network middleware for automated production systems, Comput. Ind. Eng. (2008), in press W. Jeong, S.Y. Nof: Performance evaluation of wireless sensor network protocols for industrial applications, J. Intell. Manuf. 19(3), 335–345 (2008)

349

Industrial Int 21. Industrial Intelligent Robots

Yoshiharu Inaba, Shinsuke Sakakibara

21.3 Intelligent Robots................................. 21.3.1 Mechanical Structure .................... 21.3.2 Control System ............................. 21.3.3 Vision Sensors.............................. 21.3.4 Force Sensors ............................... 21.3.5 Control Functions ......................... 21.3.6 Offline Programming System.......... 21.3.7 Real-Time Supervisory and Control System ......................

352 352 352 352 355 356 357

21.4 Application of Intelligent Robots ........... 21.4.1 High-Speed Handling Robot .......... 21.4.2 Machining Robot Cell – Integration of Intelligent Robots and Machine Tools ....................... 21.4.3 Assembly Robot Cell......................

359 359

358

360 361

21.5 Guidelines for Installing Intelligent Robots ............. 362 21.5.1 Clarification of the Range of Automation by Intelligent Robots ... 362 21.5.2 Suppression of Initial Capital Investment Expense ..................... 362 21.6 Mobile Robots ...................................... 362

21.1 Current Status of the Industrial Robot Market .............. 349 21.2 Background of the Emergence of Intelligent Robots............................. 350

21.7 Conclusion ........................................... 363 21.8 Further Reading ................................... 363 References .................................................. 363

21.1 Current Status of the Industrial Robot Market Industrial robots are now taking active part in various fields, including automotive and general industries. Today, industrial robots are used in many industries in many countries. The operational stock of industrial robots in major industrialized countries is shown in Table 21.1. Current industrial robots are typically used in such applications as spot welding, arc welding, spray painting, and material handling, as shown in Figs. 21.1– 21.4, respectively.

Devol started the history of the industrial robot by filing the patent of its basic idea in 1954. A teachingplayback type industrial robot was delivered as a product for the first time in the USA in 1961. The robot featured two basic operations, teaching and playback, which are adopted in almost all robots on current factory floors.

Part C 21

It has been believed for a long time since the birth of the industrial robot that the only task it could perform was to play back simple motions that had been taught in advance. At the beginning of the 21st century, the industrial robot was born again as the industrial intelligent robot, which performs highly complicated tasks like skilled workers on a production site, mainly due to the rapid advancement in vision and force sensors. The industrial intelligent robot has recently been a key technology to solve issues that today’s manufacturing industry is faced with, including the decreasing number of skilled workers and demands for reducing manufacturing costs and delivery time. In this chapter, the latest technology trends in its element technologies such as vision and force sensors are introduced with some of its applications such as the robot cell, which has succeeded in drastically reducing machining costs.

350

Part C

Automation Design: Theory, Elements, and Methods

Table 21.1 Shipments and operational stock of multipurpose industrial robots in 2005 and 2006 and forecasts for 2007–2010.

Number of units (source: World Robotics 2007)

Part C 21.2

Country America North America (Canada, Mexico, USA) Central and South America Asia/Australia China India Japan Republic of Korea Taiwan, Province of China Thailand Other Asia Australia/New Zealand Europe Austria Benelux Denmark Finland France Germany Italy Norway Portugal Spain Sweden Switzerland Turkey United Kingdom Central/Eastern European countries other Europe Africa Total

Yearly installations 2005 2006 21 986 17 910

2007 21 400

2010 24 400

Operational stock at year-end 2005 2006 2007 143 634 154 680 167 100

2010 209 000

21 567

17 417

20 500

23 000

139 984

150 725

162 400

200 900

419 76 047 4461 450 50 501 13 005

493 61 748 5770 836 37 393 10 756

900 66 000 6600 1600 39 900 10 700

1400 75 000 7900 4500 42 300 11 800

3650 481 652 11 557 1069 373 481 61 576

3955 479 027 17 327 1905 351 658 68 420

4700 500 500 23 900 3500 355 000 73 600

8100 579 900 47 000 14 100 362 900 94 000

4096 1458 1163 913 28 432 485 1097 354 556 3077 10 075 5425 115 144 2709 939 442 207 1363

4307 1102 812 772 31 536 498 1459 417 321 3071 11 425 6259 181 268 2409 865 458 368 1220

19 204 3574 11 385 5554 315 624 4382 10 128 3013 4349 32 110 132 594 60 049 960 1710 26 008 8245 3940 771 15 082

329 800

380 000

34 000 137 900 63 800

38 800 147 400 72 000

15 300

13 800

1287 157 204 126 669

1322 995 426 112 203

10 781 1502 1060 950 974

1700 999 100

4400 1 173 300

35 000

39 000

3300 12 700 6900

3200 13 000 6400

1000

800

15 464 2472 11 095 4938 296 918 4148 9362 2661 4159 30 236 126 294 56 198 811 1542 24 141 8028 3732 403 14 948

900 139 300

9446 809 634 922 838

700 123 100

Source: IFR, national robot associations and UNECE (up to 2004)

21.2 Background of the Emergence of Intelligent Robots The use of industrial robots on the production site started a rapid expansion in the 1980s because it came to be known that they had the possibility of improving

productivity and making the quality of products stable. However, this led to a situation where, for example, dedicated equipment had to be prepared to supply work-

Industrial Intelligent Robots

21.2 Background of the Emergence of Intelligent Robots

351

Fig. 21.1 Spot welding

Fig. 21.4 Material handling

Fig. 21.2 Arc welding

pieces to a robot. Also, human operators had to prepare workpieces in alignment for the dedicated equipment before the robot loaded a workpiece to such a machine tool as a lathe. In 2001, the industrial intelligent robots (hereafter intelligent robots) appeared on the industrial scene mainly to automate loading workpieces to the fixtures of machine tools such as machining centers.

Before discussing specifics, it is necessary to look back at the history of machining process automation. The first private sector numerical control (NC) was developed in the 1950s, followed by the dramatic enhancement of the NC machine tool market. The machining itself was almost completely automated by the NC; however, loading and unloading of workpieces to and from machine tools were still done by human operators even in the 1990s. The intelligent robot appeared in 2001 for the first time. The term intelligent robot does not mean a humanoid robot that walks and talks like a human being, but rather one that performs highly complicated tasks like a skilled worker on the

Part C 21.2

Fig. 21.3 Spray painting

352

Part C

Automation Design: Theory, Elements, and Methods

J4, J5, J6 motor

J3 axis J4 axis J6 axis

J3 motor J2 axis

Wrist flange

J5 axis

J1 motor

J2 arm J2 motor J1 axis Base

Reducer J2 axis motor

Part C 21.3

J1 base

Fig. 21.5 Mechanical structure of an industrial robot

production site by utilizing vision sensors and force sensors. It has first enabled the automatic precision loading of workpieces to the fixture of the machining center and eliminated the need for dedicated parts

supply equipment, as the robot picks up workpieces one by one using its vision sensor once the workpieces are delivered to a basket near the robot. This also eliminated a burdensome process imposed upon human operators; that of arraying workpieces for the dedicated equipment. In addition, the automation of several tasks, which follow the machining, such as deburring, most of which had been difficult for conventional robots, was also realized by the intelligent robot. Thus, intelligent robots have recently been increasingly introduced in production, mainly due to their high potential for enhancing global competitiveness as a key technology to solve issues that today’s manufacturing industry is faced with, including the decreasing number of skilled workers and demands for reducing manufacturing costs and delivery time. In this regard, the rapid advancement in vision and force sensors and offline programming, and the element technologies for intelligent robots support the trend of robotic automation.

21.3 Intelligent Robots 21.3.1 Mechanical Structure

21.3.2 Control System

Figure 21.5 shows a typical configuration of a vertical articulated type six-axis robot. There are no big differences between the mechanical structure of an intelligent robot and that of a conventional robot. It comprises several servomotors, reducers, bearings, arm castings, etc.

As shown in Fig. 21.6, the sensor interface, which enables the connection of sensors such as vision sensors and/or force sensors to the controller, features the control system of an intelligent robot compared to that of a conventional robot. High-speed microprocessors and communication interface also features it. Operators of intelligent robots make robot motion programs by operating the teach pendant shown in Fig. 21.7 and moving the robot arm step by step. The servo control of intelligent robots is similar to that of conventional robots as shown in Fig. 21.8. The performance of several servo control functions of intelligent robots, such as the interpolation period, are highly enhanced compared with that of conventional robots.

Communication control

Motion control CPU

SRAM DRAM

Flash ROM

CPU

Servo Processor

USB RS232C RS422 ethernet

6-axis amplifier

Servo motor

Force sensor

Fig. 21.6 Control system

Vision sensor

Teach pendant

21.3.3 Vision Sensors I/O unit

Two types of vision sensors are often used on the factory floor: two-dimensional (2-D) and three-dimensional (3-D) vision sensors. The 2-D vision sensors acquire two-dimensional images of an object by irradiating natural light or artificial light on the object and taking the image of the reflected light with a CCD or other type of camera. This enables obtaining a two-dimensional po-

Industrial Intelligent Robots

Rotation

21.3 Intelligent Robots

Original

Concealment

Resizing

Poor quality Out of focus

Brightness Brightness change (partially) change (totally) CCD camera

Fig. 21.9 Images captured by 2-D vision sensor

sition and rotation angle of the object. Recently, 2-D vision sensors have been made useful under the severe production environment at the factory floor due to enhanced tolerance to change in brightness and image degradation based on improved processing algorithms and increased processing speed. Figure 21.9 shows

Current position

Target position

Velocity

several images captured and processed by 2-D vision sensors. There are two major methods for 3-D vision sensors, the structured light method and the stereo method. Of these, the structured light method irradiates the structured light such as slit light or pattern light on an object, and takes images of the reflected light by a CCD or other type of camera to obtain images of the object. The 3-D position and posture of the object are calculated with high accuracy from these images. Additional information on sensors is also provided in Chap. 20.

Phase current t t

Motion command Position control

Velocity control

Current control

Power amp

Current feedback Phase information Velocity feedback Position feedback

Fig. 21.8 Servo control

Pulse coder Servo motor

Part C 21.3

• Robust against changes in captured images • No need for parameter tuning leads to simple teaching

Fig. 21.7 Teach pendant

353

354

Part C

Automation Design: Theory, Elements, and Methods

CCD camera

Overall Search for the Workpieces The image of piled workpieces is taken from upper side by the far-sighted-eye, and the pretaught model is detected from within the image. This far-sighted-eye is calibrated in advance. Then the approximate position of each detected workpiece is acquired. The controller moves the hand-eye (a vision sensor mounted on the hand) near the work-piece based upon this 3-D position, and measurement instructions are issued to the sensor controller, then the trial measurement explained below is executed.

Laser slit light projector

3-D vision sensor

Object

Part C 21.3

Fig. 21.10 Bin picking

Figure 21.10 shows the bin-picking function realized by using the 3-D vision sensor with the structured light method. The robot can pick up workpieces one by one from those randomly piled in a basket using the 3-D vision sensor. With respect to the economic effect of the 3-D vision sensor, this reduces the capital investment expense by simplifying the peripheral equipment such as the workpiece feeder, and relieves the operator from the daily burden of arraying workpieces as shown in Fig. 21.11. Some of the vision sensors have control units built into the robot control system, which significantly enhances the reliability in use in severe production environments. The following section explains the typical sequences needed to achieve the bin-picking function.

Trial Measurement The purpose of the trial measurement is to acquire both the 3-D position and posture of the workpiece specified by the overall search. This trial measurement uses the hand-eye and its measurement position is calculated with the approximate position of the workpiece obtained from the overall search. In the trial measurement the 3-D position and posture of the workpiece are obtained by projecting the structured light by the hand-eye sensor. Because the approximate position of the workpiece obtained from the overall search does not include the posture of the workpiece, the trial measurement position and posture are not always appropriate to the workpiece. This may cause unsuitable accuracy for workpiece handling. To compensate this condition, fine measurement is performed in the next step. Fine Measurement The hand-eye is able to come closer to the workpiece after the overall search for the bin and the trial measurement; thus it becomes very easy to measure the position and posture of the workpiece accurately. Figure 21.12 shows accuracy improvement in measuring the position and posture of workpieces by

Conventional robot Need for peripheral equipment such as workpiece feeder and arrangement of workpieces on it by human operator

Material delivery

Intelligent robot with 3-D vision sensor

Measurement error Overall search (x, y, z, r) 3-D vision sensor

No need for peripheral equipment such as workpiece feeder and arrangement of workpieces on it by human operator

Fig. 21.11 Economic effect of the vision sensor

Trial measurement (x, y, z, w, p, r) Fine measurement (x, y, z, w, p, r) Sensing step

Fig. 21.12 Accuracy improvement by repetitive measure-

ment

Industrial Intelligent Robots

this repetitive measurement using the 3-D vision sensor.

355

Motor (6 axis)

Input torque

Torque in motion

Motor rotational speed (6 axis)

Dynamics

Torque in collision

Robot dynamic model Spring factor

Threshold? Yes Damping factor

Collision

Mass

Fig. 21.13 Collision detection CCD camera Left Right

Object

Correspondence point matching

Epipolar line Left camera image

Right camera image

Fig. 21.14 Stereovision method

21.3.4 Force Sensors

a certain pressure. Figure 21.16 shows the change of the force and the moment in a peg-in-hole operation.

A six-axis force sensor is used to give robots dexterity by mounting it on the wrist of the robot arm. A force sensor generally detects x-, y-, and z-direction forces and each axis’ moment (a total of six degrees of freedom). The force sensor usually has a strain gauge on the distortion part, which becomes distorted when applied with force or moment and makes it possible to determine its value. Figure 21.15 shows an example of the force sensor. The use of force sensors has enabled the robot to do such tasks as shaft fitting and gear phase matching for precision machine parts assembly, as well as deburring and polishing, which require

Fig. 21.15 Force sensor

Part C 21.3

Error Recovery When building an automation system, it is desirable to prevent errors that might stop the system beforehand, but complete provisions to prevent errors are impossible, and the occurrence of unexpected errors is inevitable. For this reason, intelligent robots incorporate exception-handling functions that work in the event of an error occurring. Exception handling analyzes the cause of the error to recover the corresponding error state. This prevents the fall in the operation rate of the bin-picking system. For instance, when a workpiece is taken out from among those in the basket, the interference of the robot and peripheral equipment might happen occasionally. Then the robot controller with its high sensitivity collision detection function, the details of which are shown in Fig. 21.13, detects the load suddenly added to the robot by the interference, and stops the movement of the robot instantaneously. The position and the posture of the robot are retrieved, and it becomes possible for the robot to continue to move afterwards. This function prevents robot and peripheral equipment from being damaged beforehand, and is useful for improving the operation rate of the system. The other 3-D vision method, the stereovision method, uses images taken by two cameras, by matching corresponding points on the left and right images to calculate the objects’ 3-D position and posture (Fig. 21.14). Although it takes some time to match corresponding points, this method may be advantageous in such a case where a mobile robot has to recognize its surroundings, as there is no need to irradiate auxiliary light as in the structured light method.

21.3 Intelligent Robots

356

Part C

Automation Design: Theory, Elements, and Methods

Force

Moment Force sensor Peg

Part C 21.3

Hole

Fig. 21.16 Peg-in-hole with force sensor

Figure 21.17 shows an example of the assembly of the crank mechanical unit of injection molding machine with a force sensor. Figure 21.18 shows an example of the assembly of small electronic devices with force sensors.

21.3.5 Control Functions Flexible Control Function of a Robot Arm Conventional robots have difficulties in loading a casting workpiece accurately onto the chuck of a lathe or the fixture of a machining center due to deviance in position or posture of the casting deriving from the casting’s dimensional dispersion. In die-casting, there

Crank mechanical unit

Fig. 21.17 Assembly of a crank mechanical unit with a force sensor

is also the risk that the conventional robot arm with a workpiece does not comply with the ejector motion when the workpiece is drawn out from the die-mold by the ejector. The robot arm’s flexible control function enables the robot to softly control its arm in the Small electronic device

Force sensor

Fig. 21.18 Assembly of small electronic device with a force sensor

Industrial Intelligent Robots

21.3 Intelligent Robots

357

Robot #1

Robot #2 Robot #3

Workpiece

Workpiece Machining fixture

Fig. 21.19 Robot arm and workpiece comply with the machining fixture so as to fit the workpiece to the fixture

designated direction in orthogonal coordinate systems. It can thus accurately load a workpiece to the machine tool and draw out a workpiece from the die-mold without any disturbing force in the die-casting operation. Figure 21.19 shows the flexible control function of robot arm. It does not use any force sensors but rather the current of the servomotors that drive the robot arm. Coordinated Control Function of Multiple Robots Coordinated operation by multiple robots has been made possible by synchronizing robots connected to each other via the Ethernet. This function, for example, enables multiple robots to carry a heavy workpiece such as a car body in coordination, where the weight of the workpiece exceeds the payload capacity of one robot. Also, a flexible system can be configured as shown in Fig. 21.20, in which two robots rotate a workpiece while gripping it, where the two robots are used as rotating fixtures, and another robot arcwelds the workpiece in coordination with other robots’ motion.

Collision Detection Function Humans may have a sense of affinity with robots. However, robots are a highly rigid machine by nature, and may cause heavy damage to human beings or other machines at the time of collision. In the latest robot control technology, the drive power supply is immediately cut when a robot collides with an object because it detects the change in the servomotor current that drives the robot arm. This minimizes the damage if a robot collides with machines. Human beings must be separated from the robot in space and/or time by such safety means as fences.

21.3.6 Offline Programming System Offline programming systems have greatly contributed to decreasing the robot’s programming hours as PC performance has improved. There is usually a library of robot models in the offline programming system. The data of workpiece shapes, which has recently been compiled by using 3-D CAD systems, is read into the offline programming system. Peripheral equipment is often defined by using an easy shape generating function of the offline programming system, or by using 3-D CAD data. As shown in Fig. 21.21, after loading the workpiece’s 3-D CAD data into the offline programming system, the robot motion program can easily be generated automatically, just by designating the deburring path and the posture of the deburring tool on the PC display. However, the robot motion program automatically generated on the PC cannot immediately operate the robot on the production floor, as the positional relation of the robot and the workpiece on the PC display is

Part C 21.3

Fig. 21.20 Coordinated arc welding system with three robots

358

Part C

Automation Design: Theory, Elements, and Methods

Office

CAD data

Layout

Automatic detection of deburring lines

Setting of tool orientation

Part C 21.3

Transfer to robot

Automatic conversion to teaching points

Setting of approach points

Generation of robot motion program

Fig. 21.21 Deburring program generation by offline programming

21.3.7 Real-Time Supervisory and Control System

Factory floor

3-D vision sensor

Fig. 21.22 Measurement of workpiece position and posture by the vision sensor

slightly different from that of the real robot and workpiece on the production site. The latest technology in robot vision sensors calculates the real workpiece’s position and posture on the production floor, enabling an automatically generated program to be corrected automatically, as shown in Fig. 21.22, thus significantly decreasing the programming time for deburring.

The real-time supervisory and control system is a software package to monitor and control the production system’s real time, which is generally called SCADA (supervisory control and data acquisition). As shown in Fig. 21.23, the system enables an operator to generate screens interactively, by making use of modularized functions of data collection, graphical drawing and data analysis software, as well as standard communication network and common database. Various production data including machining data and cycle time can be controlled and analyzed from an office via the Ethernet, which links the office computers with the CNC machine tools and robots on the production floor. The plant manager can directly access the production outcome and operational status of machine tools and robots in the plant he/she is responsible for via internet or by using a mobile phone even during his/her travels abroad, to give precise and timely directions. For a related discussion see Chap. 23 on programming real-time systems for automation. There is also a system available with a function to strongly support finding causes of temporal system stoppages during the system operation on the production floor.

Industrial Intelligent Robots

21.4 Application of Intelligent Robots

359

Fanuc cimplicity/roboguide

Part C 21.4

Robot status

RoboDrill status

Fig. 21.23 Real-time supervisory and control system

21.4 Application of Intelligent Robots 21.4.1 High-Speed Handling Robot In the food and pharmaceutical handling field, there has been a delay in robotic automation compared with heavy workpiece handling, as the goods handled in this field are relatively lighter, and as there is a stronger requirement for higher-speed and continuous handling operation. Figure 21.24 shows an example of the highspeed handling by an intelligent robot, which can operate continuously at high speeds, and is clean, washable, and chemical proof. This robot adopts the dual drive and torque tandem control method as shown in Fig. 21.25, the first case in robots, to achieve high-speed and continuous operation. Each basic axis has two motors, and by optimally controlling these motors, high acceleration/deceleration and continuous operation for high duty performance are achieved. Using the visual tracking function, which combines a vision sensor and a tracking function, can increase handling efficiency. With the vision function built into the robot controller, the vision system has become highly reliable for use on the production floor. With its vision sensor the robot recognizes the position of

a workpiece coming on the conveyer and compensates the robot motion trajectory by comparing the workpiece’s position with the conveyor speed data received from the pulse coder. Also, the system allocates handling operations among multiple robots in order to increase handling efficiency. Figure 21.24 shows the visual tracking function by three high-speed handling robots, which can handle 300 parts/min. Vision sensor

Fig. 21.24 Visual tracking system by high-speed handling robots

360

Part C

Automation Design: Theory, Elements, and Methods

J2

J2

J3

J1 J1

RoboDrill

J1 J2

J3

Position control

Intelligent robot

Speed control

J3

Current control Main motor Torque command Sub motor

Part C 21.4

Current control

Fig. 21.25 Dual drive and torque tandem control

21.4.2 Machining Robot Cell – Integration of Intelligent Robots and Machine Tools Generally, the machining system can reduce machining costs by operating long hours continuously. The history of machining system is summarized in Fig. 21.26. 1. In the 1980s, a 24 h continuous operation was achieved by introducing a CNC machine tool system equipped with pallet magazines (Fig. 21.26a). 2. In the 1990s, a 72 h continuous operation including weekends was achieved by introducing a machining system equipped with a large-scale multilayer pallet stocker (Fig. 21.26b). 3. In the 2000s, a robot cell system was developed in which an intelligent robot directly loads workpieces to the machining fixtures of the machining center, achieving 720 h per month, or 24 h for 30 days, continuous operation. The intelligent robot a)

1980s

b)

1990s

c)

Fig. 21.27 Mini robot cell. Decreases machining cost of

long hours of continuous machining drastically

compensates the deviation in its gripping of the workpiece, resulting from the dimensional variation of the casting, with its 3-D vision sensor. Also, the workpiece is loaded to the machining fixture with precision, because the workpiece is pressed softly to the surface of the fixture by controlling the robot arm softly as shown in Fig. 21.19. The robot cell can substantially reduce labor and machining costs, as well as initial capital investment (Fig. 21.26c). Figure 21.27 shows a mini robot cell comprised of a CNC drill and an intelligent robot, in which the intelligent robot loads and unloads workpieces to and from the CNC drill, and measures the dimensions of the ma-

2000s

Pallet magazine

Large-scale multilayer pallet stocker

Intelligent robot – robot cell –

24 hours continuous operation

72 hours continuous operation

720 hours continuous operation

1st Generation

2nd Generation

3rd Generation

Fig. 21.26a–c A trend in systems for long hours of continuous ma-

chining

Fig. 21.28 Top mount loader robot

Industrial Intelligent Robots

21.4 Application of Intelligent Robots

Part C 21.4

Fig. 21.29 Big robot

chined workpieces. An operator carries a basket filled with workpieces in front of the robot. The intelligent robot with a vision sensor picks up a workpiece from among those randomly placed in the basket and loads it to the drill. This robotic system eliminates dedicated workpiece supply equipment, as well as the manual work by human operators to array workpieces on it. Mini robot cells are available from the minimum configuration of one machine tool and one intelligent robot to a system with multiple machine tools and robots, meeting the various requirements of users. In the case where multiple machine tools work on a workpiece for different machining processes, there is also a system in which a mobile intelligent robot runs between the machine tools to transfer the workpiece as shown in Fig. 21.28. Until now, a heavy workpiece of about 1000 kg had to be handled by the coordination of more than two robots, and workers had to use the crane to handle the heavy workpiece. A big intelligent robot, whose payload is about 1000 kg appeared recently. This robot can simplify the robot cell as shown in Fig. 21.29.

21.4.3 Assembly Robot Cell Intelligent robots are also expected to take an active role in the assembly job, which comprises as large

361

Mini robot

Force Intelligent sensor robot

Intelligent robot

Vision sensor

Fig. 21.30 Assembly robot cell

a part of the machine industry as the machining job. The intelligent robot can perform highly accurate assembly jobs, picking up a workpiece from randomly piled workpieces on a tray, assembling it with the fitting precision of 10 μm or less clearance with its force sensor. Figure 21.30 shows an assembly robot cell in which intelligent robots are assembling mini robots.

362

Part C

Automation Design: Theory, Elements, and Methods

21.5 Guidelines for Installing Intelligent Robots The following items are guidelines that should be examined before an intelligent robot is introduced.

21.5.1 Clarification of the Range of Automation by Intelligent Robots

Part C 21.6

Though the intelligent robot loads workpieces to the machine tool and assembles parts with high accuracy, it cannot do everything a skilled worker can do. For instance, the task such as the assembly of a flexible thing belongs to a field in which humans are more skillful than intelligent robots. It is necessary to clearly separate the range that can be automated from the range

that relies on skilled workers before the introduction of intelligent robots.

21.5.2 Suppression of Initial Capital Investment Expense The effect of the introduction of intelligent robots arises if the peripheral equipment can be simplified by making use of flexibility that is one of the major features of intelligent robots. This effect weakens if the initial capital investment expense is not suppressed due to an easy increase of the expense for peripheral equipment compared with that of the automation that does not use intelligent robots.

21.6 Mobile Robots Figure 21.31 shows an autonomous mobile cleaning robot. The robot is mainly used for floor-cleaning in skyscrapers. It moves between stories by operating the elevator by itself, and cleans the floors at night. It au-

tonomously returns to the start position after it has worked. More than 10 cleaning systems using the robots have already been introduced into several skyscrapers in Japan.

Optical transmitter

Gyroscope Main controller

Running indicator light

Cleaning apparatus driver

Filter Motor controller Blower motor Obstacle sensor Bumper

Obstacle sensor Suction nozzle with power brush Drive wheel

Fig. 21.31 Autonomous mobile cleaning robot (source: Fuji Heavy Industries Ltd.)

Industrial Intelligent Robots

References

363

21.7 Conclusion The intelligent robot appeared on the factory floor at the beginning of 2000 and has vision and force sensors. It can work unattended at night and during holidays because it reduces manual preparations such as arrangement of workpieces and/or the necessity of system monitoring compared with the conventional robot. Thus, the use efficiency of equipment rises, machining and assembly costs can be reduced, and the global competitiveness of a product can be improved. The industrial intelligent robots still have tasks in which they cannot compete with skilled workers,

though they have a high level of skills, as has been explained so far. The assembly task of flexible objects such as wire-harnesses is one such task. There are several on-going research and development activities in the world to solve these challenges. One idea is to automate such a task completely, and another is to do it partially. In the latter case, robots and skilled workers work together; robots assemble mechanical parts and skilled workers assemble flexible parts, for example. In any case, the degree of cooperation between humans and robots will increase in the near future.

Part C 21

21.8 Further Reading • • • •

Y. Bar-Cohen, C. Breazeal: Biologically Inspired Intelligent Robots (SPIE Press, Bellingham 2003) G.A. Bekey: Autonomous Robots: From Biological Inspiration to Implementation and Control (MIT Press, Cambridge 2005) J.M. Holland: Designing Autonomous Mobile Robots: Inside the Mind of an Intelligent Machine (Newnes, Amsterdam 2003) S.C. Mukhopadhyay, G.S. Gupta: Autonomous Robots and Agents (Springer, New York 2007)

• • •

S.Y. Nof (Ed.): Handbook of Industrial Robotics (Wiley, New York 1999) R. Siegwart, I.R. Nourbakhsh: Introduction to Autonomous Mobile Robots (MIT Press, Cambridge 2004) P. Stone: Intelligent Autonomous Robotics: A Robot Soccer Case Study (Morgan Claypool, San Rafael 2007)

References 21.1

21.2 21.3

21.4 21.5

21.6

D.E. Whitney: Historical perspective and state of the art in robot force control, Proc. IEEE Int. Conf. Robot. Autom. ICRA (1985) T. Suehiro, K. Takase: Skill based manipulation system, J. Robot. Soc. Jap. 8(5), 551–562 (1990) M.T. Mason: Compliance and force control for computer controlled manipulators, IEEE Trans. Syst. Man Cybern. 11(6), 418–432 (1981) N. Hogan: Impedance control, Part 1–3, Trans. ASME J. Dyn. Syst. Meas. Control 107, 1–24 (1985) J.J. Craig, P. Hsu, S. Sastry: Adaptive control of mechanical manipulators, Int. J. Robot. Res. 6(2), 16–28 (1987) B. Yao, M. Tomizuka: Adaptive coordinated control of multiple manipulators handling a constrained object, Proc. IEEE Conf. Robot. Autom. (1993)

21.7

21.8

21.9

21.10

21.11

S. Inaba: Assembly of robots by AI robot, Proc. 22nd IEEE IECON (Int. Conf. Ind. Electron. Control Instrum.), Vol. 1 (1996) pp. xxxvii–xl S. Sakakibara, A. Terada, K. Ban: An innovative automatic assembly system where a two-armed intelligent robot builds mini robots, Proc. 27th ISIR (1996) pp. 937–942 S. Sakakibara: Intelligent assembly system suited for module assembly, Proc. 30th ISR (1999) pp. 385– 390 S. Sakakibara: The role of intelligent robot in manufacturing system of the 21st century, Proc. 32nd ISR (2001) K. Hariki, K. Yamanashi, K. Otsuka, M. Oda: Intelligent robot cell, FANUC Tech. Rev. 16(1), 37–42 (2003)

“This page left intentionally blank.”

365

Modeling and

22. Modeling and Software for Automation

Alessandro Pasetti, Walter Schaufelberger (Δ)

Software plays an increasing role in the design and implementation of automation systems. The efficient construction of reliable software is therefore a general goal in many automation projects. Automation projects are demanding and multifunctional, and nonfunctional (timing, reliability, testability etc.) requirements have to be satisfied. From the early days of the development

22.1 Model-Driven Versus Reuse-Driven Software Development .......................... 366 22.2 Model-Driven Software Development ..... 22.2.1 The Matlab Suite (Matlab/Simulink/Stateflow, Real-Time Workshop Embedded Coder)......................................... 22.2.2 Synchronous and Related Languages ................. 22.2.3 Other Domain-Specific Languages .. 22.2.4 Example: Software for Autonomous Helicopter Project.........................

368

22.3 Reuse-Driven Software Development...... 22.3.1 The Product Family Approach......... 22.3.2 The Software Framework Approach. 22.3.3 Software Frameworks and Adaptability .......................... 22.3.4 An Example: The OBS Framework....

371 371 372

368 369 370 371

373 375

22.4 Current Research Directions ................... 376 22.4.1 Automated Instantiation Environments .............................. 376 22.4.2 Model-Level Reuse ....................... 377 22.5 Conclusions and Emerging Trends .......... 379 References .................................................. 379

Experience from contributions to various research projects recently performed at Swiss Federal Technical university (ETH) are also summarized.

of computers, their use in automation was investigated, even if the cost of the computer was in many cases much higher than the cost of the plant in the early 1970s. From these early days, two competing developments emerged. One line developed from the imperative programming languages used at the time (Fortran, later C and Pascal) and the other from the control engineer-

Part C 22

Automation is in most cases done through the use of hardware and software. Software-related costs account for a growing share of total development costs for automation systems. In the automation field, containment of software costs can be done either through the use of model-based tools (e.g., Matlab) or through a higher level of reuse. This chapter argues that both technologies have their place. The first strategy can be used for the design of software for a large number of identical installations or for the implementation of only part of the software (i. e., the control algorithms). The second strategy is advantageous in the case of industrial automation systems targeting niche markets where systems tend to be one-of-a-kind and where they can be organized in families of related applications. In many applications, a combination of the two approaches will produce the best results. Both approaches are treated in the paper. The main focus of the chapter is on developing software for automation. As such software will often be implemented for slightly different processes, it is highly appropriate that the production process is at least partly automated. As space is limited, this chapter can not cover all aspects of the design and implementation of software for automation, but we claim that the methods discussed here integrate very well with traditional methods of software and systems engineering.

366

Part C

C

1 100 200 101 201

Automation Design: Theory, Elements, and Methods

PROGRAMM ZUR DIGITALEN REGELUNG MIT EINEM P-I-REGLER (DIGREG) EXTERNAL PIREG COMMON R,S CALL STRT CALL IGNORE (17) CALL SMOD (5,IND) PAUSE 1 CALL SMOD (3,IND) CALL DLAYS (1) CALL CNCT (18,PIREG,IND) READ (4,100) R WRITE (4,200) R READ (4,101) K WRITE (4,201) K S = 0 PAUSE 2 CALL SMOD (1.IND) CALL EARM CALL EENA CALL SPIG (K,3,2,IND) CONTINUE GO TO 1 FORMAT (F7.5) FORMAT (10X, 5HR = ,F7.5) FORMAT (13) FORMAT (10X,5HDT = ,I3) STOP END

Part C 22.1

SUBROUTINE PIREG DIMENSION X(1),U(1) COMMON R,S CALL RIDC (1,1,X,IND) S = S + R-X(1) U(I) = R-X(1) + .0075*S CALL SDIC (1,1,U,IND) RETURN END

Fig. 22.1 Fortran program for a PI controller in 1970

ing approach of describing systems in a declarative way by block diagrams or similar means. Both of these ways were continued and led to solutions widely accepted today in industry. A Fortran program for a proportional–integral (PI) controller on the hybrid computer available at the time to test ideas of digital control is shown in Fig. 22.1. This is clearly an imperative style. In a text of the mid 1980s on real-time programming of automation systems [22.1], conventional programming was still the only way to program real-time systems, and the realtime industrial language PORTAL (similar to Pascal) was used for practical experiments, implementing PI and adaptive controllers. A program we developed in the late 1980s for educational purposes with a graphics environment on

a personal computer (PC) [22.2, 3] is shown next in Fig. 22.2 as controller for a small heating system. Simulink was not yet available at the time. At the time, Gem by Digital Research was found to be better suited than Windows for such tasks, the program was therefore written in Gem. A block diagram is drawn interactively on screen as the declarative description of the control algorithm, which can be directly executed to produce experimental results. This is declarative in nature; the order of execution is not evident from the drawing. A major problem when realizing such programs at the time in Modula2 was the fact that the language is strongly typed and that lists of function blocks had to be kept. Obviously, these function blocks are of differing types. Programming tricks had to be used to overcome this. A redesign in the object-oriented language Oberon [22.4] demonstrates how easily such programs can be designed with the appropriate mechanisms such as subclassing. In the object–process methodology (OPM) function, structure, and behavior are integrated into a single, unifying model, OPM significantly extends the system modeling capabilities of current object-oriented methods [22.5]. These early attempts to efficient program design and implementation clearly aimed at producing a program for a given task. Graphical and textual libraries were created, but essentially an individual program was the goal of the design. Though quite different in terms of the approach taken, these early attempts were all model based in the sense that a modeling or programming environment was available and that models were used in all stages of the development process. This changed with the introduction of object-based and object-oriented techniques into software engineering. It became possible to design adaptive programs or programs for families of systems with better orientation on reuse. This is an issue we want to look at in more detail in the following sections. First versions of Matlab became available in the late 1970s, and Matlab quickly became a de facto standard for control engineers, especially for system design.

22.1 Model-Driven Versus Reuse-Driven Software Development The fundamental problem of software engineering is to translate a set of user requirements into executable code that implements them in a manner that is as cost effective as possible. There are several ways to solve this problem which, at

least conceptually, can be arranged along a continuous spectrum that has at its two extremes the so-called model-driven and reuse-driven approaches. These two approaches are illustrated in Fig. 22.3.

Modeling and Software for Automation

22.1 Model-Driven Versus Reuse-Driven Software Development

367

Part C 22.1

Fig. 22.2 An early programming and experiment environment

Although most projects will take an intermediate or mixed approach, it is useful to consider briefly the two extreme approaches in isolation from each other as a way to clarify their distinctive features. In the model-driven approach (left-hand side of Fig. 22.3), the user requirements are expressed in a modeling environment that is capable of automatically generating the application code. The prototypical example of such an environment is the Matlab tool suite. The cost and schedule savings arise from the fact that the software design and implementation phases are fully automated. In the reuse-driven approach (right-hand side of Fig. 22.3), the user requirements are implemented by configuring and composing a set of predefined software building blocks. The cost and schedule savings in this case originate from the possibility of reusing existing software artifacts (modules, components, code fragments, etc.). Traditionally, the reuse-driven approach was implemented by developing libraries of reusable modules. More recently, software frameworks have emerged as a more effective alternative to achieve the

same aim of minimizing software development costs by leveraging reuse. Each approach has its strengths and weaknesses. The model-driven approach holds the promise of completely automating the software development process, but is in reality limited by the expressive power of the selected modeling language. Thus, for instance, Matlab provides powerful modeling facilities for describing transfer functions and state machines but it does not support well modeling of other functionalities that are equally important in embedded applications (such as management of external units, generation of housekeeping data, processing of operator commands, management and implementation of failure detection and recovery mechanisms, etc.). The reuse approach can be more flexible both because reusable building blocks can, in principle, be provided to cover as wide a range of functionalities as desired, and because it can be applied in an incremental way with repositories of reusable building blocks being built up over time. The main drawback of this approach is that the selection, configuration, and composition of

368

Part C

Automation Design: Theory, Elements, and Methods

Model-driven approach

User requirements

Reuse-driven approach

Requirement modeling environment

Component composition environment

Application code

Application code

Repository of reusable building blocks

Fig. 22.3 Model-driven versus reuse-driven approach

Part C 22.2

the building blocks is difficult to automate and, if done by hand, remains a tedious and error-prone task. In practice, no application is entirely reuse or model driven. Instead, the two approaches are complementary and are applied to different parts of the same application. Consider, for instance, the case of a typical satellite on-board application. A model-driven approach is ideally suited to cover the modeling and implementation of the control algorithms of the application. This is appropriate because there are very good modeling techniques for expressing control algorithms and there are very powerful tools for translating models into code-level implementations. The existence and high level of maturity of the modeling techniques and of the tools is in turn a consequence of the wide range of applications that need control algorithms. A model-driven approach would, however, be unsuitable to cover functionalities such as the management of satellite sensors or the processing commands from the ground station. These functionalities are entirely specific to the satellite domain and this domain is too

narrow to justify the effort required to develop dedicated modeling techniques and support tools. In these cases, a reuse-driven approach offers the most effective means to improve the efficiency of the software development process. In general, the reuse-driven approach is appropriate wherever there is a need to model and implement functionalities that are specific to a particular domain. This is due to the high cost of developing industrialquality model-driven environments. This type of tools only makes economic sense for functionalities that are widely used, namely for functionalities where there is a sufficiently large base of potential users to justify their development costs. In other cases, reuse-driven approaches remain the only viable option. The model- and reuse-driven paradigms are considered in greater detail in the next two sections (Sects. 22.2 and 22.3). The model- and reuse-driven approaches represent the state of the practice. Section 22.4 extends the discussion to consider some current research trends.

22.2 Model-Driven Software Development 22.2.1 The Matlab Suite (Matlab/Simulink/Stateflow, Real-Time Workshop Embedded Coder) The prototypical example of model-driven development in the control and automation field is the

Matlab tool suite. This consists of Simulink and Stateflow as toolboxes for programming of continuous time, discrete-time systems, and state machines in graphical form and of the Real-Time Workshop to generate C code from Simulink and Stateflow models. This is a well-known solution, well covered on the World Wide Web (WWW) with many ex-

Modeling and Software for Automation

22.2 Model-Driven Software Development

369

m2_×16_formD re

re1 +

z

z1

+

+

+

3 ee ks

+ –

2 u

1 + +

K–

+ +

kp1

K–

+ +

1 z

y1 + 1 z

Te/Ti1 +

lob hib

Delay

– + –

K– 1/T1

D

4

1/s × 10

s01

yeamp

amples and will for this reason not be treated in any detail here (see http://www.mathworks.com/ and http://www.mathworks.com/products/rtwembedded/). A very useful fact worth mentioning here is that Simulink/Stateflow diagrams are stored in textual form and can be analyzed independently of the interpreter, which can also be replaced by other compilers or interpreters. One such case will be mentioned later. Figure 22.4 shows a typical Simulink diagram of a nonlinear sampled data control system from [22.6].

22.2.2 Synchronous and Related Languages Behind any model-driven environment there is a language that provides high-level abstractions allowing the designer to express his design. In the case of the Matlab tool suite, this language is hidden from the user, who only interacts with a graphical interface. In other cases, the designer is instead expected to make direct use of the modeling language. Synchronous languages are one of the most successful families of modeling languages for control and automation systems. Their main strength is that the synchronous paradigm makes it easier to build verification engines that can automatically verify that a model satisfies certain functional properties. The development process then becomes as follows. The designer first

builds a model that is intended to satisfy certain requirements. He then translates his initial requirements into functional properties expressed in a suitable formalism. The verification engine can then verify that the model does indeed implement the requirements. After successful verification, a code generator is used to translate the model into source code in a general-purpose language (typically C). Several imperative and declarative synchronous languages have been developed following a data flow model of computation [22.7]. This is well adapted to the way in which control engineers model their systems by block diagrams. All the languages must have special constructs for time, concurrency, sequences of values (signals, flows), shift operators etc. The language Lustre and its industrial version SCADE use data flow models well known to control engineers and all calculations are based on flows (signals). Esterel is an imperative language in the same domain, and Signal is another language more suitable for the design of systems. Efforts are under way to generate Lustre programs automatically from subsets of Simulink and Stateflow descriptions [22.8]. This makes programs in Simulink/Stateflow accessible to formal analysis. SCADE from Esterel Technologies [22.9] offers tools for the design and verification in the area of safety critical systems. A very simple example of a standard

Part C 22.2

Fig. 22.4 Simulink diagram

370

Part C

Automation Design: Theory, Elements, and Methods

1

uconst

+ –

Pre

Controller

1

Process

ycs

null

Part C 22.2 Fig. 22.5 SCADE environment with a very simple example

control loop in a SCADE development environment is shown in Fig. 22.5. A slightly different approach is taken by Giotto, a time-triggered language for embedded programming [22.10]. Here, the focus is to specify exactly the real-time interactions between the software components and the physical world.

22.2.3 Other Domain-Specific Languages A more general version of the model-driven approach is the following: Given a problem area, design and implement a specific modeling language for this area, including an environment for editing, compilation etc. This procedure has special relevance at ETH, where Wirth designed and implemented many languages of general (Pascal, Modula, Oberon) and also of a more specific nature (Lola, a logic language). Worth men-

tioning here is Active Oberon by Jürg Gutknecht, an object-oriented language where every object can have an integrated thread of control. This high parallelism is of special interest for reactive systems. The next example will provide more information on this approach. The IEC 61131 languages have been designed for use in automation. IEC 61131 is an International Electrotechnical Commission (IEC) standard for programmable logic controllers (PLCs). IEC 61131 specifies the syntax and semantics of a unified suite of programming languages for programmable controllers (PCs). These consist of two textual languages, IL (instruction list) and ST (structured text), and two graphical languages, LD (ladder diagram) and FBD (function block diagram). Sequential and parallel processing are possible. The standard is developed at http://www.plcopen.org/, where more information can be found.

Modeling and Software for Automation

22.2.4 Example: Software for Autonomous Helicopter Project The autonomous helicopter project of ETHZ [22.11] is a typical example of a system where special languages were developed by Wirth and Sanvido for several tasks of the onboard processor. The operating system uses threads instead of tasks [22.12] for

22.3 Reuse-Driven Software Development

371

speed-up, the logic language Lola [22.13] is used for the design of field-programmable gate arrays (FPGAs), and the mission control language is used for missions. An excerpt from a program in the mission control language is easily readable, as shown in Fig. 22.6. The controller for the helicopter has also been implemented in Giotto [22.14].

22.3 Reuse-Driven Software Development 22.3.1 The Product Family Approach

PLAN Example; VAR phi, theta, psi: REAL; LandOK*: BOOLEAN; PROCEDURE LiftOff; (* TakeOff Procedure *) BEGIN ACCELERATE(1.0, 0.0, 0.0, 0.0, -0.5); TRAVEL(9.0, 0.0, 0.0, 0.0, -0.5); ACCELERATE(1.0, 0.0, 0.0, 0.0, 0.5); END LiftOff; PROCEDURE Hovering(time: REAL); (* Hovering Procedure *) BEGIN TRAVEL(time, 0.0, 0.0, 0.0, 0.0); END Hovering; PROCEDURE Landing; (* Landing Procedure *) BEGIN ACCELERATE(1.0, 0.0, 0.0, 0.0, 0.25); TRAVEL(19.0, 0.0, 0.0, 0.0, 0.25); ACCELERATE(1.0, 0.0, 0.0, 0.0, -0.25) END Landing; BEGIN GETATTITUDE(phi, theta, psi); LiftOff; Hovering(3.0); BROADCAST("READYTOLAND"); LandOK := FALSE; WHILE ~LandOK DO SLEEP() END; Landing END Example.

Fig. 22.6 Code for helicopter control

Part C 22.3

Within the reuse-driven paradigm, software product families have emerged as the most successful form of software reuse. A software product family [22.15] is a set of applications that can be constructed from a set of shared software assets. The shared assets can be seen as generic building blocks from which applications in the family can be built. Usually, a product family is aimed at facilitating the instantiation of applications within a narrow domain. Figure 22.7 illustrates the concept of product family. On the left-hand side, the building blocks offered by the product family are shown. These building blocks are used during the family instantiation process to construct a particular application within the family domain. Product families are characterized by two distinct development processes (Fig. 22.8). In the family creation process, the family’s reusable assets are designed and developed. In the family instantiation process, the reusable assets offered by the family are used to construct a specific application within the family domain. The family creation process is in turn divided into three phases. In the domain analysis phase, the set of applications that must be covered by the family are identified and characterized. The output of this phase is a domain model. In the domain design phase, the reusable assets that are to support the instantiation of applications within the family are designed. The output of this phase is one or more models of the family assets. The models express various aspects of the domain design (e.g., there may be functional models, timing models, etc.) In the domain implementation phase, the family assets are implemented as concrete building blocks that can be used towards the construction of family applications. Often, the implementation of the family assets is done automatically by processing the models defined in the domain design phase.

Three matching phases can be identified in the family instantiation process (bottom half of Fig. 22.8). In the requirement definition phase, the family domain model is used to verify whether the target application falls within the family domain. This decides whether the family assets can be used to help build the application. If this is the case, a sizable proportion of the application requirements can be expressed in terms of the domain model, for instance by identifying the features in the family domain that are needed by the target application. In the tailoring phase, the software assets required for the target application are selected from among those

372

Part C

Automation Design: Theory, Elements, and Methods

offered by the family. They are then adapted and configured to match the needs of the target application. Depending on how the family assets are organized and implemented, adaptation and configuration can be done either at the level of the asset models, or at the level of the implemented assets. Finally, in the integration and testing phase, the target application is constructed by assembling the configured and adapted building blocks offered by the framework. Usually, some integration with building blocks that are external to the family is also required in this phase.

other or are they embedded within a higher-level structure?). The software framework concept particularizes the family concept. A software framework [22.16] is a kind of product family where the reusable building blocks consist of software components embedded within an architecture optimized for the target domain of the framework. Thus, a software framework is a particular way of organizing the shared assets of a software product family in the sense that it defines the type of building blocks that can be provided by the product family and it defines an architecture within which these building blocks are to be used. Figure 22.9 recasts Fig. 22.7 to illustrate the concept of software framework. The figure highlights the fact that the family reusable assets (the building blocks in the repository) are now organized as a set of interacting entities embedded within an architecture that is itself reusable. The framework approach, in other words, allows the reuse not only of the individual items but also of their mutual interconnections (the latter being an important and often neglected added value). Frameworks are component based in the sense that the reusable building blocks consist of software components. The term component is used to designate a software entity with the following characteristics:

22.3.2 The Software Framework Approach



Repository of building blocks

Application in the family

Fig. 22.7 Software product families

Part C 22.3



The product family concept is very general and does not imply any assumptions about the nature of the building blocks (Are they components? Procedures? Code fragments? Design models?) or about their mutual relationships (Can they be used independently of each Domain model Domain needs

Domain analysis



It can be deployed as a stand-alone unit (hence it owns a clear specification of its required interface). It provides an implementation for one or more interfaces (hence it owns a clear specification of its provided interface). It interacts with other components exclusively through these (required and provided) interfaces.

Asset models Domain design

Domain implementation

Family assets

Family creation process Family instantiation process Requir. definition

Tailoring of family assets

Integration and testing

Non-family building blocks

Fig. 22.8 Development process for software product families

Application

Modeling and Software for Automation

Reusable SW assets embedded within an architecture optimized for a target domain

373

Target application instantiated from the framework

Fig. 22.9 The software framework concept Reusable components

Application-specific infrastructure Application based on library of reusable components

Application-specific components

Reusable framework infrastructure Application based on software framework

Fig. 22.10 Software frameworks and libraries of reusable compo-

nents

Figure 22.10 provides another view of the software framework concept that illustrates the difference from the more traditional forms of software reuse based on libraries of reusable software components. An application instantiated from a framework consists of a reusable architectural skeleton which has been customized with application-specific components (right-hand side in the figure). An application constructed with the help of items from libraries of reusable components (left-hand side in the figure) consists instead of an application-specific architectural skeleton that uses (calls) the services offered by the reusable components. The framework approach thus places emphasis on the reuse of entire architectures.

22.3.3 Software Frameworks and Adaptability Software frameworks fall within the reuse-driven paradigm. To reuse a software asset (a component, a fragment of code, a design model, etc.) means to use it in different operational contexts. In practice, differ-

Part C 22.3

The architecture predefined by the framework is defined by the set of interfaces that are implemented by the framework components. Thus, a software framework could also be defined as a product family whose reusable building blocks consist of components and interfaces. The interfaces define the architecture within which the components are to be used. The components encapsulate behavior that is factored out from all (or at least a sizable proportion of) applications in the framework domain. Still another view is possible of what constitutes a building block of a software framework. This view sees a building block as a unit of reuse. At its most basic, the unit of reuse of a component-based framework is a component. This follows from the fact that one of the distinctive features of a component is that it is deployable as a stand-alone unit. The components provided by a software framework, however, are embedded within an architecture (which is also defined by the framework). Hence, users of the framework are likely to focus their attention not on individual components but on groups of cooperating components that, taken together, support the implementation of some function that is important within the framework domain. In fact, well-designed frameworks encourage this higher granularity of reuse by being organized as a bundle of functionalities that users (the application developers) can choose to include in their applications. Inclusion of such functionality implies that a whole set of cooperating components and interfaces are imported into the application. The true unit of reuse – and hence, according to this view, the true building block – is precisely such a set of components and interfaces. An example may help clarify the above concept. Consider a software framework for satellite onboard applications. One typical functionality that is often found in such systems is the storage of key housekeeping data on a mass-memory device. Accordingly, the software framework would implement default mechanisms for managing such devices. This would probably be done through a set of cooperating components and interfaces. Application developers who need the mass-memory functionality for their target application and who decide to implement it with the help of the assets provided by the framework will import the entire set of components and interfaces. Use of individual components or interfaces is unlikely to make sense because the components and interfaces are specifically designed to work together within a certain architecture. The building block in this case is the set of components and interfaces that support the implementation of the mass-memory functionality.

22.3 Reuse-Driven Software Development

374

Part C

Automation Design: Theory, Elements, and Methods

Adaptation process

Reusable SW assets embedded within an architecture optimized for a target domain

Reusable SW assets are specialized for the target application

Target application instantiated from the framework

Fig. 22.11 Software frameworks and adaptability

Part C 22.3

ent operational contexts will always impose differing requirements on the reusable assets. Hence, effective reuse requires that the reusable assets be adaptable to different requirements. In this sense, adaptability is the key to reusability and the availability of software adaptability techniques is the necessary precondition for software reusability [22.17]. The framework representation shown in the previous section should therefore be modified as in Fig. 22.11. The items that are selected from the repository are passed through an adaptation or tailoring stage before being integrated to build the target application. In the tailoring stage, the characteristics of the reusable assets are modified to make them match the requirements of the target application. Software reuse is perhaps the oldest approach to the reduction of software costs and has often been tried in

Reusable class T(...) H(...)

Adapted class H(...)

void T(...) { ... H(...); ... }

Modifies behavior of template T by providing new implementation of hook H

the past. Past attempts however had only mixed success primarily because they either ignored the adaptation phase shown in Fig. 22.11 or because the state-of-thepractice adaptation techniques available at the time were not sufficiently powerful to model the extent of variability in the target domain. A software framework is defined as a repository of reusable building blocks embedded within an architecture optimized for applications within a certain domain. The quality of a software framework largely depends on the ease with which the artifacts it offers – components and interfaces – can be adapted to the requirements of its users. Software frameworks are therefore categorized on the basis of the adaptation technology they use. Virtually all frameworks built in recent years are object oriented in the sense that they use inheritance and object composi-

Reusable class

Helper

Helper h

H(...)

T(...)

void T(...) { ... h.H(...); ... }

Concrete helper_1

Concrete helper_2

H(...)

H(...)

Modify behavior of template T by providing new implementation of hook H

Fig. 22.12 Adaptability through inheritance (left) and object composition (right)

Modeling and Software for Automation

375

Specifies a mocification of the base code

Aspect program Aspect weaver

Base code

Modified code

Code to be modified

Fig. 22.13 Adaptability through aspect-oriented techniques

Nonfunctional issues tend to cross-cut such functional models and cannot therefore be easily dealt with. Aspect-oriented techniques can be used to encapsulate them and to facilitate their modeling and implementation. The use of aspect-oriented techniques, in other words, allows the application of the principle of separation of concerns to the nonfunctional aspects of a software system. As such, aspect-oriented techniques provide the means to achieve adaptability to nonfunctional requirements. Aspect-oriented techniques are very powerful but they are comparatively new and tool support is weak. One AOP tool that deserves mention because it is specifically targeted at critical systems is XWeaver [22.19]. XWeaver is a source-level weaver that allows source code to be modified in a controlled way that is designed to mimic the effect of manual modifications and to allow code inspections and other quality checks to be performed on the modified code.

22.3.4 An Example: The OBS Framework The on-board software (OBS) framework was partly developed at ETH and partly at P&P Software GmbH (a research spin-off of ETH) to investigate the application of advanced software engineering techniques to the development of embedded control systems. The framework currently exists only as a research prototype that has been instantiated in laboratory experiments. The OBS framework was intended to demonstrate the industrial maturity of object-oriented techniques and generative technique [22.19, 20] for software frameworks for embedded control systems [22.20, 21]. The OBS framework was designed in 2002. The OBS framework is a software framework that aims to cover the onboard satellite application domain and in particular the attitude and orbit control system (AOCS)

Part C 22.3

tion through abstract coupling as their chief adaptation techniques. These two adaptation techniques are briefly illustrated in Fig. 22.12. The framework components are implemented as reusable classes. Inheritance (left-hand class diagram in the figure) allows a user to modify only a subset of the reusable class behavior. Object composition (right-hand class diagram in the figure) lets the reusable class delegate part of its behavior to an external class that is characterized through an abstract interface. It is notable that, in both cases, the behavior of the reusable class is adapted without touching its source code. This is important because it means that the reusable class can be qualified once at framework level and can then be adapted to different operational situations without having to undergo a full requalification process because its source code was not changed. Some delta qualification effort will always be required because of the different operational context but its extent will be more limited than would be the case if the adaptation had required manual modifications to the source code. Object-oriented techniques provide adaptability with respect to functional requirements only. Control applications however are characterized by the presence of nonfunctional requirements covering issues such as timing, reliability, observability, testability, and so forth. The lack of techniques to model nonfunctional adaptability was one of the prime causes of the low level of reuse in the control domain. Recently, aspect-oriented programming (AOP) has emerged as a remedy for this problem. Aspect-oriented programming [22.18] is a software paradigm that allows cross-cutting concerns to be expressed and implemented in a modular manner. At the most basic level, aspect-oriented techniques can be seen as a means to perform automatic transformations of some base source code. An aspect-oriented environment consists of two primary items: an aspect language and an aspect weaver. The aspect language allows the cross-cutting concerns to be specified and encapsulated in self-contained modules. The aspect weaver is a compiler-like tool that reads an aspect program and projects the changes it specifies onto some base code. This is illustrated in Fig. 22.13. Current software engineering practice privileges the modeling of the functional aspects of an application. Most software modeling tools are accordingly designed to decompose a software system into functional units (which, depending on the implementation technology, can be modules, classes, objects, etc.).

22.3 Reuse-Driven Software Development

376

Part C

Automation Design: Theory, Elements, and Methods

Application model

XML-based specification

Generator meta-component

XSLT program

Application specific building blocks

Building blocks

Fig. 22.14 Conceptual structure of a framework-based generative

system

and data handling subsystems. The OBS framework is designed to offer reusable assets of four different types:

• Part C 22.4

• • •

Design patterns that describe high-level design solutions to recurring design problems in the framework domain Abstract interfaces that define abstract services that have to be provided by the framework Concrete components that provide default implementations for some of the services defined by the framework interfaces Generator metacomponents that encapsulate programs to generate application-specific implementations for some of the framework interfaces.

The design patterns are the vehicle through which the architecture predefined by the framework is captured. The architecture of a target application to be instantiated from the framework is derived by instantiating one or more framework design patterns. The abstract interfaces and the concrete components support the instantiation of the design patterns and will normally directly appear in the target application. The metacom-

ponents do not directly enter the final application but are instead used to generate components that do, or to modify existing components so as to make them compatible with the requirements of a particular target application. Generator metacomponents are perhaps the most innovative element of the OBS framework. They were introduced to partially automate the adaptation process whereby the assets provided by the framework are modified to match the needs of a target application. In the OBS framework, generator metacomponents are implemented as extensible stylesheet language transformation (XSLT) programs that process a specification written in extensible markup language (XML) and generate either code for the application-specific component or the configuration code for clusters of components. Their mode of operation is illustrated in Fig. 22.14. The code generation process is driven by a set of specifications that are expressed in an XML document. This is the so-called application model which is a specification of the target application expressed in an XML-based language that is specifically tailored to the needs of the OBS framework. One concrete example of generator metacomponents provided by the OBS framework is a set of XSLT programs that can automatically generate a wrapper for the code generated by the Matlab tool. The wrapper transforms the C routines generated by Matlab into components that are suitable for integration with other OBS framework components. The architecture of the OBS framework is fully object oriented. The basic principles of this architecture are summarized in [22.21, 22]. Implementation is done using a restricted subset of C/C++. The OBS framework is packaged as a website which gives access to all the items provided by the OBS framework and to their documentation (www.pnp-software.com/ObsFramework).

22.4 Current Research Directions As already indicated, the reuse- and model-driven approaches are complementary and are often used to realize different parts of the same application. Current research strengthens this complementarity because it tries to find ways to merge them. There are several ways in which such a merge can be done and the next two sections describe two ways that have been explored at ETH.

22.4.1 Automated Instantiation Environments The effectiveness of the product family approach derives from the fact that the level of design abstraction is raised from that of individual applications to that of domains of related applications. This allows investment in the design and development of software assets

Modeling and Software for Automation

Generative environment Family model

Family-independent infrastr. Application specification

Application implementation

Family software assets

Fig. 22.15 Automated instantiation environment for soft-

ware product families

377

In our project, we modified a JavaBeans composition environment to handle the components provided by the AOCS framework (a predecessor of the OBS framework described in Sect. 22.3.4, see also [22.26]). The objective was to allow users to instantiate the framework without writing any code. The user selects the components required for the target application from a palette and composes and configures them in a GUIbased canvas and editor. When the task is completed, the framework instantiation code is automatically generated by the environment. The code is designed to be legible to allow manual modifications if required.

22.4.2 Model-Level Reuse Automated instantiation environments represent one way in which the model- and reuse-driven paradigms can be merged. A second attempt to achieve the same goal of merging the two approaches and thus reaping the benefits of both is model-based reuse. In virtually all industrial applications of the framework approach, reuse takes place at code level: the reused entities are the framework components and the framework interfaces expressed as source code (or, sometimes, as binary entities). In reality, reuse could also take place at model level. With reference to Fig. 22.8, in a framework development process, the output of the domain design phase is a set of design models that describe the framework interfaces and components. In practice, such design models are often expressed as generic universal modeling language (UML) design models [22.27,28]. The semantics of UML is in many respects ambiguous. This means that the models can, at most, have a descriptive/informative role. Reusability can only take place at the code level because it is only the code that unambiguously describes the reusable assets provided by the framework. The latest version of UML (UML2) includes profiling facilities that allow users to create their own version of the language with a precise semantics [22.29, 30]. This in turns means that the design models can be made as precise as code. In fact, it becomes possible to fully generate the implementation of the framework assets from their models. In this case, reuse can take place at the model level since the models contain the same information as the code. Such a model-driven approach is being explored at ETH in the ASSERT project [22.31] and is described in [22.32]. It is being applied to industrial applications by P&P Software in the currently ongoing CORDET project (www.pnp-software.com/cordet). The approach

Part C 22.4

to be reused across applications. Current research attempts to extend the effectiveness of product families further by automating their instantiation process. The objective is to arrive at a generative environment of the kind shown in Fig. 22.15. The environment automatically translates a specification of an application in the family domain into a configuration of the family assets that implements it. An environment of the type shown in Fig. 22.15 would represent a synthesis of the model- and reusedriven approach because it would allow the target application to be constructed automatically from its specification while at the same time taking advantage of the existence of predefined building blocks that implement part of the application functionality. No practical generative environment is yet available, but some work has already been done in developing graphical user interface (GUI)-based tools where predefined components can be configured and linked together to form a complete application. A research prototype has been realized at ETH [22.23] and is described in [22.24, 25]. This tool is based on a modified version of a standard JavaBeans composition environment. The JavaBeans standard [22.22] supports the definition of components to create GUI-based applications. Several commercial environments are available in which users can create GUI-based applications by composing JavaBean components. At their most basic, these environments offer a palette where the predefined components are shown, a canvas where the components can be linked together, and a property editor where the components can be configured. The user selects the components required for the application from the palette and pulls them down onto the canvas, where they can be linked through graphical or semigraphical operations. The attributes of the component are set in a wizard-like property editor.

22.4 Current Research Directions

378

Part C

Automation Design: Theory, Elements, and Methods

Framework software component Adaptation process Application software component

Framework-level properties capturing domain-invariant behavior and functionalities

Framework-level properties (inherited from framework component) + Application-level properties capturing application-specific behavior and functionalities (introduced by adaptation process)

Fig. 22.16 Property-preserving framework adaptation

Part C 22.4

is based on a UML2 profile, the framework (FW) profile, that is specifically targeted at framework development [22.33]. This profile uses UML2 class diagrams to describe the interfaces of the framework components and UML2 state machines to describe their internal behavior. The profile also defines adaptation mechanisms that are based on both class and state machine extension. A framework is conceptualized as a repository of models (not code) of adaptable components. The framework-level models guarantee certain properties that represent functional invariants in the framework domain. Since the properties are associated to the design models, they can, if desired, be formally verified on the models. The FW profile constrains the adaptation mechanisms of the models to ensure that the properties that are

defined at framework level still hold at application level. This ensures that all applications that are instantiated from the framework will satisfy the properties defined at framework level. At application level, developers are of course free to add new properties that encapsulate application-specific behavior (Fig. 22.16). Space does not permit to explain in detail how property invariance can be combined with extensibility and adaptation, but Fig. 22.17 gives a flavor of the approach. The top half of the figure represents the framework-level models which, as mentioned above, consist of class diagrams and state diagrams describing the internal behavior of the framework classes. The framework-level properties express logical relationships among the variables that define the state of one or more framework components. Formally, functional properties may be expressed as formulas in linear temporal logic. Physically, they encapsulate functional invariants in the framework domain. In practice, the framework properties are defined as properties on the behavior of the state machines associated to the framework classes. During the framework instantiation process, the framework-level models are extended to capture application-specific behavior. Both the framework classes and their state machines must be extended. However, since it is desired to ensure that applications that use the extended models still satisfy the properties defined at framework level, the extension mechanism must be such that the new classes and their state machines still satisfy the properties that were defined at framework level.

State A Class Base { ... }

State B

Framework-level functional properties

State B

Framework-level functional properties + Application-level functional properties

State C Adaptation process State A Class Derived extends Base { ... } State C

Fig. 22.17 Class extension and state

machine extension

Modeling and Software for Automation

tern of [22.34]. This design pattern describes the case of a class that defines some skeleton behavior that offers hooks where application-specific behavior can be added by overriding virtual methods or by providing implementation for abstract methods. The behavior encapsulated in the skeleton is intended to be invariant. In terms of the model underlying the FW profile, the invariant skeleton behavior is encapsulated by the base state machine, whereas the variable hook behavior is encapsulated by the nested state machines added by the derived class. The modeling and adaptation concept sketched above is formally captured by the FW profile. The profile can also be seen as a domain-specific language that is targeted at the definition of the functional behavior of software frameworks. This approach thus has all the basic elements of both the model-based approach (design expressed through models with unambiguous semantics and use of domain-specific languages to express the models) and of the reuse-driven approach (definition of domain-specific, reusable, and adaptable software assets).

22.5 Conclusions and Emerging Trends Software for automation has some inherent difficulties, such as real-time conditions, distributed and parallel operation, easy-to-use interfaces, data storage and reporting, etc.. The software often has to be implemented on one-of-a-kind processes or plants requiring a highly automated development. Two possible routes, which are often combined in practical solutions, have been presented in this chapter. One consists of automatically generating code from a textual or graphical description of the software (model driven) and the other consists of the use of frameworks (reuse driven). Both have their application domains; the framework approach seem to be better suited for the development of software for families of systems because of its inherent possibility of adaptation. Examples of both approaches from our work at ETH are given. Design of software is a challeng-

ing task; easy recipes and cookbooks are in our view not appropriate, and no attempt has been made in this direction. While consulting tasks with industry are proprietary, a considerable shift has been noted over the last few years in the area of software development towards outsourcing and consulting services. Many small companies offer solutions, which often compete favorably with in-house solutions. In such circumstances, it may be advisable to order a framework instead of a program. To use existing frameworks provided under free or open software licences it may also be advisable to organize some training or consulting to speed up the development. The management of such software projects with several contributing teams is, however, still a challenging task.

References 22.1

W. Schaufelberger, P. Sprecher, P. Wegmann: Echtzeit-Programmierung bei Automatisierungssystemen (Teubner, Stuttgart 1985), in German

22.2

G.E. Maier, W. Schaufelberger: Simulation and implementation of discrete-time control systems on IBM-compatible PCs by FPU, 11th IFAC World Congr. (Pergamon, Tallinn 1990)

379

Part C 22

The properties defined on the base state machine capture aspects of the state machine topology and of its state transition logic. Hence, the simplest way of preserving them during the extension process is to constrain the extension process to define the internal behavior of one or more of the states of the base state machine without altering its topology and transition logic. This is illustrated in Fig. 22.17, where the derived state machine differs from the base state machine only in having an embedded state machine added to one of the base states. The derived state machine, in other words, defines the internal behavior of a state that was initially defined as being a simple state. The FW profile adopts the extension approach of Fig. 22.17 and forbids all other kinds of state machine extensions that are allowed by UML2 (redefinition of transitions, definition of new transitions between existing states, definition of new states or regions, etc.). The extension mechanism sketched above, though very simple, corresponds to a realistic situation that often arises in framework design. This is the case that is described by the well-known template design pat-

References

380

Part C

Automation Design: Theory, Elements, and Methods

22.3

22.4

22.5 22.6

22.7

22.8

22.9

Part C 22

22.10

22.11

22.12

22.13 22.14

22.15

22.16

22.17

22.18

P. Kolb, M. Rickli, W. Schaufelberger, G.E. Maier: Discrete time simulation and experiments with FPU and block-sim on IBM PC’s, IFAC ACE (Pergamon, Boston 1991) M. Kottmann, X. Qiu, W. Schaufelberger: Simulation and Computer Aided Control System Design using Object-Orientation (vdf ETH, Zürich, 2000) D. Dori: Object-Process Methodology (Springer, Berlin, Heidelberg 2002) A.H. Glattfelder, W. Schaufelberger: Control Systems with Input and Output Constraints (Springer, Berlin, Heidelberg 2003) A. Benveniste, P. Caspi, S.A. Edwards, N. Halbwachs, P. Le Guernic, R. de Simone: The synchronous languages 12 years later, Proc. IEEE 91(1), 64–83 (2003) P. Caspi, A. Curic, A. Maignan, C. Sofronis, S. Tripakis: Translating Discrete-Time Simulink to Lustre. In: ACM Transactions on Embedded Computing Systems (TECS) 4(4) (New York 2005) Esterel Technologies: http://www.estereltechnologies.com/ (last accessed February 6, 2009) T.A. Henzinger, B. Horowitz, C.M. Kirsch: Giotto: a time-triggered language for embedded programming, Proc. IEEE 91(1), 84–99 (2003) J. Chapuis, C. Eck, M. Kottmann, M.A.A. Sanvido, O. Tanner: Control of helicopters. In: Control of Complex Systems, ed. by A. Åström, P. Albertos, M. Blanke, A. Isidori, W. Schaufelberger, R. Sanz (Springer, Berlin, Heidelberg 2001) pp. 359– 392 N. Wirth: Tasks versus threads: An alternative multiprocessing paradigm, Softw.-Concepts Tools 17(1), 6–12 (1996) N. Wirth: Digital Circuit Design (Springer, Berlin, Heidelberg 1995) T.A. Henzinger, M.C. Kirsch, M.A.A. Sanvido, W. Pree: From Control Models to Real-Time Code Using Giotto, IEEE Control Syst. Mag. 23(1), 50–64 (2003) P. Donohoe (ed): Software Product Lines – Experience and Research Directions (Kluwer, Dordrecht 2000) M. Fayad, D. Schmidt, R. Johnson (Eds.): Building Application Frameworks – Object Oriented Foundations of Framework Design (Wiley, New York 1995) V. Cechticky, A. Pasetti, W. Schaufelberger: The adaptability challenge for embedded system software, IFAC World Congr. Prague (Elsevier, 2005) G. Kiczales, J. Lamping, A. Mendhekar, C. Maeda, C. Videira Lopes, J. Loingtier, J. Irwin: Aspect-

22.19

22.20 22.21 22.22 22.23

22.24

22.25

22.26

22.27

22.28

22.29 22.30 22.31

22.32

22.33

22.34

Oriented Programming, Eur. Conf. Object-Oriented Program. ECOOP ‘97 (Springer, 1997) I. Birrer, P. Chevalley, A. Pasetti, O. Rohlik: An aspect weaver for qualifiable applications, Proc. 15th Data Syst. Aerosp. (DASIA) Conf. (2004) J. Cleaveland: Program Generators with XML and Java (Prentice Hall, Upper Saddle River 2001) K. Czarnecki, U. Eisenecker: Generative Programming (Addison-Wesley, Reading 2000) R. Englander: Developing JavaBeans (Java Series) (O’Reilly and Associated, Köln 1997) A. Pasetti: http://control.ee.ethz.ch/˜ceg/ AutomatedFrameworkInstantiation/index.html, (last accessed February 6, 2009) V. Cechticky, A. Pasetti: Generative programming for space applications, Proc. 14th Data Syst. Aerosp. (DASIA) Conf. (Prague 2003) V. Cechticky, A. Pasetti, W. Schaufelberger: A generative approach to framework instantiation. In: Generative Programming and Component Engineering (GPCE), Lecture Notes in Computer Science, Vol. 2830, ed. by F. Pfenning, Y. Smaragdakis (Springer, Berlin, Heidelberg 2003) A. Blum, V. Cechticky, A. Pasetti: A Java-based framework for real-time control systems, Proc. 9th IEEE Int. Conf. Emerg. Technol. Fact. Autom. (ETFA) (Lisbon, 2003) M.R. Blaha, J.R. Rumbaugh: Object-oriented Modeling and Design with UML (Prentice Hall, Upper Saddle River 2004) D. Rosenberg, M. Stephens: Use Case Driven Object Modeling with UML: Theory and Practice (Apress, Berkeley 2007) S.W. Ambler: The Elements of UML 2.0 Style (Cambridge University Press, Cambridge 2005) R. Miles, K. Hamilton: Learning UML 2.0 (O’Reilly Media, Köln 2006) European Space Agency: http://www.assertproject.net/assert.html (last accessed February 6, 2009) M. Egli, A. Pasetti, O. Rohlik, T. Vardanega: A UML2 profile for reusable and verifiable real-time components. In: Reuse of Off-The-Shelf Components (ICSR), Lecture Notes in Computer Science, Vol. 4039, ed. by M. Morisio (Springer, Berlin, Heidelberg 2006) P&P Software GmbH: http://www.pnpsoftware.com/fwprofile/ (last accessed February 6, 2009) E. Gamma, R. Helm, R. Johnson, J. Vlissides: Design Patterns, Elements of Reusable Object-Oriented Software (Addison-Wesley, Reading 1995)

381

Real-Time Au 23. Real-Time Autonomic Automation

Christian Dannegger

23.1 Theory ................................................. 382 23.1.1 Dig into the Subject .................... 382

The following examples are discussed in detail in this chapter, covering the solution approach, challenges, and customer demand as well as relevant pros and cons:

23.1.2 Optimization: Linear Programming Versus Software Agents ............... 383 23.1.3 Classification of Agent-Based Solutions ............ 384 23.1.4 Self-Management ...................... 385 23.2 Application Example: Modular Production Machine Control...... 23.2.1 Motivation ................................ 23.2.2 Case Environment ...................... 23.2.3 Solution Design.......................... 23.2.4 Advantages and Benefits............. 23.2.5 Future Developments and Open Issues......................... 23.2.6 Reusability ................................ 23.3 Application Example: Dynamic Transportation Optimization .... 23.3.1 Motivation ................................ 23.3.2 Business Domain........................ 23.3.3 Solution Concept ........................ 23.3.4 Benefits and Savings .................. 23.3.5 Emerging Trends: Pervasive Technologies ............... 23.3.6 Future Developments and Open Issues.........................

385 385 386 387 389 391 391 391 391 392 394 396 398 401

23.4 How to Design Agent-Oriented Solutions for Autonomic Automation...................... 402 23.5 Emerging Trends and Challenges ........... 23.5.1 Virtual Production and the Digital Factory................ 23.5.2 Modularization .......................... 23.5.3 More RFID, More Sensors, Data Flooding ............................ 23.5.4 Pervasive Technologies ...............

402 402 403 403 403

References .................................................. 404



An autonomic machine control system applied to the adaptive control of a modular soldering machine. The particular case is concerned with the

Part C 23

The world is becoming increasingly linked, integrated, and complex. Globalization, which arrives in waves of increasing and decreasing usage, results in a permanently dynamic environment. Global supply networks, logistics processes, and production facilities try to follow these trends and – if possible – anticipate the volatile demand of the market. This challenging world can no longer be mastered with static, monolithic, and inert information technology (IT) solutions; instead it needs autonomic, adaptive, and agile systems – living systems. To achieve that, new systems not only need faster processors, more communication bandwidth, and modern software tools – more than ever they have to be built following a new design paradigm. As determined by the industrial and business environment, systems have to mirror and implement the real-world distribution of data and responsibility, the market (money)-driven decision basis for all stakeholders in the (real or virtual) market, and the goal orientation of people, which leads to on-demand, loosely coupled, communication with relevant partners (other players, roles) based on reactive or proactive activities. This chapter provides an insight into the core challenges of today’s dynamics and complexity, briefly describes the ideas and goals of the new concept of software agents, and then presents and discusses industry-proven solutions in real-time environments based on this distributed solution design.

382

Part C

Automation Design: Theory, Elements, and Methods



creation of a novel modular production machine with an integrated distributed agent control system, which has been sold worldwide since the middle of 2008. The agent model is described in terms of the specific customer requirements and the advantages of the approach. A solution to real-time road freight transportation optimization using a commercial multiagent-based system, LS/ATN (living systems adaptive transportation networks), which has been proven through real-world deployment to reduce transportation costs for both small and large fleets. After describing the challenges in this business domain and the real-time optimization approach, we discuss how

the platform is currently evolving to accept live data from vehicles in the fleet in order to improve optimization accuracy. A selection of the predominant pervasive technologies available today for enhancing intelligent route optimization is described. Both examples reflect their specific history and background, which motivated the customer and the developers to apply an autonomous automation approach. Although software agents are a core principle of the autonomous automation examples in this chapter we only touch this field slightly, as other chapters in this book focus and elaborate on agent-based automation.

23.1 Theory 23.1.1 Dig into the Subject

Part C 23.1

First let us briefly delve deeper into the title of this chapter Real-Time Autonomic Automation, from right to left. Automation always has been – and still is – the basic starting point to relieve workers of routine tasks and increase the utilization of resources, because of production machinery, transportation capacity, and limited material stock. Automation means using any kind of mechanical device or program to carry out a repetitive job faster, more reliably, and with higher quality than human beings can normally achieve. According to Wikipedia [23.1]: Automation (ancient Greek: self dictated) . . . is the use of control systems such as computers to control industrial machinery and processes, reducing the need for human intervention. Autonomic is already a term very close or even similar to the term agent-based. In many aspects both describe the same characteristics. Autonomic systems firstly have sensing capabilities to keep in touch constantly with their environment and know what’s going on (belief). Then they know what they want – their purpose – their goals (desire). And finally autonomic systems are able to derive and decide what to do (intention) based on the current situation and predefined goals. Putting together these terms results in belief– desire–intention (BDI), which is a software model developed for programming intelligent agents. To again cite Wikipedia:

An autonomic system is a system that operates and serves its purpose by managing its own self without external intervention even in case of environmental changes. The last part of this definition gives the important hint that autonomic systems in particular are able to adapt to changes in the environment (making use of their sensors) in order to decide constantly on the best action according to the current situation. Real time is a critical term, as it is used ambiguously and greatly depends on the environment in which it is applied. The main differentiation made is between hard and soft real-time systems. Hard real-time systems guarantee a configured time from an event to system response, since otherwise the whole system will fail, e.g., in brake control for cars or tail plane fin control for a jet fighter. However, hard real-time control could also apply to comparably slow systems as long as the response is guaranteed, such as a heating control where the reaction may take several minutes. Soft real-time control means that a system is typically fast enough to react in due time. Deadlines are normally met, but if not kept the system will not fail, but at most lose some quality; for example, in dispatching systems real-time reaction is important, but if a decision (to reshuffle order allocations) is delayed there might be a loss of efficiency and increased costs, but the system does not fail as a whole. Since distributed systems such as those discussed specifically in this chapter require solutions supporting heterogeneous environments, developers of such sys-

Real-Time Autonomic Automation

Real Time through Autonomy If hard real-time support will be needed in the future, this does not conflict with the system layout – quite the contrary: autonomous agent-based systems are initially designed to build real-time control systems. The major design principle to enhance real-time capabilities is the natural and elementary separation of responsibilities, and thereby the distribution of tasks. This design for scalability allows making use of all (local) processing power available in a solution and system environment. Keeping local tasks and local decisions entirely local results in high reactivity, independent of the overall system load.

383

23.1.2 Optimization: Linear Programming Versus Software Agents To make things even more complex the real world has given us not only the challenge of real-time reactivity but also the parallel goal of optimal decisions (or at least decision support). This means that a software system not only has to cope with very complex, normally NP-hard (nondeterministic polynomial-time hard), optimization problems, but also should solve them again and again, building on the second by second changes in the environment. Unfortunately new design paradigms, such as software agents, are always compared with traditional approaches in terms of solution quality, despite the fact that traditional mathematical operation research (OR) methods are not designed to handle realtime events. For this reason the following descriptions summarize the major differences and objectives of both approaches; a more detailed discussion can be found in [23.2]. Linear Programming Pro. Current optimizers, which means traditional OR

methods such as linear programming, are designed to find the optimum for a problem, independent of the processing duration. Con. However, it is very hard and time consuming to cover the full real-world complexity and map all different aspects into a linear equation system, not to mention changes of requirements, processes or business goals. Despite many traditional optimizers try to achieve realtime response, their basic intention to find the absolute optimum works against it. The optimization interval, even combined with tricks and workarounds, is normally too long to be considered real-time. Result. The optimum can be found, but too late. The world might already have changed dramatically. The optimum was only valid for the past, when the optimization started. The difference between the calculated and the current optimum is large, and the accumulated error between the optimal curve and the found (to be optimal) curve increases significantly over time.

Software Agents Con. The distributed negotiation approach with its

bottom-up search space expansion is not designed to find the optimum.

Part C 23.1

tems are attracted by the platform independency of Java. Since its invention, Java has become increasingly fast, but does not have built-in real-time capabilities. Thus the real-time specification for Java (RTSJ, JSR-001 (Java specification request)) has been developed and implemented by Sun and others as an add-on to standard Java, and offered to the market as real-time Java or the Java real-time system (Java RTS). On their website Sun gives another short and concise definition of real time, which again emphasizes the difference between speed and predictability: “Real-time in the RTSJ context means the ability to reliably and predictably respond to a real-world event. So real-time is more about timing than speed.” Additionally to a real-time programming environment a real-time operating system is needed, like Solaris 10, SUSE Linux Enterprise RealTime 10 (SP2) or Red Hat Enterprise MRG 1.0.1 Errata releases. Both examples described in this chapter do not fulfill and do not need to fulfill the hard real-time specification. Why? The second example is a decision support system for transport optimization where the dispatchers can still decide and become active independent of the systems, as with a navigation system. The first example has to fulfill hard real-time requirements, but the system design succeeded in keeping the critical realtime parts within the local controller of each module of the machine and thus outside the Java-based control logic. With this approach the control system where the system is normally fast enough to trigger the actuators and the reaction guarantee is given by the lowest-level controllers, the machine manufacturer could save significant costs by being able to use standard hardware, a standard operating system, and a standard runtime environment (Java SE).

23.1 Theory

384

Part C

Automation Design: Theory, Elements, and Methods

Pro. Rather, it permanently strives for the optimum, as the optimization interval is very short and the approach maps the real-world complexity in all its details and can be customized to nearly any specific need without touching the core optimization principle. Result. A close-to-optimal result is found every second or faster. The difference from the theoretical optimum is relatively small and the total error over time is kept to a minimum.

Conclusion The optimum should not be seen and understood as a single point in time, but instead as the difference between the continuously calculated optimization curve and the real-world volatile optimum. This short excursion to real-time optimization and its application in automated systems is properly summarized in the following two statements: How does it help knowing what would have been the optimum one hour ago? Or: Better be roughly right instead of precisely wrong.

Part C 23.1

Sense

23.1.3 Classification of Agent-Based Solutions To understand and correctly apply agent-based solutions it is important to follow a clear classification of software systems. Based on the short agent definition as a sense–decide–act loop it is straightforward to classify agent-based solutions (Fig. 23.1) depending on the existence of real-world or artificial interfaces for the sensors and actuators. Simulation System If you input only simulated, recorded historical data or forecasted data into an agent solution and only use the system output for analysis but not for direct decisions, then it is a simulation system. This applies, e.g., if realtime captured order data for a dispatching systems are fed into the dispatching system again, mostly for the purpose of verifying the system configuration (i. e., the cost model, see below). The result of the simulation is stored within databases, data warehouses or presented in business graphs, but not used directly to control or

Decide

Act

Simulation Simulated sensor data

“Agent-based reasoning”

Performance results

Decision support Sensor

Proposal “Agent-based reasoning”

Control system Sensor

Actuator “Agent-based reasoning”

Fig. 23.1 Solution classification: depending on the existence of real-world or simulated sensors and actuators you can

distinguish between three system types: simulation, decision support or control system

Real-Time Autonomic Automation

trigger any actions. It is important to understand that the core of the system – the optimization and decision algorithm – is not simulated, but instead the sensor input and actuator behavior, and hence the real world. Very often it needs even more effort to create a realistic simulation of the world model than only implementing the sensor and actuator interfaces. Decision Support System If the input is directly linked to real-world sensors (e.g., a telematics system) and the output is only used to support and inform the dispatcher, then we talk about a decision support system. A navigation system is a good example of a decision support system, as its sensors, the GPS (global positioning system) antenna, is directly linked to the real world, the GPS satellites. On the output side, the actions resulting from the best route are not directly executed; the navigation system does not turn the steering wheel. Instead it only suggests to the driver what he/she should do. However, the driver has the final decision.

speed of transportation belts, heating power, and pump strength.

23.1.4 Self-Management The ever-accelerating complexity and dynamics of IT systems makes their administration and optimization with only human resources no longer feasible or at times even impossible. Hence, it is reasonable and necessary to equip IT systems with capabilities that increasingly allow them to administrate, monitor, and maintain themselves. These self-management properties of so-called autonomic solutions according to [23.3] are: Self-configuration: The system automatically changes its operating parameters to adapt to mutable external conditions, some of which may even be unpredictable at the time of a system’s development. Self-optimization: The system continuously assesses its own performance, explores possible courses of actions that would result in performance improvements, and adopts the ones that are most promising. Self-healing: The system has abilities to recover from certain unfavorable conditions that may result in malfunctions. It autonomously attempts to determine compensation actions and performs them. Self-protection: The system detects threats against its functioning and takes preventive and corrective measures to ensure correct operation. This group of properties are often also referred to as self-properties or self-* properties.

23.2 Application Example: Modular Production Machine Control 23.2.1 Motivation Efforts to increase the flexibility of production lines are a pivotal trend in manufacturing. Whole assembly lines as well as individual machines are increasingly subdivided into modules in order to adapt precisely and just in time to constantly changing specifications (quasi

385

make-to-order). An instance of this trend can be also found in microproduction. However, the centralized, hardwired design of traditional control software imposes limits on dealing successfully with unpredictability. It is thus necessary to choose a novel approach to the development of control software, such that it is capable of managing

Part C 23.2

Control System If the sensors are real and the output directly executes decisions without human interaction, then it is a closed control loop and thus a control system. One typical representative is the antilock brake system of a car. It automatically – fully autonomic – releases the brake if needed without having the driver in the decision loop. This autonomic behavior is based on at least two different conflicting goals: first to reduce the speed of the car and second to keep the wheels turning. In each mode of operation the core agent solution is the same; only the real-world interfaces differ. The transportation optimization system described in this chapter is mainly used as a decision support system, but is also used to analyze history and forecasts as a simulation. The discussed machine control system is, as the name implies, a control system, where the results of the decision algorithm directly influence, e.g., the drive

23.2 Application Example: Modular Production Machine Control

386

Part C

Automation Design: Theory, Elements, and Methods

modular machines dynamically and with minimal manual intervention while automatically maximizing the throughput and thereby optimize investment into production resources. This allows a production line to adapt continuously to changing boundary conditions and order specifications. Such a control system stands out due to its superior flexibility and adaptivity, and drives the automation and optimization of modern production lines further, while at the same time embracing the increasing complexity and dynamics of its environment. An innovative offering in this area is a key differentiator for all vendors and users of modular production lines. Whitestein’s product living systems autonomic machine control (LS/AMC) makes use of these princi-

Part C 23.2

Fig. 23.2 Old machine design: the processing units (modules) are contained within one static monolithic block and centrally controlled

ples and applies them in the modular machine control market. The particular case discussed is a concrete industrial application that entered live production in mid-2008 and is being offered as a solution to the general market.

23.2.2 Case Environment The particular application case of the LS/AMC control system is a modular soldering machine wherein each module is governed by an independent local agent controller. Coordination of the individual module operational parameters and the transition of boards from one module to another are the key control aspects. Machine Setup After many years of successful soldering using a conventional monolithic machine, the project team decided to prepare for the future by initiating a redesign of the centrally controlled machine (Fig. 23.2) as a novel modular approach employing distributed control (Fig. 23.3). The modular setup of the new design not only allows configuration of the machine according to the customer’s needs, but also has a separate local control within each module. The single drive for the one and only conveyor belt also has been replaced by one conveyor and one drive per module. This gives broad processing flexibility, as the target market for this machine typically requires changing production programs in real time. A typical machine setup is composed of a feeder, a fluxer, one to three heaters, a soldering wave module, and a cooler module. Sensors and Actuators Each machine module has several sensors and actuators connected to the local controller board. There are digital switch sensors such as end-of-belt, zero-position, emergency-stop, and liquid level as well as linear sensors including temperature and encoder of step motors. Actuators comprise motors, pumps, and heaters as well as fans and signal lights. Overall a small standard configuration with five modules already contains around 40 sensors and 50 actuators, which have to be managed and coordinated.

Fig. 23.3 New machine design: each module of the machine is controlled by its own agent

Customer Requirements The goal of using agent technology in this project was to minimize the complexity of development, operation, and maintenance of machines, without reducing

Real-Time Autonomic Automation

23.2 Application Example: Modular Production Machine Control

387

the degrees of freedom for future application scenarios. Specifically, this implies: Autonomic Equipment Adaptation. The control software of a modern production line must autonomically adapt to the ideal equipment configuration for each order. This effectively eliminates the need for manual reconfiguration. It also ensures that future enhancements of the system remain possible with only minor outlay. Dynamically Varying Solder Programs. Typically this

machine is used for batch-size-one tasks, which means that each and every board is processed with different soldering parameters and the boards are processed in parallel, i. e., pipelined. Dynamic Performance Optimization. The capability to

optimize capacities dynamically with changing configurations and target values is a top priority. This ensures maximum throughput and minimizes idle capacities and quality failures. Seamless Integration Capability. At the macrolevel it

Intuitive User Interface. Not least, such an advanced solution also needs to provide an intuitive user interface, which automatically adapts to the actual machine setup (Fig. 23.4). It offers simple controls for the machine operator, extended functionalities for specialists and technicians, and comprehensive remote maintenance capabilities via the Web.

23.2.3 Solution Design Existing Solutions for Agent-Based Control Whitestein Technologies has applied agent-based distributed control in many related domains throughout recent years. Before describing the path from monolithic to modular agent-based control we give three examples from other areas where distributed optimization is applied. The following examples all make use of multilateral negotiation algorithms to continuously seek optimal solutions. Production Scheduling. The resources in a produc-

tion environment including personnel, machines, and materials are represented by software agents that use negotiation algorithms (e.g., auctions) to offer and sell

cal user interface

their capacity to bidding orders, which are also represented by agents. One of the prominent industry examples is described in [23.4]. Road Logistics. To automate the creation of dispatching

plans for transportation logistics systems each resource (vehicle) is represented by an agent, which coordinates and exchanges loads with others by making use of bilateral negotiations [23.5]. (See also the next application example.) Supply Networks. All the players in a supply network continuously need to coordinate their demand forecasts and capacity availability. Agents can assist in this time-consuming and time-sensitive task perfectly. Monitoring agents along the supply chain fire an alarm and trigger activities if reality deviates too much from the plan [23.6].

From Monolithic to Modular Control As in all previous examples, the LS/AMC-based soldering machine solution uses modular control principles because each module not only needs coordination with neighboring modules but also needs local, autonomic control to optimize the overall process; for example, the heater module must maintain the temperature within tolerance limits irrespective of environmental changes caused by a board running through the module or a user opening a lid. Each module must thus combine its local control tasks with overall process coordination.

Part C 23.2

is required that the control software for modular production lines such as this offer standard interfaces to integrate into a total production control system.

Fig. 23.4 The modularity of the machine is reflected on the graphi-

388

Part C

Automation Design: Theory, Elements, and Methods

«agent» Configuration

«resource» Module.conf

«agent» Order

«instantiate» «instantiate»

«instantiate» «instantiate» «instantiate»

«instantiate» «agent» Feeder

«agent» Heater

«agent» Fluxer

«agent» User management

«agent» Client proxy

«agent» Wave

«agent» Cooler

«agent» Logging

«connect» Client

Part C 23.2

Fig. 23.5 The agent model in AML (agent modeling language)

Modular control also means that each module holds its own production schedule and is able to give a production forecast in a backward-chain manner to enable the feeder module to estimate when best to start a new board. The module agents combine this production planning part with the real-time control when a board physically appears and when target temperatures are reached in reality. Agent Model Besides an agent type per physically available module type, the agent model (Fig. 23.5) comprises one agent per order (printed circuit board (PCB) to be soldered) and some administrative agents for user management, configuration management, and client communication. To be precise, an agent in the agent model is an agent type, analogous to a class in object orientation. In a running application an agent is an instance of an agent type and thus correspondent to an object, as an instance of a class. The agent types used within this solution are:





The configuration agent is responsible for detecting the attached modules of a concrete customer machine configuration via the CANopen (CAN: controller area network) bus. It then instantiates the corresponding module agents, where, depending on the detection, several agents of one type might be started, e.g., if two or more heater modules are used. One module agent type per physical module type, as there are: – the feeder module – the fluxer module – the heater module – the wave modules, one for the oil and one for the nitrogen version – the cooler module.

Each of these module agents control their module, e.g., heat up the tin, ensure the needed tin level or keep the temperature stable, and they communicate with

Real-Time Autonomic Automation

23.2 Application Example: Modular Production Machine Control

neighboring modules for the preliminary and real-time scheduling of the soldering process. New module types will be developed and added to the configuration as needed, e.g., a lift module to bring a board back to the first module of the machine. The feeder agent has the additional task of instantiating the order agent when it detects a new board and the user presses the start button. The order agent then prepares its processing schedule by talking to each module agent, and then supervises and logs its soldering process in detail. The client-proxy agent collects and holds all information needed to keep the connected client(s) up to date. Besides the logging agent and the user-management agent there are more administrative agents, which are not shown in the agent model diagram for reasons of clarity. The following are the core features of the implemented agent model.

Interaction Model One of the core principles of this solution is to dynamically create one agent per module detected on the CANbus and establish a communication link to the two neighbor agents. Consequently there is no global communication among the agents but only the one on the left and the right side. This bilateral communication model is very lean but still powerful enough to drive the backward scheduling and real-time synchronization between the modules. Here is one example of this synchronization task. As each board (production job) and each module has different processing parameters the conveyor belts typically run at different speeds. To ensure clean handover from one to the next module, LS/AMC has implemented a communication protocol (Fig. 23.6) following a notify-and-pull principle, where the sender stops and notifies the receiver and, as soon as it is ready, the receiver sets the receiving speed and then grants the sender permission to send at this speed.

Autonomic Module Control. Every machine module is

23.2.4 Advantages and Benefits

represented by a specifically adapted software agent that optimizes the module’s operations and capacity utilization.

lateral negotiation and coordination between neighboring modules (i. e., of their software agents) the system constantly reaches a state of superordinate coordination. This eliminates the need for a central control instance. Self-Managing Orders. As for every module (resource), software agents are also responsible for the control of each production unit (order). They selfmanage the order’s progress through the machine(s) autonomously and ensure that all requirements relating to (cost-)efficiency, speed, and quality are optimally satisfied. Distributed Communication. The decentralized approach based on bilateral communication allows for virtually unlimited scaling possibilities, while at the same time increasing robustness against malfunctions and various external influences. Standards Compliance. At the controller level the

software provides full support for the CANopen industry-standard machine control and communication interface.

Part C 23.2

Superordinate Coordination. Through permanent bi-

The following advantages are only qualitative. Detailed metrics are not yet available and proven comparisons with other (monolithic) approaches have not been conducted, as this is an ongoing project in its final deployment phase. However, during the course of the development we experienced many of the advantages in real life, and even unexpected ones. Especially we found the modular design to be extremely helpful in a project like this with moving targets over more than 2 years. The moving target was caused by the learning curve while designing the machine – the hardware itself. Even though sensors, actuators, and their behavior changed every week, the core of the solution has been stable and unchanged since its initial design. We received more feedback from real life just before the publishing of this Handbook. The machine has been extended for a new customer by two lift modules, two more transportation modules, and a barcode reader. The agent-based design of the solution has shown that it can schedule and optimize the throughput and performance of the machine without any change of the algorithm. The additionally instantiated module agents naturally latched into the processing chain. They coordinated with the older module agents to control the soldering process as expected. At least some of the following – theoretical obvious – advantages have thus been materialized.

389

390

Part C

Automation Design: Theory, Elements, and Methods

Handshake step Accept_frame(frame) Wait_till_ready Notify_module_changed Transport(module2_speed) Commit_accept(module2_speed) Transport(module2_speed)

Process

End_switch_clear Transport(0) End_switch_reached Transport(0)

Part C 23.2

Fig. 23.6 Extract of the agent communication protocol: handshake step

Flexibility The modular and distributed architecture of the LS/AMCs agent system allows for easy addition of new modules, without causing fundamental changes to the existing system architecture. The introduction of new kinds of machine modules only requires the development of a new module agent, which can be integrated into the current system with minimal effort. Autonomic Adaptivity New modules or modules that are failing or in need of maintenance can be exchanged while the system is running. Moreover thanks, to the LS/AMC distributed system architecture and intrinsic feedback-based adaptivity, machine control is updated autonomically at runtime without requiring restart of the control software. Maintainability Compared with traditional procedural or purely objectoriented approaches, the agent-oriented design of LS/AMC offers the advantage of intuitively mapping the real-world production line and order structure one-to-one. This makes the system better to understand

and use, increases its durability, and improves its maintainability. An agent system also supports the easy and targeted customization of logging routines at the process level. This ensures the availability of more helpful and efficient methods of error monitoring and analysis. Simulation Complex simulation scenarios are easy to develop with LS/AMC, since a realistic mirror of a production line is more straightforward to simulate than an abstract model. Many different machine states and process flows can be recreated quickly and realistically. This significantly reduces the cost of quality control and improves personnel training and product demonstrations. Goal Orientation The software agents employed in this solution explicitly represent their behavior using partially conflicting logical goals. Order agents, for example, pursue the minimization of throughput time, and module agents have the goal of optimizing the modules’ resource consumption. With LS/AMC these goals do not block one another but rather dynamically coordinate toward achieving optimal overall performance.

Real-Time Autonomic Automation

23.3 Application Example: Dynamic Transportation Optimization

Dynamic Optimization A production optimization program is coupled to each work item (board) and transitions together with it through the machine modules. Each module adapts to the particular program and dynamically anticipates parameter and control adjustments when appropriate. The program is linked to the individual order or batch and not tied to a central, fixed setting for the entire machine.

23.2.6 Reusability

23.2.5 Future Developments and Open Issues



• •



Integration into preceding and successive processing machines, e.g., automated optical inspection (AOI), cleaning or packaging Machine-controlled board loaded through new combined lift/feeder modules. This allows throughput improvement by allowing agents to influence the sequence of production, which is not the case when boards are loaded manually Making use of optional surface temperature sensors to improve the control of the temperature curve directly on the processed board.

The foundation for the customer- and machine-specific solution is a reusable and generic product kernel providing the following features and functionality:



• • •

The standard agent platform, Living Systems Technology Suite (LS/TS) [23.7], is used as the runtime environment for the agent-based solution. The agent principle implies distributed autonomic control for each resource or entity in the system. The agent-type framework allows a jump-start for the solution building as it provides all general agents and templates for the application-specific agents. User, roles, and rights management is needed in every multiuser environment. New functions can easily be put under the generic access control. The built-in standard CANopen interface allows fast integration of every CANopen-compliant controller devices. LS/AMC has implemented a generic interface to CANopen to give each agent transparent access to its sensors and actuators.

Across Europe and worldwide, road freight transportation is a demanding high-pressure environment. Competition is fierce, margins are slender, and coordination is both distributed and often intensely complex. As a result many companies are seeking methods to control costs by enhancing their traditional dispatching methods with technology capable of intelligent, real-time freight capacity and route optimization. The former ensures that transport capacity is maximally used, while the latter ensures that trucks take the most efficient calculated route between order pickups and deliveries. These are tractable, yet complex, optimization problems because plans can effectively become obsolete the moment a truck leaves the loading dock due to unforeseen real-world events. It thus becomes mission-critical to assist human dispatchers with the computational tools to quickly replan capacity and routing. A considerable volume of research exists concerning the domain of automatic planning and scheduling, but many real-world scheduling problems, and especially that of transportation logistics, remain difficult to solve. In particular, this domain demands sched-

ule optimization for every vehicle in a transportation fleet where pickup and delivery of customer orders is distributed across multiple geographic locations, while satisfying time-window constraints on pickup and delivery per location. Living systems adaptive transportation networks (LS/ATN) is a novel software agent-based resource management and decision support system designed to address this highly dynamic and complex domain in commercial settings. It makes use of agent cooperation algorithms to derive truck schedules that optimize the use of available resources, leading to significant cost savings. The solution is designed to support, rather than replace, the day-to-day activities of human dispatchers. The agent design chosen for optimization directly reflects the manner in which logistics companies actively manage the complexity of this domain. The global business is divided into regional business entities, which are usually dispatched via distributed dispatching centers. Interacting software agents represent this distribution. While one of the largest customers of LS/ATN has demonstrated a reduction of 11.7% in costs compared with the manual dispatching solution, we typically guarantee a reduction of at least 4–6%. This improvement

Part C 23.3

23.3 Application Example: Dynamic Transportation Optimization 23.3.1 Motivation

391

392

Part C

Automation Design: Theory, Elements, and Methods

Part C 23.3

is significant for transportation companies with large numbers of orders to manage, significant costs, and small profit margins. The achievements made thus far have been attained using only traditional manual communication (mobile phone) between the driver and dispatcher. Using this data LS/ATN generates global dispatching suggestions and improves the communication among the distributed dispatching centers. Incorporating sensor data on, for example, traffic conditions and vehicle status allows more accurate continuous estimation of vehicle estimated time of arrival (ETA), thus presenting yet further opportunities for cost savings and reduced fuel consumption. One key to this is the integration of real-time track-and-trace data feeds from en route vehicles, which act as feedback measures to an optimizer engine. This allows continuous adaptation and regeneration of dynamic route plans based on the real-world environment. Close integration with key pervasive technologies such as GPS and reliable multinetwork communication offers the capability of enhancing core system intelligence with fast, timely, and accurate measures of the live environment [23.8]. Continuous transmission of vehicle state and location information provides live feedback metrics for the optimization platform, allowing human dispatchers to improve the efficiency of entire fleets. This flexibility enables logistics providers

to react quickly to new customer requirements, altering transport routes at very short notice in order to accommodate unexpected events and new orders. There can be little doubt that the future of freight transportation in Europe and beyond lies with the widespread adoption of pervasive technologies and intelligent transportation systems. One of the few questions remaining is simply how rapidly firms will adapt. The remainder of this chapter examines the business domain characterizing the identified problems and then presents an industry-proven solution to these problems, LS/ATN (Fig. 23.7). It has been developed in close collaboration with worldwide logistics providers such as DHL, and has been proven through real-world deployment to reduce transportation costs through the optimized route solving for both small and large truck fleets. The primary aspects of our agent-based solution approach are discussed, followed by the presentation of benefits and savings, which are then continued with emerging options for incorporating state-of-the-art mobile technologies and pervasive computing into the solution.

23.3.2 Business Domain Today most logistics companies use computational tools, collectively known as transport management sys-

Fig. 23.7 Details of a route in the LS/ATN dispatcher control center, as suggested by an optimizer agent

Real-Time Autonomic Automation

Road Freight Transportation Road freight transportation is a very heterogeneous business environment serving a wide variety of customers with many different types of transportation, each configurable in many ways. In addition, large companies add the challenge of different business structures regarding processes, culture, and information technology. One of the most significant challenges is the permanent handling of unexpected events such as traffic jams or other reasons for delays and new, changed or canceled customer orders. While new orders are an expected component of everyday business, their precise characteristics and appearance time are highly variable. A good solution must address the decentralized responsibilities of dispatchers working across the world with potentially overlapping geographical responsibilities, and supporting individual strategies and local approaches to dispatching. To survive in an environment of significant cost pressure with margins of only 1–3%, logistics providers must address how to structure strong interaction between regional or organizational logistics networks and effectively manage the increasing complexity.

393

Core Challenge The ongoing challenge for a logistics dispatcher is to find the best balance between:

• • •

His reaction speed (time, effectiveness) The quality of a solution (schedule) The cost (efficiency) of a solution.

A comprehensive solution not only requires a core real-time optimization algorithm, but also a cooperative process bringing together all involved people. Load Constraints In a linear programming approach first of all you have to cover and configure the following load constraints:

• • • • • • •

Precedence (pickup before delivery) Pairing (pickup and delivery by the same truck) Capacity limitation (dependent on truck type) Weight limitation (dependent on truck type) Order–truck compatibility (type, equipment) Order–order compatibility (dangerous goods) Last-in first-out (LIFO) loading of orders (optional).

Additionally it is important at least to take into account the following time constraints:

• • • • • • •

Order-dependent load and unload durations Earliest and latest pickup Earliest and latest delivery Opening hours for pickup and delivery Legal drive-time restrictions Maximum allowed tour duration Lead time for ordering spot market trucks.

Problem Classification One approach to tackle this optimization problem is by considering it as a multiple pick up and delivery problem with time windows (mPDPTW) [23.9], which concerns the computation of the optimal set of routes for a fleet of vehicles in order to satisfy a collection of transportation orders while complying with available time windows at customer locations. To solve the real-world challenge to an acceptable degree it is necessary to add another two aspects: first the capability to react in real time, and second to deal with time constraints in a flexible manner, using penalty costs to decide between a new vehicle or being late. This results in the even more complex multiple pick up and delivery problem with soft time windows in real time (R/T mPDPSTW) [23.10–12]. Thus, in addition to a pickup and delivery location, each order includes the time windows within which the order must be picked up and delivered. Vehicles are

Part C 23.3

tems (TMS), such as Transportation Planner from i2 Logistics, AxsFreight from Transaxiom, Cargobase, Elit, and Transflow, to plan their transportation network from a strategic level all the way through to subdaily route schedules. However, many TMS are unable to handle unexpected events adequately and generate plan alterations in real time. When dealing with large numbers of distributed customers, limited fleet size, last-minute changes to orders, or unexpected unavailability of vehicles due to traffic jams, breakdowns or accidents, static planning systems suffer from limited effectiveness. Significant human effort is required to manually adapt plans and control their execution. In addition, vehicles can be of different types and capacities, are usually available at different locations, and drivers must observe regulated drive-time restrictions. To cope with all this, new intelligent approaches to route planning are emerging that are capable of continuously determining optimal routes in response to transportation requests arriving simultaneously from many customers. The key challenge lies in allocating a finite number of vehicles of varying capacity and available at different locations such that transportation time and costs are minimized, while the number of on-time pickups and deliveries, and therefore customer satisfaction, is maximized.

23.3 Application Example: Dynamic Transportation Optimization

394

Part C

Automation Design: Theory, Elements, and Methods

dispatched from selected starting locations and routes are computed such that each request can be successfully transferred from origin to destination. The goal of R/T mPDPSTW is to provide feasible schedules that satisfy the time window constraints for each vehicle to deliver to a set of customers with known demands on minimum-cost vehicle routes. Another aspect is the capability to suggest charter trucks (dynamically add resources) when appropriate, i.e., when charter trucks are cheaper than the company’s own existing or fixedcontract trucks.

Part C 23.3

Further Challenges A further significant challenge is managing opening hours, meaning to support multiple time windows during a day (e.g., lunch breaks). One of the major topics outside the optimization core problem is the ability to combine global dispatching suggestions automatically created by the system with local individual dispatcher decisions. Not forgetting the difficulty of combining continuous planning (perpetual with rolling horizon) with discrete decisions, track and trace, and billing processes. Then there is also the recurrent decision to transport direct or indirect (via a hub or depot) and to consider the limited docking or handling capacity at a hub. Finally customer requests to parallelize the optimization of the three main resources, truck/tractor, trailer/swap body, and driver(s), must also be handled. Each may take a different route due to the pulling unit (truck/tractor), with drivers also potentially changing during a tour.

23.3.3 Solution Concept The centralized, batch-oriented nature of traditional IT systems imposes intrinsic limits on dealing successfully with unpredictability and dynamic change. Multiagent systems are not restricted in this way because collaborating agents quickly adapt to changing circumstances and operational constraints. For real-time route optimization, it is simply not feasible to rerun a batch optimizer to adjust a transport plan every time a new event is received. Reality has shown that events such as order changes occur, on average, 1.3 times per order. Distributed, collaborating software processes, i. e., agents, can however work together by partitioning the optimization problem and following the bottom-up approach, thereby solving the optimization in near-real time.

Software Agents To solve the domain challenges described above it is necessary and advantageous to apply a new software design concept: software agents. This technology offers an ideal approach to allow real-time system response and assessment in a distributed heterogeneous environment. Software agents are grounded by the notion of communication between independent active objects, each of which may have its own goal objectives and role assignments. These capabilities inherently mirror typical business structures and processes. Technically, software agents operate using sense–decide–act loops, which can be either purely reactive or proactively goal oriented. In the transportation business domain an agent could be a packet, a pallet, a truck, a driver, an order or a dispatcher. They follow a reverse, bottom-up optimization principle with decentralized solution discovery and escalation strategies: first a dispatcher mentally optimizes within his domain of responsibility (e.g., 20 trucks), then in steps expands the search space to his office, his subsidiary, the region, the country, and finally tries to improve a solution globally. Bilateral Order Trade As mentioned, the agent design principle is based on communication and interaction among autonomous objects mirroring the real world. This optimization model closely follows cooperation in reality, where all trucks are driven and managed by self-employed drivers (and truck owners). They first accept each new order they get from any customer and then start to search, and negotiate with, other truck drivers in order to exchange or transfer orders looking for a win–win situation for both sides. This is triggered by each order event, where an order exchange also counts as an event. Each truck negotiates with other trucks in sequence with a tight restriction to bilateral order trades. However multiple trades can take place in parallel, always between a pair of trucks. This solution design allows fully distributed and parallel solution discovery, which scales very well and allows individual goals and strategies per truck (agent). Agent Model and Strategy To solve the R/T mPDPSTW problem dynamically, the LS/ATN transportation optimizer [23.13], used by DHL throughout Europe, segments and distributes the problem across a population of goal-directed software agents. Each agent represents a dispatcher, who manages one or more vehicles (resources). This is slightly different to exactly one agent per one truck, but the

Real-Time Autonomic Automation

principle is the same, even closer to reality where a dispatcher manages more than one truck. The reason was technical performance optimization while keeping the core principle of bilateral negotiations. The system is completely event driven: a new order, a changed order, a delay or a successful order exchange triggers a local activity. The dispatcher of the affected vehicle becomes active and tries to optimize by negotiating with neighbor trucks, trying to exchange or move loads and by checking and calculating all reasonable combinations and selecting the cheapest. The global optimum is striven for through a kind of snowball effect, which stops when there is no more optimization found. A threshold savings value, which avoids an order exchange for too little saving, reduces plan perturbation. To find the optimal allocation the agents work on a strict cost basis. Each possible route is checked against a configurable, individual, and fully detailed cost model. This market-based approach, the money to be spent, is the common denominator to make the multiple conflicting goals comparable, which are:

• • •

Reduction of empty driven distance Reduction of waiting times Increase of capacity utilization.

395

Agent region manager

Fig. 23.8 Illustration of freight transportation in Europe partitioned

into six regions, each with its own agent region manager. Blue circles represent major transport hubs and red lines indicate example routes connecting hubs

performed by the same vehicle. Other soft constraints can be violated with a cost penalty, such as missing the latest possible pickup time or delivery time. Experiments and First Findings In the course of the software development we evaluated the effect of certain key parameters. One of these is the number of orders being negotiated and transferred between trucks (k). Our experiments showed that the runtime increases linearly with increasing k, but the Costs (1000 cu) 940

Runtime (min) 40 Total costs Runtime

920

35 30 25 20

900

15 10

880

5 860

0

1

2

3

4 k-transfers

0

Fig. 23.9 Optimization results with increasing k (number

of orders exchanged)

Part C 23.3

For R/T mPDPSTW optimization, an agent represents each geographical region, or business unit, with freight movement modeled as information flow between the agents (Fig. 23.8). Incoming transportation requests are distributed by an AgentRegionBroker (not shown) to the AgentRegionManager governing the region containing the pickup location. The number of such agents depends on the customer’s setup of (regional) business units and varies between 6 and 60 for current deployments. In the larger case, 10 000 vehicles and up to 40 000 order requests are processed daily. This implies that no more than a few seconds are available to reoptimize a transportation plan when, for example, a new order must be integrated. Each AgentRegionManager generates a transportation plan specifying which orders to combine into which routes and which vehicles should be assigned to those routes. Agents exchange information using a negotiation protocol to insert transportation requests sequentially, while continually verifying vehicle availability, capacity, and costs. While the optimization function is 100% cost based, other objectives must be satisfied in parallel when calculating routes. Some of these constraints are compulsory (hard), such as capacity and weight limitations of the vehicle, customer opening hours, that pickup date is before delivery date, and that pickup and delivery are

23.3 Application Example: Dynamic Transportation Optimization

396

Part C

Automation Design: Theory, Elements, and Methods

Costs (1000 cu) 880

Broken constraints 600 Total costs Broken constraints

550 500

875

450 400

870

350 300

865

250 860

1

2

3 4 5 Max allowed delay (h)

200

Fig. 23.10 Optimization results with increased soft time

windows

costs decrease only marginally (Fig. 23.9). k = 0 means that there is no order exchange taking place, but only the first-time allocation. Another experiment is the effect of the maximum allowed delay for soft time windows. The graph (Fig. 23.10) shows that a maximum delay above 2 h decreases the quality (number of broken constraints increase) while not reducing costs significantly.

Part C 23.3

The Decision Support Process of LS/ATN To integrate the globally generated optimization recommendations with the distributed dispatchers performing manual optimization we identify the following decision support process (Fig. 23.11): Orders arriving from an external system (ERP (enterprise ressource planning),

TMS (tranportation management system) or other) flow into the core agent system, which generates dispatching recommendations in the form of a globally optimal matching proposal of orders to trucks. There is no time limit into the future; LS/ATN has an endless planning horizon. A certain lead time before the orders are to be checked and released, the system transfers them to the to-do board of the responsible dispatchers. They approve and adjust the suggested plan if needed prior to fixing the tour and purchasing the required transportation capacity. This automatically sends a confirmation to the subcontracted carrier and can issue a message to the truck driver, if equipped accordingly. The released routes then switch to tracking mode where the agents take over responsibility for monitoring incoming tracking messages, verifying whether they indicate an existing or upcoming time violation. The dispatcher is informed only if needed. As a final step the dispatcher releases a finished tour for billing. This ideal flow covers the standard case, but reality often intercedes to force alterations in real time. In any situation a dispatcher can put a tour or an order into his manual dispatcher board and adjust the plan. This might be needed if, for example, an actual order differs from the booking only when loading it at the customer site.

23.3.4 Benefits and Savings Higher Service Level at Reduced Cost LS/ATN agent-based optimization guarantees a higher service level in terms of results quality. The high solution quality corresponds to a reduced number of

ERP/TMS

LS/ATN

Dispatcher

Order entry/ order change

Dispatching support (matching and proposals)

Manual dispatching

Feedback: Telematics/ mobile phone

Tracking monitoring event handling

Execution preparation

Accounting

Fig. 23.11 Decision support process of LS/ATN

Initiate post execution administration

Carrier

Purchase capacity

Real-Time Autonomic Automation

% 140 Constraints kept (service level)

120

Broken violation (> 6 h)

100 80

Saved driven kilometers 100

93.5 75

73.5

60 40 25.5

25

20 2.5

0 –20

1.7 –0.8

–8.3

Manual

LS/ATN 1

LS/ATN 2

LS/ATN 3

Fig. 23.12 Improvements obtained with LS/ATN over

manual dispatching. Higher service level at reduced cost. Saved driven kilometers are compared with the manual figure

service level: only 2.5% violated constraints with more than 6 h delay. The third solution (LS/ATN 3), not allowing any time window violation, shows an increase of only 1.7% in terms of driven kilometers, while meeting all the constraints to 100%. In this analysis we compared the system results with real-world (manual) results, as the customers regard these metrics as optimal. Furthermore, there is the cost and resource problem if one would like to compare the results with the real optimum. This would require setup and development of a parallel solution based on linear programming, which is – according to our experience – not capable of covering all detailed requirements and constraints. Customers do not pay for such a comparison, as it is far too expensive and, even if one could develop a parallel solution fulfilling all requirements in the same way, there is no guarantee that the (one-time) optimal solution will end in due time. Significantly Increased Process Efficiency Through the use of automatic optimization a lower process cost is achieved. This is due to automatic handling of plan deviations and evaluation of solution options in real time. Moreover, through automation, the communication costs in terms of dispatcher’s time and material is reduced. Better customer support can be guaranteed through fast, comprehensive, and up-to-date information about order execution. Automation also allows processing of a higher number of orders than with manual dispatching only. This is an important issue as the volume of data to be managed is constantly increasing.

Without LS/ATN Count

VA* 261 Z 50 D

Total

With LS/ATN

Trucks 261

Driven km 96 231

€/km 1.06

Cost in € 102 005

50

19 266

0.85

16 376

100 R planned 0 R new

100

23 836

0.80

19 069

0

0

0.85

0

76 B planned 0 B new

153

70 803

0.80

56 642

0

0

0.85

0

564

210 136

194 092

397

Count

VA* 104 Z 41 D

Trucks 104

Driven km 36 204

€/km 1.06

Cost in € 38 376

41

18 337

0.85

15 586

89 R planned 42 R new

89

21 450

0.80

17 160

42

11 798

0.85

10 028

80 B planned 49 B new

160

74 110

0.80

59 280

98

47 496

0.85

40 372

534

209 385

Total

0.4% reduced km

6.9% reduced cost

☺ Fig. 23.13 Significant cost savings through optimized capacity utilization

180 803

Part C 23.3

violated constraints. The system allows the desired level of service quality to be fine-tuned. Figure 23.12 presents results obtained from LS/ATN relative to the manual dispatching solution of very experienced dispatchers (manual). The first proposed solution (LS/ATN 1), using relaxed soft constraints as the manual dispatchers do, provides a reduction of 8.3% in driven kilometers at the same service level with no more than 25% violated constraints. The second solution (LS/ATN 2), configured with higher penalty costs for late delivery, shows a reduction in driven kilometers of 0.8% relative to the manual dispatching solutions, while providing a significant higher

23.3 Application Example: Dynamic Transportation Optimization

398

Part C

Automation Design: Theory, Elements, and Methods

Significant Savings through Optimized Capacity Utilization Cost savings cannot only be achieved by avoiding empty trips and reducing driven kilometers; an important aspect of cost reduction is the optimal use and allocation of the company’s own and chartered trucks to a mixture of one-way, back-, and round-trips. Without the ability to reduce the driven kilometers significantly there is still a saving potential of up to 7%, as shown in Fig. 23.13.

Part C 23.3

Savings Potential in Numbers A partial dataset from our major customer, DHL Freight, contains around 3500 real business transportation requests. In terms of the optimization results, obtained by comparing the solution of manual dispatching of these requests against processing the same orders with LS/ATN, a total 11.7% cost saving was achieved, where 4.2% of the cost savings stem from an equal reduction in driven kilometers. An additional achievement is that the number of vehicles used is 25.5% lower compared with the manual solution. The cost savings would be even higher if fixed costs for the vehicles were included, which is not the case in the charter business, but possibly in other transportation settings. Combined with other real-world comparisons we can estimate an overall transportation cost saving of 5– 10%, which are variable costs (subcontractor payment) and thus have an immediate effect. Fixed cost savings of 50–100% resulting from process and communication improvements are long-term effects, which only pay back when the resources are reallocated.

23.3.5 Emerging Trends: Pervasive Technologies Although capacity and route optimization tools are proven to produce significant reductions in operating costs, many in the transportation industry are acutely aware that one key and often missing component of the optimization strategy is the provision of real-time feedback from en route vehicles. The objective is an intelligent transportation management system with every vehicle providing up-to-date information of progress through a pickup/delivery schedule and with onboard sensors detecting, for example, when freight is loaded and unloaded, and whether its condition (e.g., temperature) is within tolerance limits. The intelligent transportation management systems model [23.14] developed within the transportation industry is grounded in the principle of vehicle track-

ing and incorporation of real-time information into the transportation management process using available pervasive technologies. The emerging approaches to realizing this model involve various combinations of pervasive technologies, some of which are all highlighted in the following section. This section highlights some of the most relevant technologies in use today, or in the early phases of adoption. LS/ATN is able to make use of data sourced from, manipulated by, or transmitted by any of these technologies to enhance the route optimization process. Global Positioning System (GPS) Automatic vehicle location (positional awareness) uses GPS signals for real-time persistent location monitoring of vehicles. Both human dispatchers and route planners such as LS/ATN can then track vehicles continuously as they move between pickup and delivery locations. Active GPS systems allow automatic location identification of a mobile vehicle; at selected time intervals the mobile unit sends out its latitude and longitude, as well its speed and other technical information. Passive GPS uses the onboard units (OBU) to log location and other GPS information for later upload. Accuracy can vary, typically between 2 and 20 m, according to the availability of enhancement technologies such as the wide-area augmentation system (WAAS), available in the USA. The European Galileo system will augment GPS to provide open-use accuracies in the region of 4–8 m within the European region. The adoption of GPS is growing quickly as the technology becomes commoditized, but some transportation companies remain reliant on legacy equipment for measuring vehicle location. Some of the alternatives to GPS in use today include dead-reckoning, which uses a magnetic compass and wheel odometers to track distance and direction from a known starting point, and the long-range navigational (LORANC) system, which determines a vehicle’s location using in-vehicle receivers and processors that measure the angles of synchronized radio pulses transmitted from at least two towers with predetermined position. Another system in use by some transportation companies is cellphone signal triangulation, which estimates vehicle location by movement between coverage cells. This only offers accuracy typically in the region of 50–350 m, but is a cheap and readily available means of determining location. Onboard Units (OBU) An OBU, otherwise known as a black box, is a vehiclemounted module with a processor and local memory

Real-Time Autonomic Automation

RFID Many assets, including freight containers, swap-bodies, and transport vehicles, are now being fitted with transponders not only to identify themselves, but also to detect shipment contents and maintain real-time inventories. In the latter case, units are equipped with radiofrequency identification (RFID) readers tuned to detect RFID tags within the confined range of the container. Some tags, such as the Intermec Intellitag with an operating range of 4 m, are specifically designed for pallet and container tracking, where tags are attached to every item and automatically scanned whenever cargo is loaded or unloaded. The live inventory serves as both local information for the driver and as real-time feedback to the TMS, which uses it for record keeping and as input to the real-time route planner.

399

In addition, e-Seals, whether electronic or mechanical, are now often placed on shipments or structures to detect unauthorized entry and send remote alerts via the OBU. E-Seals on a container door can also store information about the container, the declaration of its contents, and its intended route through the system. They document when the seal was opened and, in combination with digital certificates and signatures, identify whether the people accessing the container are authorized to do so. Mobile Communications Electronic communication is the key enabler of pervasive technologies. In transportation the most basic form in use is the short message service (SMS), which is commonly used to communicate job status such as when a driver has delivered an order. Technology is already in place to automatically process SMSs and input the data into the route planner. Also now in relatively widespread use is dedicated short-range communications (DSRC) operating in the short-range 5.8–5.9 GHz microwave band for use between vehicles and roadside transponders. Its primary use in Europe and Japan is for electronic toll collection. DSRC is also used for applications such as verifying whether a passing vehicle has a correctly operating OBU. Currently, the technology with the greatest utility is machine-to-machine (M2M) [23.15] communication, which is the collective term for enabling direct connectivity between machines (e.g., a vehicle’s OBU and the remote planning engine) using widespread wireless technologies. Legacy second-generation (2G) infrastructure is most commonly used as third-generation (3G) technologies enter the mainstream for day-to-day human telecommunications. M2M is quickly emerging as a principle enabler of networked embedded intelligence, the cornerstone of pervasive computing. It can eliminate the barriers of distance, time, and location, and as prices for the use of 2G continue to drop due to continued rollout of 3G technologies, many transportation companies are taking advantage and adopting M2M as their primary means of electronic communication. Emerging solutions take M2M to another level by enabling always-on and highly reliable communication through automatic selection of connection technology, e.g., general packet radio service (GPRS), enhanced data rates for GSM evolution (EDGE), universal mobile telecommunications system (UMTS), satellite services, and WiFi according to availability. The LS/ATN route optimizer, for example, can be augmented with a re-

Part C 23.3

that is capable of integrating other onboard technologies such as load-status sensors, digital tachographs, toll collection units, onboard and fleet management systems, and remote communications facilities. The majority of OBUs in use today, such as the VDO FM Onboard series from Siemens, the CarrierWeb logistics platform, and EFAS from Delphi Grundig, are typically used to record vehicle location, calculate toll charges, and store vehicle-specific information such as identity, class, weight, and configuration. Some emerging OBUs will have increased processing capabilities allowing them to correlate and preprocess collected data locally prior to transmission. This offers the possibility of more computational intelligence installed within the vehicle, enabling in situ diagnostics and dynamic coordination with the remote planning optimizer such that the vehicle becomes an active participant in the planning process, rather than simply a passive provider and recipient of data. Vehicle data, in its most common form, relates to the state of the vehicle itself, including, for example, tire pressure, engine condition, and emissions data. Automatic acquisition of this data by onboard sensors and its transmission to a remote system has been available within the automotive industry from some years and is now gaining substantial interest in the freight transportation business. The OBU gathers information from sensors with embedded processors capable of detecting unusual or deviant conditions, and informs a central control center if a problem is detected. Sensors also measure the status of a shipment while en route, such as detecting whether the internal temperature of refrigerated containers is within acceptable tolerance limits or whether a door is open or closed.

23.3 Application Example: Dynamic Transportation Optimization

400

Part C

Automation Design: Theory, Elements, and Methods

mote connection agent module [23.16] installed in vehicles that offers seamless M2M over cellular technologies, wireless local-area network (LAN), and even short-range ad hoc connections if available. The selection of a particular communication technology can be made either manually or automatically, depending on several metrics including location, connection availability, transmission cost, and service type or task; for example, a fleet operator may prefer the use of satellite to communicate directly with a driver, but then a combination of cellular technologies for remote monitoring, trailer tracking, and diagnostics. Low-cost GPRS might be selected to download position coordinates from an onboard GPS; whereas, a higher-bandwidth (and cost) option such as UMTS/WCDMA (wideband code division multiple access) might be preferred for an over-the-air update to the OBU or onboard sensors. The position information of a single vehicle is used to adjust dispatching plans immediately in the case of deviations (described below in more detail). If the number and density of vehicles in a region is high enough, this floating vehicle data may be integrated into a map containing real-time traffic flow information [23.17].

Part C 23.3

Sense

Opportunities of Using Pervasive Technologies Transportation route optimizers can take advantage of real-time data sourced from vehicles equipped with pervasive technologies by incorporating information relating to vehicle location, state, and activity into their planning processes. Figure 23.14 shows the major difference and step from the current deployment of the agent-based realtime dispatching system, only making use of traditional communication and track-and-trace capabilities with many manual human activities involved, toward a full real-time control loop leaving the dispatcher in a purely supervisor role. In the future, the sensor and actuator interfaces will be increasingly automated while the decision core system is already in place. Pervasive communication provides a permanent bilateral link between the vehicles and the dispatching system. Onboard preprocessing is available to calculate continuously the estimated time of arrival (ETA) at the next node, which is periodically sent to the server in order to check immediately the impact on the dispatching plan. Taking speed and other local knowledge into account the local preprocessor is able to deduce traffic conditions and forward this (only temporarily valuable)

Decide

Act

Existing: state-of-the-art real-time dispatching combined with traditional track&trace and communication

“Agent-based reasoning”

Future: M2M real-time track&trace and re-scheduling combined with instant driver update

Status Traffic Activities ETA

“Agent-based reasoning” Supervisor

Instructions time schedule order details locations (route) traffic

Fig. 23.14 Analysis of the sense–decide–act loop: despite a state-of-the-art reasoning engine, existing applications still involve many media breaks with human involvement, which are increasingly being replaced by integrated pervasive technologies

Real-Time Autonomic Automation

23.3.6 Future Developments and Open Issues There remain many scientific and practical challenges related to the design and use of real-time dispatching system. A selection of these that we consider relevant to LS/ATN and for consideration by the community at large are described below. A major challenge is the effective handling of intercompany, interregion, and intermodal transportation. Transportation intrinsically involves multiple carriers operating both within and across sectors (i. e., road, air, and shipping) and across geographical boundaries. Each carrier has its own, often proprietary, systems that do not necessarily integrate easily with one another. Addressing this integration problem is a significant engineering issue to be faced as the technologies addressed in this chapter come into more widespread use.

The integration of transportation planners into supply chain and production systems is also important. As previously mentioned, freight is now often delivered directly to manufacturing plants without passing through transitional storage. Integration of these systems thus becomes a priority when shaping dynamic supply chains, and supply networks. OBUs in use today typically consist of a simple processor, memory, and communication interfaces. Installed software is often designed solely for reading data from sensors and transmitting it to the TMS. One method of improving on this design is the integration of an autonomous software controller into the OBU to assist with the manipulation and coordination of collected onboard data. Example uses include assisting in the selection of M2M connection type in a multiprovider environment according to the type and volume of data to be transmitted and caching data locally if connections are temporarily unavailable. The controller can be further extended with a software agent that extends the distributed intelligence offered by the route optimizer. This agent essentially acts as a remote extension of the optimization platform, allowing the agent to act as a proxy representative of the vehicle itself within the context of route scheduling. Vehicles can thus become active participants in the planning process, forming a network overlay of communicating data processors. Further research is required on so-called smart freight containers capable of announcing their presence and even negotiating with external devices; for example, a simple OBU fixed to a container will allow it to communicate with vehicles, customs checks, and equipment at freight consolidation centers. Many major transportation companies use such centers, distributed at strategic locations, with the primary goal of consolidating freight onto as few vehicles as possible to maximize use of available capacity. With the installation of RFID readers, incoming freight with RFID tags can be traced as it moves through a facility, providing TMS optimizers with complete coverage of freight location throughout its entire lifecycle within the business chain. In addition, external factors also favor early adoption of pervasive technologies, such as the ongoing escalation of fuel prices, new regulations for pollution reduction, and constant increases in demand for fast, high-volume freight shipping. This is recognized in the European Union white paper European Transport Policy for 2010 [23.18] which discusses the use of intelligent information services integrated with route

401

Part C 23.3

knowledge to other vehicles via the dispatching system. The combination of sensed speed with the current location can trigger an automatic status message if the truck is waiting for loading/unloading at a customer site or is idle in a traffic jam. A similar functionality is so-called geo-fencing, which issues a status messages when entering or leaving a destination. A further automation is the local communication between truck and a smart container for docking and undocking messages. All of the above simplify and speed up execution tracking and dramatically increase status frequency, quality, and accuracy. Real-time plan adjustments ensure that a co-loading opportunity is never missed, or that time is never lost when informing the customer about short-term changes. In particular, during the decision phase, route optimization and the derivation of schedules can directly use both information relating to vehicles movements as they proceed through delivery schedules and feedback from RFID transponders notifying when orders have been added to or removed. This real-time component implies that time windows can be more finely tuned according to current events, resulting in alternative schedules that can either compensate for delays or take advantage of time saved. Preliminary results with a prototype demonstrate that employing real-time data in the optimization process can further reduce transportation operating costs by up to 3% beyond the 5–10% achieved from the standard optimization process described earlier, depending on the particular case and system configuration.

23.3 Application Example: Dynamic Transportation Optimization

402

Part C

Automation Design: Theory, Elements, and Methods

planning systems and mobile communications to provide real-time, intelligent end-to-end freight and vehicle tracking and tracing. There can be little doubt that the adoption of intelligent transportation planners capable of using real-time data sourced from pervasive technologies such as those

discussed in this chapter is a major objective of many freight transportation operators both in Europe and other areas of the world. With these techniques now widely recognized as an important means of reducing operating costs, many companies are already well advanced on the path to adoption.

23.4 How to Design Agent-Oriented Solutions for Autonomic Automation In the past decade a lot of work has been done on agent-oriented analysis and design. The works presented in [23.19] and [23.20] are only two examples, but very good starting points to dig into the agent world. More details about agent organization, agent platforms, tools and development can be found in [23.7, 21–23]. Other chapters in this Handbook also cover agentbased solutions, the following gives just a brief outline on how to start thinking in an agent-oriented way in the form of a questionnaire. A detailed discussion would be far beyond the scope of this Handbook. Questions to structure the overall solution:

Part C 23.5

• • •

ity of the design. These aspects cannot be given as a concrete metric, but should be understood as general indicators relative to the size and type of the intended system:



What are the processes? Who/what drives the processes? Which roles do the process drivers play?

Questions to ask for each agent/role:

• • • • • •

What is the responsibility of the agent? Which goals does the agent aim at? What is the strategy to reach the goals? What knowledge does the agent need to follow this strategy? With which agents does he need to communicate? Which sensors and actuators are needed or available?



These questions have been discussed and answered to design and implement the two application examples contained in this chapter. Two main aspects of an agent-based solution should be considered in order to analyze and prove the qual-

Local knowledge: A good agent solution has been achieved (or is possible) if the local knowledge needed by an agent to achieve its goals can be kept to a minimum. If a solution requires an agent to hold a large amount of data, or – in an extreme case – each agent needs to know everything, either the design should be rethought or an agent-based solution is not appropriate. Consider, for example, a sales representative (agent) for a car manufacturer, whose goal is to sell as many cars at the highest price possible. For that he does not need to know all the details about car production or supply chain organization. He only needs some extract of the whole business knowledge. Communication: Although message exchange and service-oriented architectures can accompany agentbased ideas, a good solution keeps communication to a minimum and makes careful use of resource bandwidth. If a design requires too much messaging among the participants then the role, and therefore the goal assignment, is not distinct enough. Agent-oriented design means to define a good level of responsibility and assign it to a software entity, which allows it to pursue its goals and to decide actions based on local knowledge. Cooperation with the environment is needed to sense what’s going on but should not be needed to draw conclusions.

23.5 Emerging Trends and Challenges 23.5.1 Virtual Production and the Digital Factory The automation industry, which includes at least all machine manufacturers, has the vision that all compo-

nents of a production facility will be accompanied by a full digital description in a standardized format. Besides easy and straightforward integration into factory simulation tools, the goal is also to let modules carry their own electronic description to enable them to plug

Real-Time Autonomic Automation

in and integrate automatically into a production system in the sense of self-configuration. Testing and putting into operation would become as easy as attaching a new mouse to a computer. Even though it is obvious that this will not work for all machines and that it is very hard to achieve, it is a very worthwhile goal to work towards. If the modules additionally come along with their own agents, they can also dynamically negotiate with the environment in which they are placed and (self-)optimize their activities.

23.5.2 Modularization

23.5.3 More RFID, More Sensors, Data Flooding As RFID technology increasingly finds its way into industrial usage and other sensors based on video cameras, induction loops or microwaves we increase the amount of generated data day by day. Many companies

403

are hungry for data, but have not clearly defined what to do with this new data flood. Admittedly the data and its accuracy have a high value, but one has to be aware that all this new data keeps its high value for only a very limited time. In other words: you have to process and gain the value from fresh data immediately. Because of the huge volumes involved, this can only be done by automated processes, which handle sensor input where it appears and drive activities without too much data transfer through the network. One can therefore conclude that RFID and other sensors increase the need for software agent concepts.

23.5.4 Pervasive Technologies Limitations: Onboard Agents As discussed in Sect. 23.5.1, one vision is to equip machine components and modules directly with their self-* logic (Sect. 23.1.4) and software representative. However, since the processing power of most controller boards is still not sufficient, and more importantly since such a huge variety of controller boards exist, it is not the first goal to support all of these directly. Instead it is much more convenient, faster, and not to forget cheaper to let the agent logic run on dedicated computers and just implement interfaces to the different controllers. This approach is not at odds with the general distributed solution design. It is just a special deployment decision. The very specific controller boards are not loaded with additional computing tasks, but only used as interfaces to the attached sensors and actuators. The software agents are deployed to one or more standard computers installed in the field as needed. A solution architect could theoretically use one dedicated personal computer (PC) per agent (per controller), which would directly reflect the distributiveness of the solution, but – again for cost reasons – several controllers, located in the same module, machine, area, room or building, can easily host the agents of many attached controllers. The agents still somehow work locally, close to the physical installation and thus the overall solutions provides redundancy, reduced latency, and near-real-time responsiveness. Step by step, as controller boards become more powerful in the coming years, the agents can be run directly on the board. This will be a smooth transition without changing the solution’s core algorithms and allows one to take advantage of autonomous concepts already today.

Part C 23.5

There is a clear trend and motivation in the industry to modularize machines and production facilities, yielding many advantages. The customer (user) of a machine gets much greater flexibility, as he can order, configure, and dynamically adapt his production lines according to market needs. A common keyword in this regard is the selling argument grow as you need. On the maintenance side more cost reductions are possible as fewer spare parts are needed for modules built on the same framework, and if a defective module needs to be replaced this is normally easier than replacing a whole machine. Some production machines even offer a shopping-cart-like system, where a component can be exchanged without a screwdriver. The manufacturer has smaller components to produce, which needs less space, at least for each production cell. In the same way quality tests become easier and faster because only a single module has to be tested. Last but not least, smaller modules are easier and cheaper to transport and deliver. The increased number of common parts leads to cheaper production because fewer tools are needed, and less space for many different parts and higher purchasing discounts can be achieved. Overall, modularization is a win–win concept for all parties.

23.5 Emerging Trends and Challenges

404

Part C

Automation Design: Theory, Elements, and Methods

References 23.1 23.2

23.3

23.4

23.5

23.6

23.7

Part C 23

23.8

23.9 23.10

23.11

23.12

Wikipedia: Automation, http://en.wikipedia.org/ wiki/Automation (last accessed February 2009) P. Davidsson, S. Johansson, J. Persson, F. Wernstedt: Agent-based approaches and classical optimization techniques for dynamic distributed resource allocation: a preliminary study, AAMAS’03 workshop on Representations and Approaches for Time-Critical Decentralized Resource/Role/Task Allocation (2003) J.O. Kephart, D.M. Chess: The vision of autonomic computing, IEEE Comput. Mag. 36(1), 41–50 (2003) S. Bussmann, K. Schild: Self-organizing manufacturing control: an industrial application of agent technology, Proc. 4th Int. Conf. Multi-Agent Syst. (2000) pp. 87–94 D. Greenwood, C. Dannegger: An industry-proven multi-agent systems approach to real-time plan optimization, 5th Workshop Logist. Supply Chain Manag. (2007) R. Zimmermann: Agent-based supply network event management. Whitestein Series in Software Agent Technologies and Autonomic Computing. (Birkhäuser, Basel 2006) G. Rimassa, D. Greenwood, M.E. Kernland: The living systems technology suite: an autonomous middleware for autonomic computing, Int. Conf. Auton. Auton. Syst. ICAS (2006) S. Kim, M.E. Lewis, C.C. White: Optimal vehicle routing with real-time traffic information, IEEE Trans. Intell. Transp. Syst. 6(2), 178–188 (2005) M.W.P. Savelsbergh, M. Sol: The general pickup and delivery problem, Transp. Sci. 29(1), 17–29 (1995) K. Dorer, M. Calisti: An adaptive solution to dynamic transport optimization, Proc. 4th Int. Jt. Conf. Auton. Agents Multiagent Syst. (ACM, New York 2005) pp. 45–51 S. Mitrovic-Minic: Pickup and delivery problem with time windows: A survey. Technical Report TR 1998–12 (Simon Fraser University, Burnaby 1998) W.P. Nanry, J.W. Barnes: Solving the pickup and delivery problem with time windows using reactive tabu search, Transp. Res. B 34, 107–121 (2000)

23.13

23.14

23.15 23.16

23.17

23.18

23.19

23.20

23.21

23.22

23.23

M. Pˇ echouˇcek, S. Thompson, J. Baxter, G. Horn, K. Kok, C. Warmer, R. Kamphuis, V. Marík, P. Vrba, K. Hall, F. Maturana, K. Dorer, M. Calisti: Agents in industry: the best from the AAMAS 2005 industry track, IEEE Intell. Syst. 21(2), 86–95 (2006) General Datacom: Transportation and Wireless Connections, http://www.gdc.com/inotes/pdf/ transportation.pdf (Naugatuck 2004) G. Lawton: Machine-to-machine technology gears up for growth, IEEE Computer 37(9), 12–15 (2004) D. Greenwood, M. Calisti: The living systems connection agent: seamless mobility at work, Proc. Communication in Distributed Systems (KiVS) (Berne 2007) pp. 13–14 A. Gühnemann, R. Schäfer, K. Thiessenhusen, P. Wagner: New approaches to traffic monitoring and management by floating car data, Proc. 10th World Conf. Transp. Res. (Istanbul 2004) The European Commission: European Transport Policy for 2010: Time to Decide, (2001) http://ec.europa.eu/transport/white_paper/ documents/index_en.htm M. Wooldridge, N.R. Jennings, D. Kinny: The Gaia methodology for agent-oriented analysis and design, J. Auton. Agents Multi-Agent Syst. 3(3), 285–312 (2000) F. Zambonelli, N.R. Jennings, M. Wooldridge: Developing Multiagent Systems: The Gaia Methodology, ACM Trans. Softw. Eng. Methodol. 12(3), 317–370 (2003) C. van Aart: Organizational Principles for MultiAgent Architectures. Whitestein Series in Software Agent Technologies and Autonomic Computing (Birkhäuser, Basel 2005) R. Unland, M. Klusch, M. Calisti (Eds.): Software Agent-Based Applications, Platforms and Development Kits. Whitestein Series in Software Agent Technologies and Autonomic Computing (Birkhäuser, Basel 2005) R. ˇCervenka, I. Trenˇcanský, M. Calisti, D. Greenwood: AML: Agent Modeling Language Toward Industry-Grade Agent-Based Modeling, Lecture Notes in Computer Science (Springer, Berlin, Heidelberg 2005)

405

Automation U

24. Automation Under Service-Oriented Grids

Jackson He, Enrique Castro-Leon

The increasing adoption of service-oriented architectures (SOAs) represents the increasing recognition by IT organizations of the need for business and technology alignment. In fact, under SOA there is no difference between the two. The unit of delivery for SOA is a service, which is usually defined in business terms. In other words, SOA represents the up-leveling of IT, empowering IT organizations to meet the business needs of the community they serve. This up-leveling creates a gap, because for IT business requirements eventually need to be translated into technology-based solutions. Our research indicates that this gap is being fulfilled by the resurgence of two very old technologies, namely virtualization and grid computing. To begin with, SOA allowed the decoupling of data from applications through the magic of extensible mark-up language (XML). A lot of work that used to be done by application developers and integrators now gets done by computers. When most data centers run at 5–10% utilization, growing and deploying more data centers is not a good solution. Virtualization technology came in very handy to address this situation, allowing the decoupling of applications from the platforms on which they run. It acts as the gearbox in a car, ensuring efficient transmission of power from the engine to the wheels. The net effect of virtualization is that it allows utilization factors to increase to 60–70%. The technique has been applied to mainframes for decades. Deploying virtualization to tens of thousands of servers has not been easy. Finally, grid technology has allowed very fast, on-the-fly resource management, where resources are allocated not when a physical server is provisioned, but for each instance that a program is run.

Part C 24

For some companies, information technology (IT) services constitute a fundamental function without which the company could not exist. Think of UPS without the ability to electronically track every package in its system or any large bank managing millions of customer accounts without computers. IT can be capital and labor intensive, representing anywhere between 1% and 5% of a company’s gross expenditures, and keeping costs commensurate with the size of the organization is a constant concern for the chief information officer (CIO)s in charge of IT. A common strategy to keep labor costs in check today is through a deliberate sourcing or service procurement strategy, which may include insourcing using in-house resources or outsourcing, which involve the delegation of certain standardized business processes such as payroll to service companies such as ADP. Yet another way of keeping labor costs in check with a long tradition is through the use of automation, that is, the integrated use of technology, machines, computers, and processes to reduce the cost of labor. The convergence of three technology domains, namely virtualization, service orientation, and grid computing promises to bring the automation of provision and delivery of IT services to levels never seen before. An IT environment where these three technology domains coexist is said to be a virtual service-oriented environment or VSG environment. The cost savings are accrued through systemic reuse of resources and the ability to quickly integrate resources not just within one department, but across the whole company and beyond. In this Chapter we will review each of the constituent technologies for a virtual serviceoriented grid and examine how each contributes to the automation of delivery of IT services.

406

Part C

Automation Design: Theory, Elements, and Methods

24.1 Emergence of Virtual Service-Oriented Grids ............ 406

24.3.2 Services Needed for Virtual Service-Oriented Grids ... 410

24.2 Virtualization ....................................... 406 24.2.1 Virtualization Usage Models........... 407

24.4 Grid Computing .................................... 414

24.3 Service Orientation ............................... 408 24.3.1 Service-Oriented Architectural Tenets......................................... 409

24.5 Summary and Emerging Challenges........ 414 24.6 Further Reading ................................... 415 References .................................................. 416

24.1 Emergence of Virtual Service-Oriented Grids

Part C 24.2

Legacy systems in many cases represent a substantial investment and the fruits of many years of refinement. To the extent that legacy applications bring business value with relatively little cost in operations and maintenance there is no reason to replace them. The adoption of virtual service-oriented grids does not imply wholesale replacement of legacy systems by any means. Newer virtual service-oriented applications will coexist with legacy systems for the foreseeable future. If anything, the adoption of a virtual service-oriented environment will create opportunities for legacy integration with the new environment through the use of webservice-based exportable interfaces. Additional value will be created for legacy systems through extended life cycles and new revenue streams through repurposing older applications. These goals are attained through the increasing use of machine-to-machine communications during setup and operation. To understand how the different components of virtual service-oriented grids came to be, we have to look at the evolution of its three constituent technologies: virtualization, service orientation, and grids. We also need to examine how they become integrated and interact with each other to form the core of a virtual serviceoriented grid environment. This section also addresses the tools and overall architecture components that keep

Virtualization

Service-orientation

Virtual service-oriented grids

Grid computing

Fig. 24.1 Virtual service-oriented grids represent the con-

fluence of three key technology domains

virtual service-oriented functions as integral business services that deliver ultimate value to businesses. Figure 24.1 depicts the abstract relationship between the three constituent technologies. Each item represents a complex technical domain of its own. In the following sections, we describe how key technology components in these domains define the foundation for a virtual service-oriented grid environment, elements such as billing and metering tools, service-level agreement (SLA) management, as well as security, data integrity, etc. Further down, we discuss architecture considerations to put all these components together to deliver tangible business solutions.

24.2 Virtualization Alan M. Turing, in his seminal 1950 article for the British psychology journal Mind, proposed a test for whether machines were capable of thinking by having a machine and a human behind a curtain typing text messages to a human judge [24.1]. A thinking machine would pass the test if the judge could not reliably determine whether answers from the judge’s question came from the human or from the machine.

The emergence of virtualization technology poses a similar test, perhaps not as momentous as attempting to distinguish a human from a machine. Every computation result, such as a web page retrieval, a weather report, a record pulled from a database or a spreadsheet result can be ultimately traced to a series of state changes. These state changes can be represented by monkeys typing at random at a keyboard, humans scrib-

Automation Under Service-Oriented Grids

In fact, the concept of virtualization has been around for a long time. Back in the mainframe days, we used to have virtual processes, virtual devices, and virtual memory [24.3–5]. We use virtual memory in most operating systems today. With virtual memory, computer software gains access to more memory than is physically installed, via the background swapping of data to disk storage. Similarly, virtualization concepts can be applied to other IT infrastructure layers including networks, storage, laptop or server hardware, operating systems, and applications. Even the notion of process is essentially an abstraction for a virtual central processing unit (CPU) running a single application. Virtualization on x86 microprocessor-based systems is a more recent development in the long history of virtualization. This entire sector owes its existence to a single company, VMware; and in particular, to founder Rosenblum [24.6], a professor of operating systems at Stanford University. Rosenblum devised an intricate series of software workarounds to overcome certain intrinsic limitations of the x86 instruction set architecture in the support of virtual machines. These workarounds became the basis for VMware’s early products. More recently, native support for virtualization hypervisors and virtual machines has been developed to improve the performance and stability of virtualization. An example is Intel’s virtualization technology (VTx) [24.7]. To look further into the impact of virtualization on a particular platform, Fig. 24.2 illustrates a typical configuration of a single operating system (OS) platform without virtual machines (VMs) and a configuration of multiple virtual machines with virtualization. As indicated in the chart on the right, a new layer of abstraction is added, the virtual machine monitor (VMM), between physical resources and virtual resources. A VMM presents each VM on top of its virtual resources and maps virtual machine operations to physical resources. VMMs can be designed to be tightly coupled with operating systems or can be agnostic to operating systems. The latter approach provides customers with the capability to implement an OS-neutral management infrastructure.

24.2.1 Virtualization Usage Models Virtualization is not just about increasing load factors; it brings a new level of operational flexibility and convenience to the hardware that was previously associated with software only. Virtualization allows running

407

Part C 24.2

bling numbers on a note pad, or a computer running a program. One of the drawbacks of the monkey method is the time it takes to arrive at a solution [24.2]. The human or manual method is also too slow for most problems of practical size today, which involve millions or billions of records. Only machines are capable of addressing the scale of complexity of most enterprise computational problems today. In fact, their performance has progressed to such an extent that, even with large computational tasks, they are idle most of the time. This is one of the reasons for the low utilization rates for servers in data centers today. Meanwhile, this infrastructure represents a sunk cost, whether fully utilized or not. Modern microprocessor-based computers have so much reserve capacity that they can be used to simulate other computers. This is the essence of virtualization: the use of computers to run programs that simulate computers of the same or even different architectures. In fact, machines today can be used to simulate many computers, anywhere between 1 and 30 for practical situations. If a certain machine shows a load factor of 5% when running a certain application, that machine can easily run ten virtualized instances of the same application. Likewise, three machines of a three-tier e-commerce application can be run in a single physical machine, including a simulation of the network linking the three machines. Virtual computers, when compared with real physical computers, can pass the Turing test much more easily than when humans are compared to a machine. There is essentially no difference between results of computations in a physical machine versus the computation in a virtual machine. It may take a little longer, but the results will be identical to the last bit. Whether running in a physical or a virtualized host, an application program goes through the same state transitions, and eventually presents the same results. As we saw, virtualization is the creation of substitutes for real resources. These substitutes have the same functions and external interfaces as their counterparts, but differ in attributes, such as size, performance, and cost. These substitutes are called virtual resources. Because the computational results are identical, users are typically unaware of the substitution. As mentioned, with virtualization we can make one physical resource look like multiple virtual resources; we can also make multiple physical resources into shared pools of virtual resources, providing a convenient way of divvying up a physical resource into multiple logical resources.

24.2 Virtualization

408

Part C

Automation Design: Theory, Elements, and Methods

App

App

...

VM0

App

VM1

App App ... App Guest OS0

Operating system

A new layer of abstraction

App App ... App

...

Guest OS1

Physical host hardware

Processors

Memory

Graphics

Virtual machine monitor (VMM) Physical host hardware

Network

Storage

Keyboard/mouse

Without VMs: Single OS owns all hardware resources

With VMs: Multiple OSs share hardware resources

Fig. 24.2 A platform with and without virtualization

Part C 24.3

instances of virtualized machines as if they were applications. Hence, programmers can run multiple VMs with different operating systems, and test code across all configurations simultaneously. Once systems administrators started running hypervisors in test laboratories, they found a treasure trove of valuable use cases. Multiple physical servers can be consolidated onto a single, more powerful machine. The big new box still draws less energy and is easier to manage on a per-machine basis. This server consolidation model provides a good solution to server sprawl, the proliferation of physical servers, a side effect of deploying servers supporting a single application. There exist additional helper technologies complementing and amplifying the benefits brought by virtualization; for example, extended manageability technologies will be needed to automatically track and manage the hundreds and thousands of virtual machines in the environment, especially when many of them are

created and terminated dynamically in a data center or even across data centers. Virtual resources, even though they act in lieu of physical resources, are in actuality software entities that can be scheduled and managed automatically under program control, replacing the very onerous process of physically procuring machines. The capability exists to deploy these resources on the fly instead of the weeks or months that it would take to go through physical procurement. Grid computing technologies are needed to transparently and automatically orchestrate disparate types of physical systems to become a pool of virtual resources across the global network. In this environment, standards-based web services become the fabric of communicate among heterogeneous systems and applications coming from different manufacturers, insourced and outsourced, legacy and new alike.

24.3 Service Orientation Service orientation represents the natural evolution of current development and deployment models. The movement started as a programming paradigm and evolved into an application and system integration methodology with many different standards behind it. The evolution of service orientation can be traced back to the object-oriented models in the 1980s and even earlier and the component-based development model in the 1990s. As the evolution continues, ser-

vice orientation retains the benefits of component-based development (self-description, encapsulation, dynamic discovery, and loading). Service orientation brings a shift in paradigm from remotely invoking methods on objects, to one of passing messages between services. In short, service orientation can be defined as a design paradigm that specifies the creation of automation logic in the form of services based on standard messaging schemas.

Automation Under Service-Oriented Grids

Messaging schemas describe not only the structure of messages, but also behavior and semantics of acceptable message exchange patterns and policies. Service orientation promotes interoperability among heterogeneous systems, and thus becomes the fabric for systems integration, as messages can be sent from one service to another without consideration of how the service handling those messages has been implemented. Service orientation provides an evolutionary approach to building distributed systems that facilitate loosely coupled integration and resilience to change. With the arrival of web services and WS* standards (WS* denotes the multiple standards that govern web service protocols), service-oriented architectures (SOAs) have made service orientation a feasible paradigm for the development and integration of software and hardware services. Some advantages yielded by service orientation are described below. Progressive and Based on Proven Principles Service orientation is evolutionary (not revolutionary) and grounded in well-known information technology principles taking into account decades of experience in building real-world distributed applications. Service orientation incorporates concepts such as selfdescribing applications, explicit encapsulation, and dynamic loading of functionality at runtime-principles first introduced in the 1980s and 1990s through objectoriented and component-based development.

Easy to Adopt and Nondisruptive Service orientation can and should be adopted through an incremental process. Because it follows some of the same information technology principles, service orientation is not disruptive to current IT infrastructures and can often be achieved through wrappers or adapters to legacy applications without completely redesigning the applications. Adapt to Changes and Improved Business Agility Service orientation promotes a loosely coupled architecture. Each of the services that compose a business solution can be developed and evolved independently.

409

24.3.1 Service-Oriented Architectural Tenets The fundamental building block of service orientation is a service. A service is a program interacting through well-defined message exchange interfaces. The interfaces are self-describing, relatively stable over time, and versioning resilient, and shield the service from implementation details. Services are built to last while service configurations and aggregations are built for change. Some basic architectural tenets must be followed for service orientation to accomplish a successful service design and minimize the need for human intervention. Designed for Loose Coupling Loose coupling is a primary enabler for reuse and automatic integration. It is the key to making serviceoriented solutions resilient to change. Service-oriented application architects need to spend extra effort to define clear boundaries of services and assure that services are autonomous (have fewer dependencies), to ensure that each component in a business solution is loosely coupled and easy to compose (reuse). Encapsulation. Functional encapsulation, the process of hiding the internal workings of a service to the outside world, is a fundamental feature of service orientation. Standard Interfaces. We need to force ubiquity at the edge of the services. Web services provide a collection of standards such as simple object access protocol (SOAP), web service definition language (WSDL), and the WS* specifications, which take anything not functionally encapsulated for conversion into reusable components. At the risk of oversimplification, in the same way the browser became the universal graphic user interface (GUI) during the emergence of the First Web in the 1990s, web services became the universal machine-to-machine interface in the Second Web of the 2000s, with the potential of automatically integrating self-describing software components without human intervention [24.8, 9]. Unified Messaging Model. By definition, service orientation enables systems to be loosely bound for both the composition of services, as well as the integration of a set of services into a business solution. Standard messaging and interfaces should be used for both service integration and composition. The use of a unified messaging model blurs the distinction between integration and composition.

Part C 24.3

Product-Independent and Facilitating Innovation Service orientation is a set of architectural principles supported by open industry standards independent of any particular product.

24.3 Service Orientation

410

Part C

Automation Design: Theory, Elements, and Methods

Designed for Connected Virtual Environments With advances in virtualization as discussed in the previous section, service orientation is not limited to a single physical execution environment, but rather can be applied using interconnected virtual machines (VMs). Related content on virtual network of machines is usually referred to as a service grid. Although the original service orientation paradigm does not mandate full resource virtualization, the combination of service orientation and a grid of virtual resources hint at the enormous potential business benefits brought by the autonomic and on-demand compute models. Service Registration and Discovery. As services are

created, and their interfaces and policies are registered and advertised, using ubiquitous formats. As more services are created and registered, a shareable service network is created. Shared Messaging Service Fabric. In addition to networking the services, a secure and robust messaging service fabric is essential for service sharing and communication among the virtual resources. Resource Orchestration and Resolution. Once a ser-

Part C 24.3

vice is discovered, we need to have effective ways to allocate sufficient resources for the services to meet the service consumer’s needs. This needs to happen dynamically and be resolved at runtime. This means special attention is placed on discovering resources at runtime and using these resources in a way that they can be automatically released and regained based on resource orchestration policies. Designed for Manageability The solutions and associated services should be built to be managed with sufficient interfaces to expose information for an independent management system, which by itself could be composed of a set of services, to verify that the entire loosely bound system will work as designed. Designed for Scalability Services are meant to scale. They should facilitate hundreds or thousands of different service consumers. Designed for Federated Solutions Service orientation breaks application silos. It spans traditional enterprise computing boundaries, such as network administrative boundaries, organizational and operational boundaries, and the boundaries of time and

space. There are no technical barriers for crossing corporate or transnational boundaries. This means services need a high degree of built-in security, trust, and internal identity, so that they can negotiate and establish federated service relationships with other services following given policies administrated by the management system. Obviously, cohesiveness across services based on standards in a network of service is essential for service federation and to facilitate automated interactions.

24.3.2 Services Needed for Virtual Service-Oriented Grids A set of foundation services is essential to make serviceoriented grids work. These services, carried out through machine-to-machine communication, are the building blocks for a solution stack at different layers providing some of the automated functions supported by VSGs. Fundamental services can be divided into the following categories similar to the open grid services architecture (OGSA) approach, as outlined in Fig. 24.3 and in applications in Fig. 24.4. The items are provided for conceptual clarity without an attempt to provide an exhaustive list of all the services. We highlight some example services, along with a high-level description. Resource Management Services Management of the physical and virtual resources (computing, storage, and networking) in a grid environment. Asset Discovery and Management Maintaining an automatic inventory of all connected devices, always accurate and updated on a timely basis. Provisioning Enabling bare metal provisioning, coordinating the configuration between server, network, and storage in a synchronous and automatic manner, making sure software gets loaded on the right physical machines, taking platforms in and out of service as required for testing, maintenance, repair or capacity expansion; remote booting a system from another system, and managing the licenses associated with software deployment. Monitoring and Problem Diagnosis Verifying that virtual platforms are operational, detecting error conditions and network attacks, and responding by running diagnostics, deprovisioning platforms and reprovisioning affected services, or isolating network segments to prevent the spread of malware.

Automation Under Service-Oriented Grids

24.3 Service Orientation

Infrastructure Services Services to manage the common infrastructure of a virtual grid environment and offering the foundation for service orientation to operate.

Execution Management Services Execution management services are concerned with the problems of instantiating and managing to completion units of work or an application.

QoS Management In a shared virtualized environment, making sure that sufficient resources are available and system utilization is managed at a specific quality-of-service (QoS) level as outlined in the service-level agreement (SLA).

Business Processes Execution Setting up generic procedure as building blocks to standardize business processes and enabling interoperability across heterogeneous system management products.

Load Balancing Dynamically reassigning physical devices to applications to ensure adherence to specified service (performance) levels and optimized utilization of all resources as workloads change. Capacity Planning Measuring and tracking the consumption of virtual resources to be able to plan when to reserve resources for certain workloads or when new equipment needs to be brought on line. Utilization Metering Tracking the use of particular resources as designated by management policy and SLA. The metering service could be used for chargeback and billing by higher-level software.

Security • Authentication • Authorization • Policy implementation

• Virtualization • Management • Optimization

Workflow Automation Managing a seamless flow of data as part of the business process to move from application to application. Tracking the completion of workflow and managing exceptions. Execution Resource Allocation In a virtualized environment, selecting optimal resources for a particular application or task to execute. Execution Environment Provisioning Once an execution environment is selected, dynamically provision the environment as required by the application, so that a new instance of the application can be created. Managing Application Lifecycle Initiate, track status of execution, and administer the end-of-life phase of a particular application

Execution management • Execution planning • Workflow • Work managers

Provisioning • Configuration • Deployment • Optimization

Data • Storage management • Transport • Replica management

Virtual domains • Service groups • Virtual organizations

Physical environment Physical environment • • • •

Hardware Network Sensors Equipment

Fig. 24.3 OGSA service model from OGSA Spec 1.5, July 2006

Infrastructure profile • Required interfaces supported by all services

Part C 24.3

Resources

411

412

Part C

Automation Design: Theory, Elements, and Methods

and release virtual resources back to the resource pool. Data Services Moving data as required, such as data replication and updates; managing metadata, queries, and federated data resources. Remote Access Access remote data resources across the grid environment. The services hide the communication mechanism from the service consumer. They can also hide the exact location of the remote data. Staging When jobs are executed on a remote resource, the data services are often used to stage input data to that resource ready for the job to run, and then to move the result to an appropriate place. Replication To improve availability and to reduce latency, the same data can be stored in multiple locations across a grid environment.

Authorization The authorization service is to resolve a policy-based access-control decision. For the resource that the service requestor requests, it resolves, based on policy, whether or not the service requestor is authorized to access the resource. Credential Conversion Provide credential conversion from one type of credential to another type or form of credential. This may include such tasks as reconciling group membership, privileges, attributes, and assertions associated with entities (service consumers and service providers). Audit and Secure Logging The audit service, similarly to the identity mapping and authorization services, is policy driven. Security Policy Enforcement Enforcing automatic device and software load authentication; tracing identity, access, and trust mechanisms within and across corporate boundaries to provide secure services across firewalls.

Federation Data services can integrate data from multiple data sources that are created and maintained separately.

Logical Isolation and Privacy Enforcement Ensuring that a fault in a virtual platform does not propagate to another platform in the same physical machine, and that there are no data leaks across virtual platforms which could belong to different accounts.

Derivation Data services should support the automatic generation of one data resource from another data source.

Self-Management Services Reduce the cost and complexity of owning and operating a grid environment autonomously.

Part C 24.3

Metadata Some data service can be used to store descriptions of data held in other data services. For example, a replicated file system may choose to store descriptions of the files in a central catalogue. Security Services Facilitate the enforcement of the security-related policy within a grid environment. Authentication Authentication is concerned with verifying proof of an asserted identity. This functionality is part of the credential validation and trust services in a grid environment. Identity Mapping Provide the capability of transforming an identity that exists in one identity domain into an identity within another identity domain.

Self-Configuring A set of services adapt dynamically and autonomously to changes in a grid environment, using policies provided by the grid administrators. Such changes could trigger provisioning requests leading to, for example, the deployment of new components or the removal of existing ones, maybe due to a significant increase or decrease in the workload. Self-Healing Detect improper operations of and by the resources and services, and initiate policy-based corrective action without disrupting the grid environment. Self-Optimizing Tune different elements in a grid environment to the best efficiency to meet end-user and business needs. The tuning actions could mean reallocating resources to improve overall utilization or optimization by enforcing an SLA.

Automation Under Service-Oriented Grids

24.3 Service Orientation

413

a)

b)

Fig. 24.4a–c Examples of applications that can benefit from virtual services as shown in Fig. 24.3. (a) Steel industry; (b) textile industry; (c) material handling at a cargo airport (courtesy of Rockwell Automation, Inc.)

Part C 24.3

c)

414

Part C

Automation Design: Theory, Elements, and Methods

24.4 Grid Computing The most common description of grid computing includes an analogy to a power grid. When you plug an appliance or other object requiring electrical power into a receptacle, you expect that there is power of the correct voltage available, but the actual source of that power is not known. Your local utility company provides the interface into a complex network of generators and power sources and provides you with (in most cases) an acceptable quality of service for your energy demands. Rather than each house or neighborhood having to obtain and maintain its own generator of electricity, the power grid infrastructure provides a virtual generator. The generator is highly reliable and adapts to the power needs of the consumers based on their demand. The vision of grid computing is similar. Once the proper grid computing infrastructure is in place, a user will have access to a virtual computer that is reliable and adaptable to the user’s needs. This virtual computer will consist of many diverse computing resources. But these individual resources will not be visible to the user, just as the consumer of electric power is unaware of how their electricity is being generated. In a grid environment, computers are used not only to run the applications but to secure the allocation of services that will run the application. This operation is done au-

tomatically to maintain the abstraction of anonymous resources [24.10]. Because these resources are widely distributed and may belong to different organizations across many countries, there must be standards for grid computing that will allow a secure and robust infrastructure to be built. Standards such as the open grid services architecture (OGSA) and tools such as those provided by the Globus Toolkit provide the necessary framework. Initially, businesses will build their own infrastructures, but over time, these grids will become interconnected. This interconnection will be made possible by standards such as OGSA and the analogy of grid computing to the power grid will become real. The ancestry of grids is rooted in high-performance computing (HPC) technologies, where resources are ganged together toward a single task to deliver the necessary power for intensive computing projects such as weather forecasting, oil exploration, nuclear reactor simulation, and so on. In addition to expensive HPC supercomputer centers, mostly government funded, an HPC grid emerged to link together these resources and increase utilization. In a concurrent development, grid technology was used to join not just supercomputers, but literally millions of workstations and personal computers (PCs) across the globe.

Part C 24.5

24.5 Summary and Emerging Challenges In essence, a virtual service-oriented environment encourages the independent and automated scheduling of data resources from the applications that use the data and from the compute engines that run the applications. SOA decouples data from applications and provides the potential for automated mechanisms for aligning IT with business through business process management. Finally, grid technologies provide dynamic, on-the-fly resource management. Most challenges in the transition to a virtualized service-oriented grid environment will likely be of both technical and nontechnical origin, for instance implementing end-to-end trust management: even if it is possible to automatically assemble applications from simpler service components, how do we ensure that these components can be trusted? How do we also ensure that, even if the applications that these components support function correctly, that they will provide

satisfactory performance and that they will function reliably? A number of service components that can be used to assemble more complex applications are available from well-known providers: Microsoft Live, Amazon.com, Google, eBay, and PayPal. The authors expect that, as technology progresses, smaller players worldwide will enter the market, fulfilling every conceivable IT need. These resources may represent business logic building blocks, storage over the network, or even computing resources in the form of virtualized servers. The expected adoption of virtual service-oriented environments will increase the level of automation in the provisioning and delivery of IT services. Each of the constituent technologies brings a unique automation capability into the mix. Grid technology enables the automatic harnessing of geographically distributed, anonymous computing resources. Service orientation

Automation Under Service-Oriented Grids

enables on-the-fly, automatic integration of the distributed resources through the use of standards-based interfaces enabled by the use of web services and XML technology. Finally, virtualization enables carving out

24.6 Further Reading

415

a physical resource into a multiplicity of virtual resources, allowing the transparent (automatic) matching of the demand for a resource to the physical manifestation of the resource.

24.6 Further Reading • • •

• • •

• •

• • • • • • • • • • •

• • •

for Business Success (Tabor Communications, San Diego 2006) I. Foster, C. Kesselman: The Grid 2: Blueprint for a New Computing Infrastructure (Morgan Kaufmann, New York 2003) L. Grandinetti: Grid Computing: The New Frontier of High Performance Computing (Elsevier Science, Amsterdam 2005) B. Goldworm, A. Skamarock: Blade Servers and Virtualization: Transforming Enterprise Computing While Cutting Costs (Wiley, New York 2007) J. Joseph, C. Fellenstein: Grid Computing (IBM Press, Prentice Hall 2004) V. Moreno, K. Reddy: Network Virtualization (Cisco Press, Indianapolis 2006) A. Sharp, P. McDermott: Workflow Modeling: Tools for Process Improvement and Application Development (Artech House, London 2001) W. vand der Aalst, K. van Hee: Workflow Management: Models, Methods and Systems (MIT Press, Cambridge 2004) B. Woolf: Exploring IBM SOA Technology & Practice (Clear Horizon, 2008) T. G. Robertazzi: Networks and Grids: Technology and Theory (Springer, Berlin, Heidelberg 2007) F. Travostino, J. Mambretti, G. Karmous-Edwards: Grid Networks: Enabling Grids with Advanced Communication Technology (Wiley, New York 2006) G. Papakonstantinou, M. P. Bekakos, G. A. Gravvanis, H. R. Arabnia: Grid Technologies: Emerging Distributed Architectures to Virtual Organizations (Advances in Management Information) (WIT Press, 2006) A. Chakrabarti: Grid Computing Security (Springer, Berlin, Heidelberg 2007) T. Priol: Towards Next Generation Grids: Proceedings of the CoreGRID Symposium 2007 (Springer, Berlin, Heidelberg 2007) S. Gorlatch, P. Fragopoulou, T. Priol: Grid Computing: Achievements and Prospects (Springer, Berlin, Heidelberg 2008)

Part C 24.6



L. Camarinha-Matos, H. Afsarmanesh, M. Ollus: Methods and Tools for Collaborative Network Organizations (Springer, Berlin, Heidelberg 2008) E. Castro-Leon, J. He, M. Chang: Scaling down SOA to small businesses, IEEE Int. Conf. Serv.Oriented Comput. Applic. (SOCA), Newport Beach (2007) E. Castro-Leon, J. He, M. Chang, J. HahnSteichen, J. Hobbs, G. Yohanan: Service orchestration of Intel-based platforms under a serviceoriented infrastructure, Intel Technol. J. 10(04), 265–273 (2006), http://www.intel.com/technology/ itj/2006/v10i4/2-service/1-abstract.htm E. Castro-Leon: Using SOA to lower legacy costs and free up manpower, CIO Update (June 2006), http://www.cioupdate.com/trends/ article.php/3612206 E. Castro-Leon: Enterprise grid computing, seven part series, Enterprise Sys. J. (2006), http://www. esj.com/News/article.aspx?editorialsid=1616 E. Castro-Leon, K. King, M. Linesch, Y. Benvenisti, P. Lee: The missing link, a virtual roundtable interview on grid computing, Busin. Man. Mag. 156–162 (Nov–Dec 2005), http://www.busmanagement.com/ pastissue/article.asp?art=25245&issue=138 E. Castro-Leon: An introduction to web services, Ziff Davis Channel Zone (November 2003), http://channelzone.ziffdavis.com/article2/ 0,3973,1399287,00.asp T. Erl: Service Oriented Architecture, Concepts, Technology and Design (Prentice Hall, Englewood Cliffs 2005) R. Fogel, E. Castro-Leon, W. Fellows, S. Wallage, A. Mulholland, A. Sinha, R.B. Cohen, T. Gibbs, K. Vizzini, M. Linesch, W. Mougayar, E. Stokes, M.P. Haynos, D. Becker, R. Subramaniam, J. Pike, T. Abels, S. Brewer, R. Vrablik, H.J. Schwarz, N. Devireddy, M. Brunridge, S. Zhou, A. Shum, V. Livschitz, P. Chavez, R. Schecterle, Z. Mahmood, A. Fernandez, D. Kusnetzky, P. Peiravi, L. Schubert, B. Rangarajan, D. Stimson: The Emergence of Grid and Service Oriented IT: An Industry Vision

416

Part C

Automation Design: Theory, Elements, and Methods

References 24.1 24.2 24.3 24.4 24.5 24.6

A.M. Turing: Computing machinery and intelligence, Mind 59(236), 433–4600 (1950) The Internet Society: The Infinite Monkey Protocol Suite (IMPS), RFC 2795 (2000) The IBM CP-40 Project, http://en.wikipedia.org/wiki/IBM_CP-40 J. Fotheringham: Dynamic storage allocation in the atlas computer, Commun. ACM 4(10), 435–436 (1961) Burroughs Large Systems, http://en.wikipedia.org/ wiki/Burroughs_large_systems M. Rosenblum, E. Bugnion, S. Devine, S.A. Herrod: Using the SimOS machine simulator to study complex computer systems, ACM TOMACS, Special Issue on Computer Simulation (1997)

24.7

24.8

24.9 24.10

G. Neiger, A. Santoni, F. Leung, D. Rodgers, R. Uhlig: Intel virtualization technology: Hardware support for efficient processor virtualization, Intel Technol. J. 10(3), 167–177 (2006) E. Castro-Leon: Web services readiness, WebServices.org (February 2002), http://www.mywebservices.org/index.php/article/ articleview/113/1/61/ E. Castro-Leon: The web within the web, IEEE Spectrum 41(2), 42–46 (2004) E. Castro-Leon, J. Munter: Grid computing looking forward, Technology@Intel Mag. (May 2005), http://www.intel.com/technology/magazine/ computing/grid-computing-0605.htm

Part C 24

417

Human Facto 25. Human Factors in Automation Design

John D. Lee, Bobbie D. Seppelt

Designers frequently look toward automation as a way to increase system efficiency and safety by reducing human involvement. This approach often leads to disappointment because the role of people becomes more, not less, important as automation becomes more powerfull and prevalent. Developing automation without consideration of the human operator leads to new and more catastrophic failures. For automation to fulfill its promise, designers must avoid a technology-centered approach and adopt an approach that considers the joint operator–automation system. Automation-related problems arise because introducing automation changes the type and extent of feedback that operators receive, as well as the nature and structure of tasks. In addition, operators’ behavioral, cognitive, and emotional responses to these changes can leave the system vulnerable to failure. Automation is not a homogenous technology. There are many types of automation and each poses different design challenges. This chapter describes how different types of automation place different demands on operators. It also presents strategies that can help designers achieve the promise of automation. The chapter concludes with future challenges in automation design.

418 418 419

420 422 423 423 424 424 424 424 425 425 426 428 429 430 430 432

decision-support systems has been credited with saving millions of dollars in guiding policy and production decisions [25.3]. Automation promises greater efficiency, lower workload, and fewer human errors; however, these promises are not always fulfilled. A common fallacy is that automation can improve system performance by eliminating human variability and errors. This fallacy often leads to mishaps that surprise operators, managers, and designers. As an

Part C 25

Designers often view automation as the path toward greater efficiency and safety. In many cases, automation does deliver these benefits. In the case of the control of cargo ships and oil tankers, automation has made it possible to operate a vessel with as few as 8–12 crew members, compared with the 30–40 that were required 40 years ago [25.1]. In the case of aviation, automation has reduced flight times and increased fuel efficiency [25.2]. Similarly, automation in the form of

25.1 Automation Problems ........................... 25.1.1 Problems Due to Changes in Feedback .......... 25.1.2 Problems Due to Changes in Tasks and Task Structure............ 25.1.3 Problems Due to Operators’ Cognitive and Emotional Response to Changes .................................. 25.2 Characteristics of the System and the Automation ............................. 25.2.1 Automation as Information Processing Stages ... 25.2.2 Complexity and Observability......... 25.2.3 Time-Scale and Multitasking Demands............ 25.2.4 Agent Interdependencies .............. 25.2.5 Environment Interactions.............. 25.3 Application Examples and Approaches to Automation Design ........................... 25.3.1 Fitts’ List and Function Allocation... 25.3.2 Operator–Automation Simulation... 25.3.3 Enhanced Feedback and Representation Aiding ............ 25.3.4 Expectation Matching and Simplification........................ 25.4 Future Challenges in Automation Design ........................... 25.4.1 Swarm Automation....................... 25.4.2 Operator–Automation Networks ..... References ..................................................

418

Part C

Automation Design: Theory, Elements, and Methods

example, the cruise ship Royal Majesty ran aground because the global positioning system (GPS) signal was lost and the position estimation reverted to position extrapolation based on speed and heading (dead reckoning). For over 24 h the crew followed the compelling electronic chart display and did not notice that the GPS signal had been lost or that the position error had been accumulating. The crew failed to heed indications from boats in the area, lights on the shore, and even salient changes in water color that signal shoals. The surprise of the GPS failure was only discovered when the ship ran aground [25.4, 5]. As this example shows, automation does not guarantee improved efficiency and error-free performance. For automation to fulfill its promise, designers must focus not on the design of the automation, but on the design of the joint human–automation system. Automation often fails to provide expected benefits because it does not simply replace the human in performing a task, but also transforms the job and introduces a new set of tasks [25.6]. One way to view the automation failure that led to the grounding of the Royal Majesty is that it was simply a malfunction of an otherwise well-designed system – a problem with the technical implementation. Another view is that the grounding occurred because the interface design failed to support the new navigation task

and failed to counteract a general tendency for people to overrely on generally reliable automation – a problem with human–technology integration. Although it is often easiest to blame automation failures on technical problems or on human errors, many problems result from a failure to consider the challenges of designing not just automation, but a joint human–automation system. Automation fails because the role of the person performing the task is often underestimated, particularly the need for people to compensate for the unexpected. Although automation can handle typical cases it often lacks the flexibility of humans to handle unanticipated situations. Avoiding these failures requires a design process with a focus on the joint human–automation system. In most applications, neither the human nor the automation can accommodate all situations – each has limits. Successful automation design must empower the operator to compensate for the limits of the automation and help the operator capitalize on the capabilities of the automation. This chapter provides an overview of some of the problems frequently encountered with automation. It then describes how these problems relate to types of automation and what design strategies can help designers achieve the promise of automation. The chapter concludes with future challenges in automation design.

25.1 Automation Problems

Part C 25.1

Automation is often designed and implemented with a focus on the technical aspects of sensors, algorithms, and actuators. These are necessary but not sufficient design considerations to ensure that automation enhances system performance. Such a technologycentered approach often confronts the human operator with challenges that lead to system failures. Because automation often dramatically extends the influence of operators on the system (e.g., automation makes it possible for one person to do the work of ten), the consequences of these failures can be catastrophic. The factors underlying these failures are complex and interacting. Failures arise because introducing automation changes the type and extent of feedback that operators receive, as well as the nature and structure of tasks. In addition, operators’ behavioral, cognitive, and emotional response to these changes can leave the system vulnerable to failure. A technology-centered approach to au-

tomation design often ignores these challenges, and as a consequence, fails to realize the promise of automation.

25.1.1 Problems Due to Changes in Feedback Feedback is central to control. One reason why automation fails is that automation often dramatically changes the type and extend of the feedback the operator receives. In the context of driving a car, the driver keeps the car in the center of the lane by adjusting the steering wheel according to visual feedback regarding the position of the car on the road and haptic feedback from the forces on the steering wheel. Emerging vehicle technology may automate lane keeping. Such automation may leave the driver with the visual cues, but may remove the haptic cues. Diminished or eliminated feedback is a common occurrence with automation and it can leave

Human Factors in Automation Design

419

response, some pilots disengage the autopilot and fly the aircraft manually to maintain their skills [25.11]. Automation design requires the specification of sensor, algorithm, and actuator characteristics and their interactions. A technology-centered approach might stop there; however, automation that works effectively requires specification of the feedback to the operators. Without careful design, implementing automation can eliminate and change feedback in a way that can undermine the ability of automation to enhance system performance.

25.1.2 Problems Due to Changes in Tasks and Task Structure One reason for automation is that it can relieve operators of labor-intensive and error-prone tasks. Frequently, however, the situation becomes more complex in that automation does not simply relieve the operator of tasks, it changes the nature of tasks that must be performed. In most instances, this means that automation requires new skills of operators. Often automation eliminates simple physical tasks, and leaves complex cognitive tasks that appear easy. These complex, yet superficially easy, tasks often lead organizations to place less emphasis on training. On ships, training and certification unmatched to the demands of the automation have led to accidents because of the operators’ misunderstanding of new radar and collision avoidance systems [25.12]. For example, on the exam used by the US Coast Guard to certify radar operators, 75% of the items assess skills that have been automated and are not required by the new technology [25.13]. The new technology makes it possible to monitor a greater number of ships, thereby enhancing the need for interpretive skills such as understanding the rules of the road that govern maritime navigation and the automation. These are the very skills that are underrepresented on the Coast Guard exam. Though automation may relieve the operator of some tasks, it often leads to new and more complex tasks that require more, not less, training. Automation can also change the nature and structure of tasks so that easy tasks are made easier and hard tasks harder – a phenomenon referred to as clumsy automation [25.14]. As Bainbridge [25.15] notes, designers often leave the operator with the most difficult tasks because the designers found them difficult to automate. Because the easy tasks have been automated, the operator has less experience and an impoverished context for responding to the difficult tasks. In this situation, automation has the effect of both reducing

Part C 25.1

people less prepared to intervene if manual control is required [25.7, 8]. Automation can replace the feedback available in manual control with qualitatively different feedback. As an example, introducing automation into paper-making plants moved operators from the plant floor and placed them in control rooms. This move distanced them from the physical process and eliminated the informal feedback associated with vibrations, sounds, and smells that many operators relied upon [25.9]. At best, this change in cues requires operators to relearn how to control the plant. At worst, the instrumentation and associated displays may not have the information needed for operators to diagnose automation failures and intervene appropriately. Automation can also qualitatively shift the feedback from raw system data to processed, integrated information. Although such integrated data can be simple and easily understood, particularly during routine situations, it may also lack the detail necessary to detect and understand system failures. As an example, the bridge of the cruise ship Royal Majesty had an electronic chart that automatically integrated inertial and GPS navigation data to show operators their position relative to their intended path. This high-level representation of the ship’s position remained on the intended course even when the underlying GPS data were no longer used and the ship’s actual position drifted many miles off the intended route. In this case, the lack of low-level data and of any indication of the integrated data quality left operators without the feedback they needed to diagnose and respond to the failures of the automation. The diminished feedback that accompanies automation often has a direct influence on a mishap, as illustrated by the case of the Royal Majesty. However, diminished feedback can also act over a longer time period to undermine operators’ ability to perform tasks. In situations in which the automation takes on the tasks previously assigned to the operator, the operator’s skills may atrophy as they go unexercised [25.10]. Operators with substantial previous experience and well-developed mental models detect disturbances more rapidly than operators without this experience, but extended periods of monitoring automatic control may undermine skills and diminish operators’ ability to generate expectations of correct behavior [25.8]. Such deskilling leaves operators without the skills to accommodate the demands of the job if they need to detect failures and assume manual control. This is a particular concern in aviation, where pilots’ aircraft handling skills may degrade when they rely on the autopilot. In

25.1 Automation Problems

420

Part C

Automation Design: Theory, Elements, and Methods

Part C 25.1

workload during already low-workload periods and increasing it during high-workload periods; for example, a flight management system tends to make the lowworkload phases of flight (e.g., straight and level flight or a routine climb) easier, but high-workload phases (e.g., the maneuvers in preparation for landing) more difficult as pilots have to share their time between landing procedures, communication, and programming the flight management system. Such effects are seen not only in aviation but also in many other domains, such as the operating room [25.16, 17]. The effects of clumsy automation often occur at the level of individual operators and over the span of several minutes, but such effects can also occur across teams of operators over hours or days of operation. Such macrolevel clumsy automation is evident in maritime operations, where automation used for openocean sailing reduces the task requirements of the crew, prompting reductions in the crew size. In this situation, automation can have the consequence of making the easy part of the voyage (e.g., open-ocean sailing) easier and the hard part (e.g., port activities) harder [25.18]. Avoiding clumsy automation requires a broad consideration of how automation affects the task structure of operators. Because automation changes the task structure, new forms of human error often emerge. Ironically, managers and system designers introduce automation to eliminate human error, but new and more disastrous errors often result, in part because automation extends the scope of and reduces the redundancy of human actions. As a consequence, human errors may be more likely to go undetected and do more damage; for example, a flight-planning system for pilots can induce dramatically poor decisions because the automation assumes weather forecasts represent reality and lacks the flexibility to consider situations in which the actual weather might deviate from the forecast [25.19]. Automation-induced errors also occur because the task structure changes in a way that undermines collaboration between operators. Effective system performance involves performing both formal and informal tasks. Informal tasks enable operators to compensate for the limits of the formal task structure; for example, with paper charts mariners will check each others’ work, share uncertainties, and informally train each other as positions are plotted [25.20]. Eliminating these informal tasks can make it more difficult to detect and recover from errors, such as the one that led to the grounding of the Royal Majesty. Automation can also disrupt the cooperation between operators reflected in these infor-

mal tasks. Cooperation occurs when a person acts in a way that is in the best interests of the group even when it is contrary to his or her own best interests. Most complex, multiperson systems depend on cooperation. Automation can disrupt interactions between people and undermine the ability and willingness of one operator to compensate for another. Because automation also acts on behalf of people, it can undermine cooperation by giving one operator the impression that another operator is acting in a competitive manner, even though the automation’s behavior may be due to a malfunction [25.21]. Automation does not simply eliminate tasks once performed by the operator. It changes the task structure and creates new tasks that need to be supported, thereby opening the door to new types of error. Contrary to the expectations of a technology-centered approach to automation design, introducing automation makes it more rather than less important to consider the operators’ tasks and role.

25.1.3 Problems Due to Operators’ Cognitive and Emotional Response to Changes Automation sometimes causes problems because it changes operators’ feedback and tasks. Operators’ cognitive and emotional responses to these changes can amplify these problems; for example, as automation changes the operator’s task from direct control to monitoring, the operator may be more prone to direct attention away from the monitoring task, further diminishing the feedback the operator receives from the system. The tendency to trust and complacently rely on automation, particularly during multitask situations, may underlie this tendency to disengage from the monitoring task [25.22–24]. People are not passive recipients of the changes to the task structure that automation makes. Instead, people adapt to automation and this adaptation leads to a new task structure. One element of this adaptation is captured by the ideas of reliance and compliance [25.25]. Reliance refers to the degree to which operators depend on the automation to perform a function. Compliance refers to the degree to which automation changes the operators’ response to a situation. Inappropriate reliance and compliance are common automation problems that occur when people rely on or comply with automation in situations where it performs poorly, or when people fail to capitalize on its capabilities [25.26].

Human Factors in Automation Design

more willing to delegate tasks to the automation during periods of low workload, compared with periods of high workload [25.15]. This observation demonstrates that clumsy automation is not simply a problem of task structure, but one that depends on operator adaptation that is mediated by attitudes, such as trust. The automation-related problems associated with inappropriate trust often stem from operators’ shift from being a direct controller to a monitor of the automation. This shift also changes how operators receive feedback. Automation shifts people from direct involvement in the action–perception loop to supervisory control [25.47, 48]. Passive observation associated with supervisory control is qualitatively different than active monitoring associated with manual control [25.49, 50]. In manual control, perception directly supports control, and control actions guide perception [25.51]. Monitoring automation disconnects the operators’ actions from actions on the system. Such disconnects can undermine the operator’s mental model (i. e., their working knowledge of system dynamics, structure, and causal relationships between components), leaving the mental model inadequate to guide expectations and control [25.52, 53]. The shift from direct controller to supervisory controller can also have subtle but important effects on behavior as operators adapt to the automation. Over time automation can unexpectedly shift operators’ safety norms and behavior relative to safety boundaries. Behavioral adaptation describes this effect and refers to the tendency of operators to adapt to the new capabilities of the automation in which they change their behavior so that the potential safety benefits of the technology are not realized. Automation intended by designers to enhance safety may instead lead operators to reduce effort and leave safety unaffected or even diminished. Behavioral adaptation occurs at the individual [25.54–56], organizational [25.57], and societal levels [25.58]. Antilock brake systems (ABS) for cars demonstrate behavioral adaptation. ABS automatically modulates brake pressure to maintain maximum brake force without skidding. This automation makes it possible for drivers to maintain control in extreme crash avoidance maneuvers, which should enhance safety. However, ABS has not produced the expected safety benefits. One reason is that drivers of cars with ABS tend to drive less conservatively, adopting higher speeds and shorter following distances [25.59]. Vision enhancement systems provide another example of behavioral adaption. These systems make it possible for drivers to see more at

421

Part C 25.1

Maladaptive adaptation generally, and inappropriate reliance specifically, depends, in part, on operators’ attitudes, such as trust and self-confidence [25.27, 28]. In the context of operator reliance on automation, trust has been defined as an attitude that the automation will help achieve an operator’s goals in a situation characterized by uncertainty and vulnerability [25.29]. Several studies have shown that trust is a useful concept in describing human–automation interaction, both in naturalistic [25.9] and in laboratory settings [25.30–33]. These and other studies show that people tend to rely on automation they trust and to reject automation they do not trust [25.29]. As an example, the difference in operators’ trust in a route-planning aid and their selfconfidence in their own ability was highly predictive of reliance on the aid [25.34]. People respond socially to technology in a way that is similar to how they respond to other people [25.35]. Sheridan had a similar insight, and suggested that, just as trust mediates relationships between people, it may also mediate relationships between people and automation [25.36, 37]. Because trust often has a powerful effect on mediating relationships between people, trust might exert a similarly strong effect on mediating reliance and compliance with automation [25.38–42]. Inappropriate reliance often stems from a failure of trust to match the true capabilities of the automation. Calibration refers to the correspondence between a person’s trust in the automation and the automation’s capabilities [25.29]. Overtrust is poor calibration in which trust exceeds system capabilities; with distrust, trust falls short of automation capabilities. Trust often responds to automation as one might expect; it increases over time as automation performs well and declines when automation fails. Importantly, however, trust does not always follow the changes in automation performance. Often, it is poorly calibrated. Trust displays inertia and changes gradually over time rather than responding immediately to changes in automation performance. After a period of unreliable performance, trust is often slow to recover, remaining low even when the automation performs well [25.43]. More surprisingly, trust sometimes depends on surface features of the system that seem unrelated to its capabilities, such as the colors and layout of the interface [25.44–46]. Attitudes such as trust and the associated influence on reliance can exacerbate automation problems such as clumsy automation. As noted earlier, clumsy automation occurs when automation makes easy tasks easier and hard tasks harder. Inappropriate trust can make automation more clumsy because it leads operators to be

25.1 Automation Problems

422

Part C

Automation Design: Theory, Elements, and Methods

night – a potential safety enhancement; however, drivers tend to adapt to the vision systems by increasing their speed [25.60]. A related form of behavioral adaptation that undermines the benefits of automation is the phenomenon in which the presence of the automation causes a diffusion of responsibility and a tendency to exert less effort when the automation is available [25.61,62]. As a result, people tend to commit more omission errors (failing to detect events not detected by the automation) and more commission errors (incorrectly concurring with erroneous detection of events by the automation) when they work with automation. This effect parallels the adaptation of people when they work in groups; diffusion of responsibility leads people to perform more poorly when they are part of a group compared with individually [25.63]. The issues noted above have primarily addressed the direct performance problems associated with automation. Job satisfaction is another human–automation interaction issue that goes well beyond performance to consider the morale and moral implications of the worker whose job is being changed by automation [25.64]. Automation that is introduced merely because it increases the profit of the company may not necessarily be well received. Automation often has the effect of deskilling a job, making skills that operators worked for years to perfect suddenly obsolete. Properly implemented, automation should reskill workers and make it possible for them to leverage their old skills into new ones that are extended by the support of the automation. Many operators are highly skilled and proud of their craft; automation can either empower or demor-

alize them [25.9]. Demoralized operators may fail to capitalize on the potential of an automated system. The cognitive and emotional response of operators to automation can also compromise operators’ health. If automation creates an environment in which the demands of the work increase, but the decision latitude decreases, it may then lead to problems ranging from increased heart disease to increased incidents of depression [25.65]. However, if automation extends the capability of the operator and gives him or her greater decision latitude, job satisfaction and health can improve. As an example of improved satisfaction, nightshift operators who had greater decision latitude than day-shift operators leveraged their increased latitude to learn how to manage the automation more effectively [25.9]. Automation problems can be described independently, but they often reflect an interacting and dynamic process [25.66]. One problem can lead to another through positive feedback and vicious cycles. As an example, inadequate training may lead the operator to disengage from the monitoring task. This disengagement leads to poorly calibrated trust and overreliance, which in turn leads to skill loss and further disengagement. A similar dynamic exists between clumsy automation and automation-induced errors. Clumsy automation produces workload peaks, which increase the chance of mode and configuration errors. Recovering from these errors can further increase workload, and so on. Designing and implementing automation without regard for human capabilities and defining the human role as a byproduct is likely to initiate these negative dynamics.

25.2 Characteristics of the System and the Automation Part C 25.2

The likelihood and consequences of automation-related problems depend on the characteristics of the automation and the system being controlled. Automation is not a homogenous technology. Instead, there are many types of automation and each poses different design challenges. As an example, automation can highlight, alert, filter, interpret, decide, and act for the operator. It can assume different degrees of control and can operate over timescales that range from milliseconds to months. The type of automation and the operating environment interact with the human to produce the problems

just discussed. As an example, if only a single person manages the system then diminished cooperation and collaboration are not a concern. Some important system and automation characteristics include:

• • • • • •

Automation as information processing stages Automation authority and autonomy Complexity and observability Time-scale and multitasking demands Agent interdependencies Interaction with environment.

Human Factors in Automation Design

25.2.1 Automation as Information Processing Stages

423

vene. Billings [25.11] describes two levels of autonomy: management by consent, in which the automation acts only with the consent of the operator, and management by exception, in which automation initiates activities autonomously. As another example, automation can either highlight targets [25.68, 69], filter information, or provide alerts and warnings [25.70, 71]. Highlighting targets exemplifies a relatively low degree of autonomy because it preserves the underlying data and allows operators to guide their attention to the information they believe to be most critical. Filtering exemplifies a higher degree of autonomy because operators are forced to attend to the information the automation deems relevant. Alerts and warnings similarly exemplify a relatively high level of autonomy because they guide the operator’s attention to automation-dictated information and environmental states. High levels of authority and autonomy make automation appear to act as an independent agent, even if the designers had not intended operators to perceive it as such [25.76]. High levels of these two automation characteristics are an important cause of clumsy automation and mode error and can also undermine cooperation between people [25.77].

25.2.2 Complexity and Observability Complexity and observability refer to the degrees of freedom of the automation algorithms and how directly that complexity is revealed to the operator [25.74]. As automation becomes increasingly complex it can transition from what operators might consider a tool that they use to act on the environment to an agent that acts as a semiautonomous partner. According to the agent metaphor, the operator no longer acts directly on the environment, but acts through an intermediary agent [25.78] or intelligent associate [25.79]. As an agent, automation initiates actions that are not in direct response to operators’ commands. Automation that acts as an agent is typically very complex and may or may not be observable. One of the greatest challenges with automated agents is that of mutual intelligibility. Instructing the agent to perform even simple tasks can be onerous, and agents that try to infer operators’ intent and act autonomously can surprise operators who might lack accurate mental models of agent behavior. One approach is for the agents to learn and adapt to the characteristics of the operator through a process of remembering what they have been being told to do in similar situations [25.80]. After the agent completes a task it can be equally challenging to make the results observable and meaningful to the operator [25.78]. Be-

Part C 25.2

Defining automation in terms of information processing stages describes it according to the information processing functions of the person that it supports or replaces. Automation can sense the world, analyze information, identify appropriate responses to states of the world or control actuators to change those states [25.67]. Information acquisition automation refers to technology that replaces the process of human perception. Such automation highlights targets [25.68, 69], provides alerts and warnings [25.70, 71], organizes, prioritizes, and filters information. Information analysis automation refers to technology that supplants the interpretation of a situation. An example of this type of automation is a system that critiques a diagnosis generated by the operator [25.72]. Action selection automation refers to technology that combines information in order to make decisions on behalf of the operator. Unlike information acquisition and analysis, action selection automation suggests or decides on actions using assumptions about the state of the world and the costs and values of the possible options [25.73]. Action implementation automation supplants the operators’ activity in executing a response. The types of automation at each of these four stages of information process can differ according to degree of authority and autonomy. Automation authority and autonomy concern the degree to which the automation can influence the system [25.74]. Authority reflects the extent to which the automation amplifies the influence of operators’ actions and overrides the actions of other agents. One facet of authority concerns whether or not operators interact with automation by switching between manual and automatic control. With some automation, such as cruise control in cars, drivers simply engage or disengage the automation, whereas automation on the flight deck involves managing a complex network of modes that are appropriate for some situations and not for others. Interacting with such flight-deck automation requires the operator to coordinate multiple goals and strategies to select the mode of operation that fits the situation [25.75]. With such multilevel automation the idea of manual control may not be relevant, and so the issues of skill loss and other challenges with manual intervention may be of less concern. The problems with high-authority, multilevel automation are more likely to be those associated with mode confusion and configuration errors. Autonomy reflects the degree to which automation acts without operator knowledge or opportunity to inter-

25.2 Characteristics of the System and the Automation

424

Part C

Automation Design: Theory, Elements, and Methods

cause of these characteristics, agents are most useful for highly repetitive and simple activities, where the cost of failure is limited. In high-risk situations, constructing effective management strategies and providing feedback to clarify agent intent and communicate behavior becomes critical [25.75, 81]. The challenges associated with agents reflect a general tradeoff with automation design: more complex automation is often more capable, but less understandable. As a consequence, even though more complex automation may appear superior, the performance of resulting human–automation system may be inferior to that of a simpler, less capable version of the automation.

25.2.3 Time-Scale and Multitasking Demands This distinction concerns the tempo of the interactions with the automation. The timescale of automation varies dramatically, from decision-support systems that guide corporate strategies over months and years to antilock brake systems that modulate brake pressure over milliseconds. These distinctions can be described in terms of strategic, tactical, and operational automation. Strategic automation concerns balancing values and costs, as well as defining goals; tactical automation, on the other hand, involves setting priorities and coordinating tasks. In contrast, operational automation concerns the moment-to-moment perception of system state and adjustment. With operational automation, operators can experience substantial time pressure as the tempo of activity, on the order of milliseconds to seconds, exceeds their capacity to monitor the automation and still respond in a timely manner to its limits [25.82, 83].

25.2.4 Agent Interdependencies

Part C 25.3

Agent interdependencies describe how tightly coupled the work of one operator or element of automation

is with another [25.6, 57]. In some situations, automation might directly support work of a team of people and in other situations automation might support the activity of a person that has little interaction with others. An important source of automation-related problems is the assumption that automation affects only one person or one set of tasks, causing important interactions with other operators to be neglected. Often seemingly independent tasks may actually be coupled, and automation has a tendency to tighten this coupling. As an example, on the surface, adaptive cruise control affects only the individual driver who is using the system. Because adaptive cruise control responds to the behavior of the vehicle ahead, however, its behavior cannot be considered without taking into account the surrounding traffic dynamics. Failing to consider these interactions of intervehicle velocity changes can lead to oscillations and instabilities in the traffic speed, potentially compromising driver safety [25.84, 85]. Similar failures occur in supply chains, as well as in petrochemical processes where people and automation sometimes fail to coordinate their activities [25.86]. Designing for such situations requires a change in perspective from one centered on a single operator and a single element of automation to one that considers multi-operator–multi-automation interactions [25.87, 88].

25.2.5 Environment Interactions Interaction with the environment refers to the degree to which the automation system is isolated from or interactive with the surrounding environment. The environmental context can affect the reliability and behavior of the automation, the operator’s perception of the automation, and thus the overall effectiveness of the human–automation partnership [25.89–92]. An explicit environmental representation is necessary to understand the joint human–automation performance [25.89].

25.3 Application Examples and Approaches to Automation Design The previous section described some important characteristics of automation and systems that contribute to automation-related problems. These distinctions help identify design approaches to minimize these problems. This section describes specific strategies for designing effective automation, which include:

• • • •

Function allocation with Fitts’ list Operator–automation simulation and analysis Representation aiding and enhanced feedback Expectation matching and automation simplification.

Human Factors in Automation Design

25.3.1 Fitts’ List and Function Allocation

425

important to leave the big picture to the human and the details to the automation [25.64].

25.3.2 Operator–Automation Simulation Operator–automation simulation refers to computerbased techniques that explore the space of operator– automation interaction to identify potential problems. Discrete event simulation tools commonly used to evaluate manufacturing processes are well-suited to operator–automation analysis. Such techniques provide a rough estimate of some of the consequences of introducing automation into complex dynamic systems. As an example, simulation of a supervisory control situation made it possible to assess how characteristics of the automation interacted with the operating environment to govern system performance [25.99]. This analysis showed that the time taken to engage the automation interacted with the dynamics of the environment to undermine the value of the automation such that manual control was more appropriate than engaging the automation. Although discrete event simulation tools can incorporate cognitive mechanisms and performance constraints, developing this capacity requires substantial effort. For automation analysis that requires a detailed cognitive representation, cognitive architectures, such as adaptive control of thought-rational (ACT-R), offer a promising approach [25.100]. ACT-R is a useful tool for approximating the costs and benefits of various automation alternatives when a simple discrete event simulation does not provide a sufficiently detailed representation of the operator [25.101]. Simulation tools can be used to explore the potential behavior of the joint human–automation system, but may not be the most efficient way of identifying potential human–automation mismatches associated with inadequate mental models and automation-related errors. Network analysis techniques offer an alternative. Statetransition networks can describe operator–automation behavior in terms of a finite number of states, transitions between those states, and actions. Figure 25.1 provides an example presentation, defining at a high level the behavior of adaptive cruise control (ACC). This formal modeling language makes it possible to identify automation problems that occur when the interface or the operator’s mental model is inadequate to manage the automation [25.102]. Figure 25.2 shows how combining the concurrent processes of the ACC model with its internal states and transitions with the associated driver model of the ACC’s behavior reveals

Part C 25.3

Function allocation with the Fitt’s list is a long-standing technique for identifying the role of operators and automation. This approach assesses each function and whether a person or automation might be best suited to performing it [25.93, 94]. Functions better performed by automation are automated and the operator remains responsible for the rest, and for compensating for the limits of the automation. The relative capability of the automation and human depend on the stage of automation [25.95]. Applying a Fitts’ list to determine an appropriate allocation of function has, however, substantial weaknesses. One weakness is that any description of functions is a somewhat arbitrary decomposition of activities that can mask complex interdependencies. As a consequence, automating functions as if they were independent has the tendency to fractionate the operator’s role, leaving the operator with an incoherent collection of functions that were too difficult to automate [25.15]. Another weakness is that this approach neglects the tendency for operators to use automation in unanticipated ways because automation often makes new functions possible [25.96]. Another challenge with this general approach is that it often carries the implicit assumption that automation can substitute for functions previously performed by operators and that operators do not need to be supported in performing functions allocated to the automation [25.97]. This substitution-based function allocation fails to consider the qualitative change automation can bring to the operators’ work, and the adaptive nature of the operator. As a consequence of these challenges, the Fitts’ list provides only general guidance for automation design and has been widely recognized as problematic [25.73, 95, 97]. Ideally, the function allocation process should not focus on what functions should be allocated to the automation or to the human, but should identify how the human and the automation can complement each other in jointly satisfying the functions required for system success [25.98]. Although imperfect, the Fitts’ list approach has some general considerations that can improve design. People tend to be effective in perceiving patterns and relationships amongst data and less so with tasks requiring precise repetition [25.64]. Human memory tends to organize large amounts of related information in a network of associations that can support effective judgments. People also adapt, improvise, and accommodate unexpected variability. For these reasons it is

25.3 Application Examples and Approaches to Automation Design

426

Part C

Automation Design: Theory, Elements, and Methods

ACC active

ACC speed control

Press Accel or Coast button

Press Time gap + or Time gap – button

Initialize brake or throttle actuators

ACC distance (following) control

Initialize throttle actuator Press Set speed or Resume button

Press Off button Depress brake pedal

ACC system fault detected

ACC off

Depress brake pedal

Press Off button

Press Set speed or Resume button

ACC standby

Press On button

ACC deceleration required > 0.2 g or Current ACC vehicle speed < 20 mph

Press Off button ACC system fault detected

Fig. 25.1 ACC states and transitions. Dashed lines represent driver-triggered transitions. Solid lines represent ACC-

triggered transitions

mismatches. These mismatches can cause automationrelated errors and surprises to occur. More specifically, when the automation model enters a particular state and the operator’s model does not include this state then the analysis predicts that the associated ambiguity will surprise operators and lead to errors [25.103]. Such ambiguities have been discovered in actual aircraft autopilot systems, and network analysis can identify how to avoid them with improvements to the interface and training materials [25.103].

25.3.3 Enhanced Feedback and Representation Aiding Part C 25.3

Enhanced feedback and representation aiding can help prevent problems associated with inadequate feedback that range from developing appropriate trust and clumsy automation to the out-of-the-loop phenomenon. Automation typically lacks adequate feedback [25.104]. Providing sufficient feedback without overwhelming the operator is a critical design challenge. Poorly presented or excessive feedback can increase operator workload and undermine the benefits of the automation [25.105]. A promising approach to avoid overloading the operator is to provide feedback through sensory channels

that are not otherwise used (e.g., haptic, tactile, and auditory) to prevent overload of the more commonly used visual channel. Haptic feedback (i. e., vibration on the wrist) has proven more effective in alerting pilots to mode changes of cockpit automation than visual cues [25.106]. Pilots receiving visual alerts only detected 83% of the mode changes, but those with haptic warnings detected 100% of the changes. Importantly, the haptic warnings did not interfere with performance of concurrent visual tasks. Even within the visual modality, presenting feedback in the periphery helped pilots detect uncommanded mode transitions and such feedback did not interfere with concurrent visual tasks any more than currently available automation feedback [25.107]. Similarly, Seppelt and Lee [25.108] combined a more complex array of variables in a peripheral visual display for ACC. Figure 25.3 shows how this display includes relevant variables for headway control (i. e., time headway, time-to-collision, and range rate) relative to the operating limits of the ACC. This display promoted faster failure detection and more appropriate engagement strategies compared with the standard ACC interface. Although promising, haptic, auditory and peripheral visual displays cannot convey the detail possible in visual displays, making it difficult to convey the complex relationships that some-

Human Factors in Automation Design

25.3 Application Examples and Approaches to Automation Design

427

Press Accel or Coast button Press Time gap + or Time gap – button

ACC active

ACC active ACC system fault detected

Press Off button

Depress brake pedal

ACC off

ACC off

ACC off

ACC active

ACC off

ACC standby

ACC deceleration required > 0.2 g or Current ACC vehicle speed < 25 mph

ACC active

Press Off button Press On button

Press Set speed or Resume button

ACC standby

ACC not active (standby)

ACC standby

Press Accel or Coast button Press Time gap + or Time gap – button

ACC active Press Off button; Depress brake pedal

ACC not active

Press Off button

Driver model of ACC Fig. 25.2 Composite of the driver and ACC models in which corresponding driver model states (black boxes) and ACC model states (white boxes) are combined into state pairs. Error states, or model mismatches, occur when a particular transition leads to discrepant states. Composite states ACC not active (standby)/ACC off, ACC off /ACC standby, and ACC active/ACC standby are error states. The driver is unaware of the shift of the ACC system into standby when deceleration and vehicle speed limits are reached, and of the ACC system disengaging when system faults are detected, as neither state change is clearly communicated to the driver. The state change that results from the driver depressing the brake pedal is similarly ambiguous

Part C 25.3

ACC off

Press On button

Press Set speed or Resume button

428

Part C

Automation Design: Theory, Elements, and Methods

Range rate

TTC –1

Limits of ACC (fixed location)

THW

Fig. 25.3 A peripheral display to help drivers understand

adaptive cruise control [25.108] (TTC – time-to-collision; THW – time headway

Part C 25.3

times govern automation behavior. An important design tradeoff emerges: provide sufficient detail regarding automation behavior, but avoid overloading and distracting the operator. Simply enhancing the feedback operators receive regarding the automation is sometimes insufficient. Without the proper context, abstraction, and integration, feedback may not be understandable. Representation aiding capitalizes on the power of visual perception to convey this complex information; for example, graphical representations for pilots can augment the traditional airspeed indicator with target airspeeds and acceleration indicators. Integrating this information into a traditional flight instrument allows pilots to assimilate automationrelated information with little additional effort [25.87]. Using a display that combines pitch, roll, altitude, airspeed, and heading can directly specify task-relevant information such as what is too low [25.109] as opposed to operators being required to infer such relationships from the set of variables. Integrating automation-related information with traditional displays and combining low-level data into meaningful information can help operators understand automation behavior. In the context of process control, Guerlain and colleagues [25.110] identified three specific strategies for visual representation of complex process control algorithms. First, create visual forms whose emergent features correspond to higher-order relationships. Emer-

gent features are salient symmetries or patterns that depend on the interaction of the individual data elements. A simple emergent feature is parallelism that can occur with a pair of lines. Higher-order relationships are combinations of the individual data elements that govern system behavior. The boiling point of water is a higher-order relationship that depends on temperature and pressure. Second, use appropriate visual features to represent the dimensional properties of the data; for example, magnitude is a dimensional property that should be displayed using position or size on a visual display, not color or texture, which are ambiguous cues as to an increase or decrease in amount. Third, place data in a meaningful context. The meaningful context for any variable depends on what comparisons need to be made. For automation, this includes the allowable ranges relative to the current control variable setting, and the output relative to its desired level. Similarly, Dekker and Woods [25.97] suggest event-based representations that highlight changes, historical representations that help operators project future states, and pattern-based representations that allow operators to synthesize complex relationships perceptually rather than through arduous mental transformations. Representation aiding helps operators trust automation appropriately. However, trust also depends on more subtle elements of the interface [25.29]. In many cases, trust and credibility depend on surface features of the interface that have no obvious link to the true capabilities of the automation [25.111, 112]. An online survey of over 1400 people found that for web sites, credibility depends heavily on real-world feel, which is defined by factors such as response speed, a physical address, and photos of the organization [25.113]. Similarly, a formal photograph of the author enhanced trustworthiness of a research article, whereas an informal photograph decreased trust [25.114]. These results show that trust tends to increase when information is displayed in a way that provides concrete details that are consistent and clearly organized.

25.3.4 Expectation Matching and Simplification Expectation matching and simplification help operators understand automation by using algorithms that are more comprehensible. One strategy is to simplify the automation by reducing the number of functions, modes, and contingencies [25.115]. Another is to match its algorithms to the operators’ mental model [25.116]. Automation designed to perform in a manner con-

Human Factors in Automation Design

easy for operators to override and recover from errors, to enable interaction features only when and if necessary, to explain what is being done and why, to interrupt operators only in emergency situations, and to provide information that is unique to the information known by the operator. Developing automation etiquette could promote appropriate trust, but also has the potential to lead to inappropriate trust if people infer inappropriate category memberships and develop distorted expectations regarding the capability of the automation. Even in simple interactions with technology, people often respond as they would to another person [25.35, 118]. If anticipated, this tendency could help operators develop appropriate expectations regarding the behavior of the automation; however, unanticipated anthropomorphism could lead to surprising misunderstandings of the automation. An important prerequisite for designing automation according to the mental model of the operator is the existence of a consistent mental model. Individual differences may lead to many different mental models and expectations. This is particularly true for automation that acts as an agent, in which a mental-model-based design must conform to complex social and cultural expectations. In addition, the mental model must be consistent with the physical constraints of the system if the automation is to work properly [25.119]. Mental models often contain misconceptions, and transferring these to the automation could lead to serious misunderstandings and automation failures. Even if an operator’s mental model is consistent with the system constraints, automation based on such a mental model may not achieve the same benefits as automation based on more sophisticated algorithms. In this case, designers must consider the tradeoff between the benefits of a complex control algorithm and the costs of an operator not understanding that algorithm. Enhanced feedback and representation aiding can mitigate this tradeoff.

25.4 Future Challenges in Automation Design The previous section outlined strategies that can make the operator–automation partnership more effective. As illustrated by the challenges in applying the Fitts’ list, the application of these strategies, either individually or collectively, does not guarantee effective automation. In fact, the rapid advances in software and hardware development, combined with an ever expanding range

of applications, make future problems with automation likely. The following sections highlight some of these emerging challenges. The first concerns the demands of managing swarm automation, in which many semiautonomous agents work together. The second concerns large, interconnected networks of people and automation, in which issues of cooperation and competition

429

Part C 25.4

sistent with operators’ mental model, preferences, and expectations can make it easier for operators to recognize failures and intervene. Expectation matching and simplification are particularly effective when a technology-centered approach has created an overly complex array of modes and features. ACC is a specific example of where matching the mental model of an operator to the automation’s algorithms may be quite effective. Because ACC can only apply moderate levels of braking, drivers must intervene if the car ahead brakes heavily. If drivers must intervene, they must quickly enter the control loop because fractions of a second can make the difference in avoiding a collision. If the automation behaves in a manner consistent with drivers’ expectations, drivers will be more likely to detect and respond to the operational limits of the automation quickly [25.116]. Goodrich and Boer [25.116] designed an ACC algorithm consistent with drivers’ mental models such that ACC behavior was partitioned according to perceptually relevant variables of inverse time-to-collision and time headway. Inverse time-to-collision is the relative velocity divided by the distance between the vehicles. Time headway is the distance between the vehicles divided by the velocity of the driver’s vehicle. Using these variables it is possible to identify a perceptually salient boundary that separates routine speed regulation and headway maintenance from active braking associated with collision avoidance. For situations in which the metaphor for automation is an agent, the mental model people may adopt to understand the automation is that of a human collaborator. Specifically, Miller [25.117] suggests that computer etiquette may have an important influence on human– automation interaction. Etiquette may influence trust because category membership associated with adherence to a particular etiquette helps people to infer how the automation will perform. Some examples of automation etiquette are for the automation to make it

25.4 Future Challenges in Automation Design

430

Part C

Automation Design: Theory, Elements, and Methods

become critical. These examples represent some emerging challenges facing automation design.

25.4.1 Swarm Automation

Part C 25.4

Swarm automation consists of many simple, semiautonomous entities whose emergent behavior provides a robust response to environmental variability. Swarm automation has important applications in a wide range of domains, including planetary exploration, unmanned aerial vehicle reconnaissance, land-mine neutralization, and intelligence gathering; in short, it is applicable in any situation in which hundreds of simple agents might be more effective than a single, complex agent. Biologyinspired robotics provides a specific example of swarm automation. Instead of the traditional approach of relying on one or two larger robots, they employ swarms of insect robots [25.120, 121]. The swarm robot concept assumes that small robots with simple behaviors can perform important functions more reliably and with lower power and mass requirements than can larger robots [25.122–124]. Typically, the simple algorithms controlling the individual entity can elicit desirable emergent behaviors in the swarm [25.125, 126]. As an example, the collective foraging behavior of honeybees shows that agents can act as a coordinated group to locate and exploit resources without a complex central controller. In addition to physical examples of swarm automation, swarm automation has potential in searching large complex data sets for useful information. Current approaches to searching such data sources are limited. People miss important documents, disregard data that is a significant departure from initial assumptions, misinterpret data that conflicts with an emerging understanding, and disregard more recent data that could revise interpretation [25.127]. The parameters that govern discovery and exploitation of food sources for ants might also apply to the control of software agents in their discovery and exploitation of information. Just as swarm automation might help explore physical spaces, it might also help explore information spaces [25.128]. The concept of hortatory control describes some of the challenges of controlling swarm automation. Hortatory control describes situations where the system being controlled retains a high degree of autonomy and operators must exert indirect rather than direct control [25.129]. Interacting with swarm automation requires people to consider swarm dynamics and not just the behavior of the individual agents. In these situations, it is most useful for the operator to control parameters affecting group rather than individual agents and to

receive feedback about group rather than individual behavior. Parameters for control might include the degree to which each agent tends to follow successful agents (positive feedback), the degree to which they follow the emergent structure of their own behavior (stigmergy), and the amount of random variation that guides their paths [25.130]. In exploration, a greater amount of random variation will lead to a more complete search, and a greater tendency to follow successful agents will speed search and exploitation [25.131]. Swarm automation has great potential to extend human capabilities, but only if a thorough empirical and analytic investigation identifies the display requirements, viable control mechanisms, and the range of swarm dynamics that can be comprehended and controlled by humans [25.132].

25.4.2 Operator–Automation Networks Complex operator–automation networks emerge as automation becomes more pervasive. In this situation, the appropriate unit of analysis shifts from a single operator interacting with a single element of automation to that of multiple operators interacting with multiple elements of automation. Important dynamics can only be explained with this more complex unit of analysis. The factors affecting microlevel behavior may have unexpected effects on macrolevel behavior [25.133]. As the degree of coupling increases, poor coordination between operators and inappropriate reliance on automation has greater consequences for system performance [25.6]. Supply chains represent an increasingly important example of multi-operator–multi-automation systems. A supply chain is composed of a network of suppliers, transporters, and purchasers who work together, usually as a decentralized virtual company, to convert raw materials into products. The growing popularity of supply chains reflects the general trend of companies to move away from vertical integration, where a single company converts raw materials into products. Increasingly, manufacturers rely on supply chains [25.134] and attempt to manage them with automation [25.86]. Supply chains suffer from serious problems that erode their promised benefits. One is the bullwhip effect, in which small variations in end-item demand induces large-order oscillations, excess inventory, and back-orders [25.135]. The bullwhip effect can undermine a company’s efficiency and value. Automation that forecasts demands can moderate these oscillations [25.136, 137]. However, people must trust and rely on that automation, and substantial cooperation be-

Human Factors in Automation Design

Relative percentage of improvement (%) 200

431

Capability 1 0 Automation capability Manual capability Sharing performance Sharing reliance Sharing both

150

100

50

0 1

-50

0

5

10

2

15

3

20

4

25

1

30

2

35

3

40

4

45

50 Trials

Fig. 25.4 The effect of sharing information regarding the perfor-

mance of the automation and reliance on the automation [25.21]

may also apply to other domains; for example, powergrid management involves a decentralized network that makes it possible to efficiently supply the USA with power, but it can fail catastrophically when cooperation and information-sharing breaks down [25.142]. Similarly, datalink-enabled air-traffic control makes it possible for pilots to negotiate flight paths efficiently, but it can fail when pilots do not cooperate or have trouble anticipating the complex dynamics of the system [25.143,144]. Overall, technology is creating many highly interconnected networks that have great potential, but also raise important concerns. Resolving these concerns partially depends on designing effective multioperator–multi-automation interactions. Swarm automation and complex operator–automation networks pose challenges beyond those of traditional systems and require new design strategies. The automation design strategies described earlier, such as function allocation, operator–automation simulation, representation aiding, and expectation matching are somewhat limited in addressing the new challenges of swarm automation and complex operator–automation networks. A particular challenge in automation design is developing analytic tools, interface designs, and interaction concepts that consider issues of cooperation and coordination in operator–automation interactions. For further discussion on the automation interactions and interface design refer to Chap. 34.

Part C 25.4

tween supply-chain members must exist to share such information. Vicious cycles also undermine supply-chain performance, through an escalating series of conflicts between members [25.138]. Vicious cycles can have dramatic negative consequences for supply chains; for example, a strategic alliance between Office Max and Ryder International Logistics devolved into a legal fight in which Office Max sued Ryder for US $21.4 million and then Ryder sued Office Max for US $75 million [25.139]. Beyond the legal costs, these breakdowns threaten competitiveness and undermine the market value of the companies involved [25.134]. Vicious cycles also undermine information sharing, which can exacerbate the bullwhip effect. Even with the substantial benefits of cooperation, supply chains frequently fall into a vicious cycle of diminishing cooperation. Inappropriate use of automation can contribute to both vicious cycles and the bullwhip effect, but has received little attention. A recent study used a simulation model to examine how reliance on automation influences cooperation and how sharing two types of automation-related information influences cooperation between operators in the context of a two-manufacturer one-retailer supply chain [25.21]. This study used a decision field-theoretic model of the human operator [25.140, 141] to assess the effects of automation failures on cooperation and the benefit of sharing automation-related information in promoting cooperation. Sharing information regarding automation performance improved operators’ reliance on automation, and the more appropriate reliance promoted cooperation by avoiding unintended competitive behaviors caused by inappropriate use of automation. Sharing information regarding the reliance on automation increased willingness to cooperate even when the other occasionally engaged in competitive behavior. Sharing information regarding the operators’ reliance on automation led to a more charitable interpretation of the other’s intent and therefore increased trust in the other operator. The consequence of enhanced trust is an increased chance of cooperation. Figure 25.4 shows that these two types of information sharing influence cooperation and result in an additive improvement in cooperation. This preliminary simulation study showed that cooperation depends on the appropriate use of automation and that sharing automation-related information can have a profound effect on cooperation, a result that merits verification with experiments with human subjects. The interaction between automation, cooperation, and performance seen with supply-chain management

25.4 Future Challenges in Automation Design

432

Part C

Automation Design: Theory, Elements, and Methods

References 25.1

25.2

25.3

25.4

25.5

25.6

25.7

25.8

25.9

25.10

25.11

25.12

Part C 25

25.13

25.14

25.15

M.R. Grabowski, H. Hendrick: How low can we go?: Validation and verification of a decision support system for safe shipboard manning, IEEE Trans. Eng. Manag. 40(1), 41–53 (1993) D.C. Nagel: Human error in aviation operations. In: Human Factors in Aviation, ed. by E. Weiner, D. Nagel (Academic, New York 1988) pp. 263–303 D.T. Singh, P.P. Singh: Aiding DSS users in the use of complex OR models, Ann. Oper. Res. 72, 5–27 (1997) NTSB: Marine accident report – Grounding of the Panamanian Passenger Ship ROYAL MAJESTY on Rose and Crown Shoal Near Nantucket, Massachusetts June 10, 1995 (NTSB, Washington 1997) M.H. Lutzhoft, S.W.A. Dekker: On your watch: Automation on the bridge, J. Navig. 55(1), 83–96 (2002) D.D. Woods: Automation: Apparent simplicity, real complexity. In: Human Performance in Automated Systems: Current Research and Trends, ed. by M. Mouloua, R. Parasuraman (Lawrence Erlbaum, Hillsdale 1994) pp. 1–7 S. McFadden, A. Vimalachandran, E. Blackmore: Factors affecting performance on a target monitoring task employing an automatic tracker, Ergonomics 47(3), 257–280 (2003) C.D. Wickens, C. Kessel: Failure detection in dynamic systems. In: Human Detection and Diagnosis of System Failures, ed. by J. Rasmussen, W.B. Rouse (Plenum, New York 1981) pp. 155–169 S. Zuboff: In the Age of Smart Machines: The Future of Work Technology and Power (Basic Books, New York 1988) M.R. Endsley, E.O. Kiris: The out-of-the-loop performance problem and level of control in automation, Hum. Factors 37(2), 381–394 (1995) C.E. Billings: Aviation Automation: The Search for a Human-Centered Approach (Erlbaum, Mahwah 1997) NTSB: Marine accident report – Grounding of the US Tankship Exxon Valdez on Bligh Reef, Prince William Sound, near Valdez, Alaska, March 24, 1989 (NTSB, Washington 1990) J.D. Lee, T.F. Sanquist: Augmenting the operator function model with cognitive operations: Assessing the cognitive demands of technological innovation in ship navigation, IEEE Trans. Syst. Man Cybern.- Part A: Syst. Hum. 30(3), 273–285 (2000) E. L. Wiener: Human Factors of Advanced Technology (“Glass Cockpit”) Transport Aircraft, NASA Contractor Report 177528 (NASA Ames Research Center, 1989) L. Bainbridge: Ironies of automation, Automatica 19(6), 775–779 (1983)

25.16

25.17

25.18

25.19

25.20 25.21

25.22

25.23

25.24

25.25

25.26

25.27

25.28

25.29

R.I. Cook, D.D. Woods, E. McColligan, M.B. Howie: Cognitive consequences of ‘clumsy’ automation on high workload, high consequence human performance, SOAR 90, Space Oper. Appl. Res. Symp. (NASA Johnson Space Center 1990) D.D. Woods, L. Johannesen, S.S. Potter: Human Interaction with Intelligent Systems: Trends, Problems, new Directions (The Ohio State University, Columbus 1991) J.D. Lee, J. Morgan: Identifying clumsy automation at the macro level: development of a tool to estimate ship staffing requirements, Proc. Hum. Factors Ergon. Soc. 38th Annu. Meet., Vol. 2 (1994) pp. 878–882 P.J. Smith, E. McCoy, C. Layton: Brittleness in the design of cooperative problem-solving systems: the effects on user performance, IEEE Trans. Syst. Man Cybern. – Part A: Syst. Hum. 27(3), 360–371 (1997) E. Hutchins: Cognition in the Wild (MIT Press, Cambridge 1995) p. 381 J. Gao, J.D. Lee: A dynamic model of interaction between reliance on automation and cooperation in multi-operator multi-automation situations, Int. J. Ind. Ergon. 36(5), 512–526 (2006) R. Parasuraman, M. Mouloua, R. Molloy: Monitoring automation failures in human-machine systems. In: Human Performance in Automated Systems: Current Research and Trends, ed. by M. Mouloua, R. Parasuraman (Lawrence Erlbaum, Hillsdale 1994) pp. 45–49 R. Parasuraman, R. Molloy, I. Singh: Performance consequences of automation-induced “complacency”, Int. J. Aviat. Psychol. 3(1), 1–23 (1993) U. Metzger, R. Parasuraman: The role of the air traffic controller in future air traffic management: an empirical study of active control versus passive monitoring, Hum. Factors 43(4), 519–528 (2001) J. Meyer: Effects of warning validity and proximity on responses to warnings, Hum. Factors 43(4), 563–572 (2001) R. Parasuraman, V. Riley: Humans and automation: use, misuse, disuse, abuse, Hum. Factors 39(2), 230–253 (1997) M.T. Dzindolet, L.G. Pierce, H.P. Beck, L.A. Dawe, B.W. Anderson: Predicting misuse and disuse of combat identification systems, Mil. Psychol. 13(3), 147–164 (2001) J.D. Lee, N. Moray: Trust, self-confidence, and operators’ adaptation to automation, Int. J. Hum.Comput. Stud. 40, 153–184 (1994) J.D. Lee, K.A. See: Trust in technology: designing for appropriate reliance, Hum. Factors 46(1), 50–80 (2004)

Human Factors in Automation Design

25.30

25.31

25.32

25.33

25.34

25.35

25.36

25.37

25.38 25.39

25.40 25.41

25.42

25.43

25.45

25.46

25.47

25.48

25.49 25.50

25.51 25.52

25.53

25.54

25.55

25.56 25.57 25.58

25.59

25.60

25.61

25.62

25.63

25.64 25.65

T.B. Sheridan: Supervisory control. In: Handbook of Human Factors, ed. by G. Salvendy (Wiley, New York 1987) pp. 1243–1268 J.J. Gibson: Observations on active touch, Psychol. Rev. 69, 477–491 (1962) A.R. Ephrath, L.R. Young: Monitoring vs. man-inthe-loop detection of aircraft control failures. In: Human Detection and Diagnosis of System Failures, ed. by J. Rasmussen, W.B. Rouse (Plenum, New York 1981) pp. 143–154 J.M. Flach, R.J. Jagacinski: Control Theory for Humans (Lawrence Erlbaum, Mahwah 2002) L. Bainbridge: Mathematical equations of processing routines. In: Human Detection and Diagnosis of System Failures, ed. by J. Rasmussen, W.B. Rouse (Plenum, New York 1981) pp. 259– 286 N. Moray: Human factors in process control. In: The Handbook of Human Factors and Ergonomics, ed. by G. Salvendy (Wiley, New York 1997) G.J.S. Wilde: Risk homeostasis theory and traffic accidents: propositions, deductions and discussion of dissension in recent reactions, Ergonomics 31(4), 441–468 (1988) G.J.S. Wilde: Accident countermeasures and behavioral compensation: the position of risk homeostasis theory, J. Occup. Accid. 10(4), 267–292 (1989) L. Evans: Traffic Safety and the Driver (Van Nostrand Reinhold, New York 1991) C. Perrow: Normal Accidents (Basic Books, New York 1984) p. 386 E. Tenner: Why Things Bite Back: Technology and the Revenge of Unanticipated Consequences (Knopf, New York 1996) F. Sagberg, S. Fosser, I.A.F. Saetermo: An investigation of behavioural adaptation to airbags and antilock brakes among taxi drivers, Accid. Anal. Prev. 29(3), 293–302 (1997) N.A. Stanton, M. Pinto: Behavioural compensation by drivers of a simulator when using a vision enhancement system, Ergonomics 43(9), 1359–1370 (2000) K.L. Mosier, L.J. Skitka, S. Heers, M. Burdick: Automation bias: decision making and performance in high-tech cockpits, Int. J. Aviat. Psychol. 8(1), 47–63 (1998) L.J. Skitka, K. Mosier, M.D. Burdick: Accountability and automation bias, Int. J. Hum.-Comput. Stud. 52(4), 701–717 (2000) L.J. Skitka, K.L. Mosier, M. Burdick: Does automation bias decision-making?, Int. J. HumanComput. Stud. 51(5), 991–1006 (1999) T.B. Sheridan: Humans and Automation (Wiley, New York 2002) K.J. Vicente: Cognitive Work Analysis: Towards Safe, Productive, and Healthy Computer-based Work (Lawrence Erlbaum Associates, Mahwah 1999)

433

Part C 25

25.44

S. Halprin, E. Johnson, J. Thornburry: Cognitive reliability in manned systems, IEEE Trans. Reliab. R-22, 165–169 (1973) J. Lee, N. Moray: Trust, control strategies and allocation of function in human-machine systems, Ergonomics 35(10), 1243–1270 (1992) B.M. Muir, N. Moray: Trust in automation 2: experimental studies of trust and human intervention in a process control simulation, Ergonomics 39(3), 429–460 (1996) S. Lewandowsky, M. Mundy, G. Tan: The dynamics of trust: comparing humans to automation, J. Exp. Psychol.-Appl. 6(2), 104–123 (2000) P. de Vries, C. Midden, D. Bouwhuis: The effects of errors on system trust, self-confidence, and the allocation of control in route planning, Int. J. Hum.-Comput. Stud. 58(6), 719–735 (2003) B. Reeves, C. Nass: The Media Equation: How People Treat Computers, Television, and New Media Like Real People and Places (Cambridge University Press, New York 1996) T.B. Sheridan, R.T. Hennessy: Research and Modeling of Supervisory Control Behavior (National Academy Press, Washington 1984) T.B. Sheridan, W.R. Ferrell: Man-machine Systems: Information, Control, and Decision Models of Human Performance (MIT Press, Cambridge 1974) M. Deutsch: Trust and suspicion, J. Confl. Resolut. 2(4), 265–279 (1958) M. Deutsch: The effect of motivational orientation upon trust and suspicion, Hum. Relat. 13, 123–139 (1960) J.B. Rotter: A new scale for the measurement of interpersonal trust, J. Pers. 35(4), 651–665 (1967) J.K. Rempel, J.G. Holmes, M.P. Zanna: Trust in close relationships, J. Pers. Soc. Psychol. 49(1), 95–112 (1985) W. Ross, J. LaCroix: Multiple meanings of trust in negotiation theory and research: A literature review and integrative model, Int. J. Confl. Manag. 7(4), 314–360 (1996) S. Lewandowsky, M. Mundy, G.P.A. Tan: The dynamics of trust: comparing humans to automation, J. Exp. Psychol.-Appl. 6(2), 104–123 (2000) Y.D. Wang, H.H. Emurian: An overview of online trust: Concepts, elements, and implications, Comput. Hum. Behav. 21(1), 105–125 (2005) D. Gefen, E. Karahanna, D.W. Straub: Trust and TAM in online shopping: an integrated model, Manag. Inf. Syst. Q. 27(1), 51–90 (2003) J. Kim, J.Y. Moon: Designing towards emotional usability in customer interfaces – trustworthiness of cyber-banking system interfaces, Interact. Comput. 10(1), 1–29 (1998) T.B. Sheridan: Telerobotics, Automation, and Human Supervisory Control (MIT Press, Cambridge 1992)

References

434

Part C

Automation Design: Theory, Elements, and Methods

25.66

25.67

25.68

25.69

25.70

25.71

25.72

25.73

25.74

25.75

25.76

Part C 25

25.77

25.78 25.79

25.80

J.D. Lee: Human factors and ergonomics in automation design. In: Handbook of Human Factors and Ergonomics, ed. by G. Salvendy (Wiley, Hoboken 2006) pp. 1570–1596 J.D. Lee, T.F. Sanquist: Maritime automation. In: Automation and Human Performance, ed. by R. Parasuraman, M. Mouloua (Lawrence Erlbaum, Mahwah 1996) pp. 365–384 M.T. Dzindolet, L.G. Pierce, H.P. Beck, L.A. Dawe: The perceived utility of human and automated aids in a visual detection task, Hum. Factors 44(1), 79– 94 (2002) M. Yeh, C.D. Wickens: Display signaling in augmented reality: effects of cue reliability and image realism on attention allocation and trust calibration, Hum. Factors 43, 355–365 (2001) J.P. Bliss: Alarm reaction patterns by pilots as a function of reaction modality, Int. J. Aviat. Psychol. 7(1), 1–14 (1997) J.P. Bliss, S.A. Acton: Alarm mistrust in automobiles: how collision alarm reliability affects driving, Appl. Ergonom. 34, 499–509 (2003) S. Guerlain, P.J. Smith, J.H. Obradovich, S. Rudmann, P. Strohm, J.W. Smith, J. Svirbely: Dealing with brittleness in the design of expert systems for immunohematology, Immunohematology 12(3), 101–107 (1996) R. Parasuraman, T.B. Sheridan, C.D. Wickens: A model for types and levels of human interaction with automation, IEEE Trans. Syst. Man Cybern. -Part A: Syst. Hum. 30(3), 286–297 (2000) N.B. Sarter, D.D. Woods: Decomposing automation: autonomy, authority, observability and perceived animacy. In: Human Performance in Automated Systems: Current Research and Trends, ed. by M. Mouloua, R. Parasuraman (Lawrence Erlbaum, Hillsdale 1994) pp. 22–27 W.A. Olson, N.B. Sarter: Automation management strategies: pilot preferences and operational experiences, Int. J. Aviat. Psychol. 10(4), 327–341 (2000) N.B. Sarter, D.D. Woods: Team play with a powerful and independent agent: operational experiences and automation surprises on the Airbus A-320, Hum. Factors 39(4), 553–569 (1997) N.B. Sarter, D.D. Woods: Team play with a powerful and independent agent: a full-mission simulation study, Hum. Factors 42(3), 390–402 (2000) M. Lewis: Designing for human-agent interaction, Artif. Intell. Mag. 19(2), 67–78 (1998) P.M. Jones, J.L. Jacobs: Cooperative problem solving in human-machine systems: theory, models, and intelligent associate systems, IEEE Trans. Syst. Man Cybern. – Part C: Appl. Rev. 30(4), 397–407 (2000) S.R. Bocionek: Agent systems that negotiate and learn, Int. J. Hum.-Comput. Stud. 42(3), 265–288 (1995)

25.81

25.82

25.83 25.84

25.85

25.86

25.87

25.88

25.89

25.90 25.91

25.92

25.93

25.94

25.95

25.96

N.B. Sarter: The need for multisensory interfaces in support of effective attention allocation in highly dynamic event-driven domains: the. case of cockpit automation, Int. J. Aviat. Psychol. 10(3), 231–245 (2000) N. Moray, T. Inagaki, M. Itoh: Adaptive automation, trust, and self-confidence in fault management of time-critical tasks, J. Exp. Psychol.-Appl. 6(1), 44– 58 (2000) T. Inagaki: Automation and the cost of authority, Int. J. Ind. Ergon. 31(3), 169–174 (2003) C.Y. Liang, H. Peng: Optimal adaptive cruise control with guaranteed string stability, Veh. Syst. Dyn. 32(4-5), 313–330 (1999) C.Y. Liang, H. Peng: String stability analysis of adaptive cruise controlled vehicles, JSME Int. J. Ser. C: Mech. Syst. Mach. Elem. Manuf. 43(3), 671–677 (2000) J.D. Lee, J. Gao: Trust, automation, and cooperation in supply chains, Supply Chain Forum: Int. J. 6(2), 82–89 (2006) J. Hollan, E. Hutchins, D. Kirsh: Distributed cognition: Toward a new foundation for human– computer interaction research, ACM Trans. Comput.Hum. Interact. 7(2), 174–196 (2000) J. Gao, J.D. Lee: Information sharing, trust, and reliance – a dynamic model of multi-operator multi-automation interaction, Proc. 5th Conf. Hum. Perform. Situat. Aware. Autom. Technol., ed. by D.A. Vincenzi, M. Mouloua, P.A. Hancock (Lawrence Erlbaum, Mahwah 2004) pp. 34–39 A. Kirlik, R.A. Miller, R.J. Jagacinsky: Supervisory control in a dynamic and uncertain environment: a process model of skilled human-environment interaction, IEEE Trans. Syst. Man Cybern. 23(4), 929–952 (1993) J.M. Flach: The ecology of human-machine systems I: Introduction, Ecol. Psychol. 2(3), 191–205 (1990) K.J. Vicente, J. Rasmussen: The ecology of humanmachine systems II: Mediating “direct perception” in complex work domains, Ecol. Psychol. 2(3), 207– 249 (1990) J.D. Lee, K.A. See: Trust in technology: Design for appropriate reliance, Hum. Factors 46(1), 50–80 (2004) B.H. Kantowitz, R.D. Sorkin: Allocation of functions. In: Handbook of Human Factors, ed. by G. Salvendy (Wiley, New York 1987) pp. 355–369 J. Sharit: Perspectives on computer aiding in cognitive work domains: toward predictions of effectiveness and use, Ergonomics 46(1-3), 126–140 (2003) T.B. Sheridan: Function allocation: algorithm, alchemy or apostasy?, Int. J. Hum.-Comput. Stud. 52(2), 203–216 (2000) A. Dearden, M. Harrison, P. Wright: Allocation of function: scenarios, context and the economics of

Human Factors in Automation Design

25.97

25.98

25.99

25.100 25.101

25.102

25.103

25.104

25.105

25.106

25.107

25.108

25.110

25.111 25.112

25.113

25.114

25.115 25.116

25.117

25.118

25.119

25.120

25.121

25.122

25.123

25.124

25.125

25.126

25.127

showing unrepresentative design, Int. J. Hum.Comput. Stud. 49(5), 717–742 (1998) B. Fogg, J. Marshall, O. Laraki, A. Osipovich, N. Fang: What makes web sites credible? A report on a large quantitative study, Proc. Chi Conf. Hum. Fact. Comput. Syst. 2001 (ACM, Seattle 2001) B. Fogg, J. Marshall, T. Kameda, J. Solomon, A. Rangnekar, J. Boyd, B. Brown: Web credibility research: a method for online experiments and early study results, Chi Conf. Hum. Fact. Comput. Syst. (2001) pp. 293–294 V. Riley: A new language for pilot interfaces, Ergon. Des. 9(2), 21–27 (2001) M.A. Goodrich, E.R. Boer: Model-based humancentered task automation: a case study in ACC system design, IEEE Trans. Syst. Man Cybern. – Part A: Syst. Hum. 33(3), 325–336 (2003) C.A. Miller: Definitions and dimensions of etiquette. In: Etiquette for Human-Computer Work: Technical Report FS-02-02, ed. by C. Miller (American Association for Artificial Intelligence, Menlo Park 2002) pp. 1–7 C. Nass, K.N. Lee: Does computer-synthesized speech manifest personality? Experimental tests of recognition, similarity-attraction, and consistencyattraction, J. Exp. Psychol.-Appl. 7(3), 171–181 (2001) K.J. Vicente: Coherence- and correspondencedriven work domains: implications for systems design, Behav. Inf. Technol. 9, 493–502 (1990) R.A. Brooks, P. Maes, M.J. Mataric, G. More: Lunar base construction robots, Proc. 1990 Int. Workshop Intell. Robots Syst. (1990) pp. 389–392 P.J. Johnson, J.S. Bay: Distributed control of simulated autonomous mobile robot collectives in payload transportation, Auton. Robots 2(1), 43–63 (1995) R.A. Brooks, A.M. Flynn: A robot being. In: Robots and Biological Systems: Towards a New Bionics, ed. by P. Dario, G. Sansini, P. Aebischer (Springer, Berlin 1993) G. Beni, J. Wang: Swarm intelligence in cellular robotic systems. In: Robots and Biological Systems: Towards a New Bionics, ed. by P. Dario, G. Sansini, P. Aebischer (Springer, Berlin 1993) T. Fukuda, D. Funato, K. Sekiyama, F. Arai: Evaluation on flexibility of swarm intelligent system, Proc. 1998 IEEE Int. Conf. Robotics Autom. (1998) pp. 3210–3215 K. Sugihara, I. Suzuki: Distributed motion coordination of multiple mobile robots, 5th IEEE Int. Symp. Intell. Control (1990) pp. 138–143 T.W. Min, H.K. Yin: A decentralized approach for cooperative sweeping by multiple mobile robots, Proc. 1998 IEEE/RSJ Int. Conf. Intell. Robots Syst. (1998) E.S. Patterson: A simulation study of computersupported inferential analysis under data over-

435

Part C 25

25.109

effort, Int. J. Hum.-Comput. Stud. 52(2), 289–318 (2000) S.W.A. Dekker, D.D. Woods: MABA-MABA or abracadabra? Progress on human-automation coordination, Cogn. Technol. Work 4, 240–244 (2002) E. Hollnagel, A. Bye: Principles for modelling function allocation, Int. J. Hum.-Comput. Stud. 52(2), 253–265 (2000) A. Kirlik: Modeling strategic behavior in human– automation interaction: Why an “aid” can (and should) go unused, Hum. Factors 35(2), 221–242 (1993) J.R. Anderson, C. Libiere: Atomic Components of Thought (Lawrence Erlbaum, Hillsdale 1998) M. D. Byrne, A. Kirlik: Using computational cognitive modeling to diagnose possible sources of aviation error, Int. J. Aviat. Psychol. 12(2), 135–155 A. Degani, A. Kirlik: Modes in human–automation interaction: initial observations about a modeling approach, IEEE-Syst. Man Cybern. 4, 3443–3450 (1995) A. Degani, M. Heymann: Formal verification of human–automation interaction, Hum. Factors 44(1), 28–43 (2002) D.A. Norman: The ‘problem’ with automation: Inappropriate feedback and interaction, not ’overautomation’, Philos. Trans. R. Soc. Lond. Ser. B, Biol. Sci. 327(1241), 585–593 (1990) E.B. Entin, E.E. Entin, D. Serfaty: Optimizing aided target-recognition performance. In: Proc. Hum. Factors Ergon. Soc. (Human Factors and Ergonomics Society, Santa Monica 1996) pp. 233–237 A.E. Sklar, N.B. Sarter: Good vibrations: Tactile feedback in support of attention allocation and human-automation coordination in event-driven domains, Hum. Factors 41(4), 543–552 (1999) M.I. Nikolic, N.B. Sarter: Peripheral visual feedback: a powerful means of supporting effective attention allocation in event-driven, data-rich environments, Hum. Factors 43(1), 30–38 (2001) B.D. Seppelt: Making the limits of adaptive cruise control visible, Int. J. Hum.-Comput. Stud. 65, 192– 205 (2007) J.M. Flach: Ready, fire, aim: a “meaningprocessing” approach to display design. In: Attention and Performance XVII: Cognitive Regulation of Performance: Interaction of Theory and Application, ed. by D. Gopher, A. Koriat (MIT Press, Cambridge 1999) pp. 197–221 S.A. Guerlain, G.A. Jamieson, P. Bullemer, R. Blair: The MPC elucidator: a case study in the design for human–automation interaction, IEEE Trans. Syst. Man Cybern. Part A: Syst. Hum. 32(1), 25–40 (2002) S. Tseng, B.J. Fogg: Credibility and computing technology, Commun. ACM. 42(5), 39–44 (1999) P. Briggs, B. Burford, C. Dracup: Modeling selfconfidence in users of a computer-based system

References

436

Part C

Automation Design: Theory, Elements, and Methods

25.128 25.129

25.130

25.131

25.132

25.133 25.134

25.135

25.136

load, Proc. Hum. Factors Ergon. 43rd Annu. Meet., Vol. 1 (1999) pp. 363–368 P. Pirolli, S. Card: Information foraging, Psychol. Rev. 106(4), 643–675 (1999) J. Murray, Y. Liu: Hortatory operations in highway traffic management, IEEE Trans. Syst. Man Cybern. – Part A: Syst. Hum. 27(3), 340–350 (1997) T.R. Stickland, N.F. Britton, N.R. Franks: Complex trails and simple algorithms in ant foraging, 260(1357), 53–58 (1995) M. Resnick: Turtles, Termites, and Traffic Jams: Explorations in Massively Parallel Microworlds (MIT Press, Cambridge 1991) J.D. Lee: Emerging challenges in cognitive ergonomics: Managing swarms of self-organizing agent-based automation, Theor. Issues Ergon. Sci. 2(3), 238–250 (2001) T.C. Schelling: Micro Motives and Macro Behavior (Norton, New York 1978) J.H. Dyer, H. Singh: The relational view: cooperative strategy and sources of interorganizational competitive advantage, Acad. Manag. Rev. 23(4), 660–679 (1998) J.D. Sterman: Modeling managerial behavior: misperceptions of feedback in a dynamic decisionmaking experiment, Manag. Sci. 35(3), 321–339 (1989) X.D. Zhao, J.X. Xie: Forecasting errors and the value of information sharing in a supply chain, Int. J. Prod. Res. 40(2), 311–335 (2002)

25.137 H.L. Lee, S.J. Whang: Information sharing in a supply chain, Int. J. Technol. Manag. 20(3-4), 373–387 (2000) 25.138 H. Akkermans, K. van Helden: Vicious and virtuous cycles in ERP implementation: a case study of interrelations between critical success factors, Eur. J. Inf. Syst. 11(1), 35–46 (2002) 25.139 R.B. Handfield, C. Bechtel: The role of trust and relationship structure in improving supply chain responsiveness, Ind. Mark. Manag. 31(4), 367–382 (2002) 25.140 J. Gao, J.D. Lee: Extending the decision field theory to model operators’ reliance on automation in supervisory control situations, IEEE Syst. Man Cybern. 36(5), 943–959 (2006) 25.141 J.R. Busemeyer, J.T. Townsend: Decision field theory: A dynamic cognitive approach to decision making in an uncertain environment, Psychol. Rev. 100(3), 432–459 (1993) 25.142 T.S. Zhou, J.H. Lu, L.N. Chen, Z.J. Jing, Y. Tang: On the optimal solutions for power flow equations, Int. J. Electr. Power Energy Syst. 25(7), 533–541 (2003) 25.143 T. Mulkerin: Free flight is in the future – large-scale controller pilot data link communications emulation testbed, IEEE Aerosp. Electron. Syst. Mag. 18(9), 23–27 (2003) 25.144 W.A. Olson, N.B. Sarter: Management by consent in human-machine systems: when and why it breaks down, Hum. Factors 43(2), 255–266 (2001 )

Part C 25

437

Collaborative 26. Collaborative Human–Automation Decision Making

Mary L. Cummings, Sylvain Bruni

The development of a comprehensive collaborative human–computer decision-making model is needed that demonstrates not only what decisionmaking functions should or could be assigned to humans or computers, but how many functions can best be served in a mutually supportive environment in which the human and computer collaborate to arrive at a solution superior to that which either would have come to independently. To this end, we present the human–automation collaboration taxonomy (HACT), which builds on previous research by expanding the Parasuraman information processing model [26.1], specifically the decision-making component. Instead of defining a simple level of automation for decision making, we deconstruct the process to include three distinct roles: the moderator, generator, and decider. We propose five levels of collaboration (LOCs) for

26.2 The Human–Automation Collaboration Taxonomy (HACT) .................................. 439 26.2.1 Three Basic Roles.......................... 440 26.2.2 Characterizing Human Supervisory Control System Collaboration ......... 442 26.3 HACT Application and Guidelines ............ 442 26.4 Conclusion and Open Challenges ............ 445 References .................................................. 446

each of these roles, which form a three-tuple that can be analyzed to evaluate system collaboration, and possibly identify areas for design intervention. A resource allocation mission planning case study is presented using this framework to illustrate the benefit for system designers.

lem based on a shared conception of it [26.2, 3]. We define agents as either humans or some form of automation/computer that provides some level of interaction. For planning and resource allocation supervisory control tasks in complex systems, the problem spaces are large with significant uncertainty, so the use of automation is clearly warranted in attempting to solve a particular problem; for example, if bad weather prevents multiple aircraft from landing at an airport, air-traffic controllers need to know right away which alternate airports are within fuel range, and of these, which have the ability to service the different aircraft types, the predicted traffic volume, routing conflicts, etc. While automation could be used to provide optimized routing recommendations quickly, computergenerated solutions are unfortunately not always the best solutions. While fast and able to handle complex computation far better than humans, computer optimization algorithms are notoriously brittle in that they

Part C 26

In developing any complex supervisory control system that involves the integration of human decision making with automation, the question often arises as to where, how, and how much humans should be in the decisionmaking loop. Allocating roles and functions between the human and the computer is critical in defining efficient and effective system architectures. However, role allocation does not necessarily need to be mutually exclusive, and instead of systems that clearly define specific roles for either human or automation, it is possible that humans and computers can collaborate in a mutually supportive decision-making environment. This is especially true for aspects of supervisory control that include planning and resource allocation (e.g., how should multiple aircraft be routed to avoid bad weather, or how to allocate ambulances in a disaster), which is the focus of this chapter. For discussion purposes, we define collaboration as the mutual engagement of agents in a coordinated and synchronous effort to solve a prob-

26.1 Background ......................................... 438

438

Part C

Automation Design: Theory, Elements, and Methods

can only take into account those quantifiable variables identified in the design stages that were deemed to be critical [26.4]. In supervisory control systems with inherent uncertainties (weather impacts, enemy movement, etc.), it is not possible to include a priori every single variable that could impact the final solution. Moreover, it is not clear exactly what characterizes an optimal solution in uncertain such scenarios. Often, in these domains, the need to generate an optimal solution should be weighed against a satisficing [26.5] solution. Because constraints and variables are often dynamic in complex supervisory control environments, the definition of optimal is also a constantly changing concept. In those cases of time pressure, having a solution that is good enough, robust, and quickly reached is often preferable to one that requires complex computation and extended periods of times, which may not be accurate due to incorrect assumptions. Recognizing the need for automation to help navigate complex and large supervisory control problem spaces, it is equally important to recognize the critical role that humans play in these decision-making tasks. Optimization is a word typically associated with computers but humans are natural optimizers as well, although not necessarily in the same linear vein as computers. Because humans can reason inductively and

generate conceptual representations based on both abstract and factual information, they also have the ability to optimize based on qualitative and quantitative information [26.6]. In addition, allowing operators active participation in decision-making processes provides not only safety benefits, but promotes situation awareness and also allows a human operator, and thus a system, to respond more flexibly to uncertain and unexpected events. Thus, decision support systems that leverage the collaborative strength of humans and automation in supervisory control planning and resource allocation tasks could provide substantial benefits, both in terms of human and system performance, Unfortunately, little formal guidance exists to aid designers and engineers in the development of collaborative human–computer decision support systems. While many frameworks have been proposed that detail levels of human–automation role allocation, there has been no focus on what specifically constitutes collaboration in terms of role allocation and how this can be quantified to allow for specific system analysis as well as design guidance. Therefore, to better describe human-collaborative decision support systems in order to provide more detailed design guidance, we present the human–automation collaboration taxonomy (HACT) [26.7].

26.1 Background

Part C 26.1

There is little previous literature that attempts to classify, describe, or provide design guidance on human–automation (or computer) collaboration. Most previous efforts have generally focused on developing application-specific decision support tools that promote some open-ended form of human–computer interaction (e.g., [26.8–10]). In an attempt to categorize human–computer collaboration more formally, Silverman [26.11] proposed categories of human–computer interaction in terms of critiquing, although this is a relatively narrow field of human–computer collaboration. Terveen [26.12] attempted to seek some unified approach and more broadly define and categorize human–computer collaboration in terms of human emulation and human “complementary” [sic]. Beyond these broad definitions and categorizations of human–computer collaboration and narrow applications of specific algorithms and visualizations, there has been no underlying theory addressing how collaboration with an automated agent supports operator decision mak-

ing at the most fundamental information processing level. So while the literature on human–automation collaboration in decision making is sparse, the converse is true in terms of scales and taxonomies of automation levels that describe interactions between a human operator and a computer/automation. These levels of automation (LOAs) generally refer to the role allocation between automation and the human, particularly in the analysis and decision phases of a simplified information processing model of acquisition, analysis, decision, and action phases [26.1, 13, 14]. The originators of the concept of levels of automation, Sheridan and Verplank (SV), initially proposed that automation could range from a fully manual system with no computer intervention to a fully automated system where the human is kept completely out of the loop [26.15]. Parasuraman [26.1] expanded the original SV LOA to include ten levels (Table 26.1).

Collaborative Human–Automation Decision Making

26.2 The Human–Automation Collaboration Taxonomy (HACT)

439

Table 26.1 Levels of automation (after [26.1, 15])

Automation level

Automation description

1 2 3 4 5 6 7 8 9 10

The computer offers no assistance: human must take all decision and actions The computer offers a complete set of decision/action alternatives, or Narrows the selection down to a few, or Suggests one alternative, and Executes that suggestion if the human approves, or Allows the human a restricted time to veto before automatic execution, or Executes automatically, then necessarily informs humans, and Informs the human only if asked, or Informs the human only if it, the computer, decides to The computer decides everything and acts autonomously, ignoring the human

provide some indirect guidance as to how the solutiongeneration process can be allocated either to the human or computer, it is only tangentially inferred, and there is no level that allows for joint construction or modification of solutions. Other LOA taxonomies have addressed the need to examine authority and solution generation LOAs, although none have addressed them in an integrated fashion; for example, Endsley [26.16] incorporated artificial intelligence into a five-point LOA scale, thus addressing some aspects of solution generation and authority. Riley [26.17] investigated the use of the level of information attribute in addition to the automation authority attribute, creating a two-dimensional scale. Another ten-point scale was created by Endsley and Kaber [26.16] where each level corresponds to a specific task behavior of the automation, going from manual control to full automation, through intermediate levels such as blended decision making or supervisory control. While all of these scales acknowledge that there are possible collaborative processes between humans and automated agents, none specifically detail how this interaction can occur, and how different attributes of a collaborative system can each have a different LOA. To address this shortcoming in the literature, we developed the human–automation collaboration taxonomy (HACT), which is detailed in the next section.

26.2 The Human–Automation Collaboration Taxonomy (HACT) In order to better understand how human operators and automation collaborate, the four-stage information-

processing flow diagram of Parasuraman [26.1] (with stages: information acquisition, information analysis,

Part C 26.2

At the lower levels, LOAs 1–4, the human is actively involved in the decision-making process. At level 5, the automation takes on a more active role in executing decisions, while still requiring consent from the operator before doing so (known as management-byconsent). Level 6, typically referred to as managementby-exception, allows the automation a more active role in decisions, executing solutions unless vetoed by the human. For levels 7–10, humans are only allowed to accept or veto solutions presented to them. Thus, as levels increase, the human is increasingly removed from the decision-making loop, and the automation is increasingly allocated additional authority. This scale addresses primarily authority allocation, i. e., who is given the authority to make the final decision, although only to a much smaller and limited degree does it address the solution-generation aspect of decision making, which is a critical aspect of human–computer collaboration. The solution-generation process in supervisory control planning and resource allocation tasks is critical because this is the aspect of the human–computer interaction where the variables and constraints can be manipulated to determine solution alternatives. This access creates a sensitivity analysis trade space that allows human operators the ability to cope with uncertainty and apply judgment and experience that are unavailable to computer algorithms. While the LOAs in Table 26.1

440

Part C

Automation Design: Theory, Elements, and Methods

Data acqu.

Action

DMP

World

Sensors Data

Data analysis + request

Element of solution

Evaluation

Feasible solutions presented (1 to n)

Eval.

Selected solution (0 to 1)

Veto

Final solution (0 to 1)

Solution implementation

Data Sub-decisions

Fig. 26.1 The HACT collaborative information-processing model

Part C 26.2

decision selection, and action implementation) was modified to focus specifically on collaborative decision making. This new model, shown in Fig. 26.1, features three steps: data acquisition, decision making, and action taking. The data acquisition step is similar to that proposed by Parasuraman [26.1] in that sensors retrieve information from the outside world or environment, and transform it into working data. The collaborative aspect of this model occurs in the next stage, the decisionmaking process, which corresponds to the integration of the analysis and decision phases of the Parasuraman [26.1] model. First, the data from the acquisition step is analyzed, possibly in an iterative way where requests for more data can be sent to the sensors. The data analysis outputs some elements of a solution to the problem at hand. The evaluation block estimates the appropriateness of these elements of solutions for a potential final solution. This block may initiate a recursive loop with the data analysis block; for instance, operators may request more analysis of the domain space or part thereof. At this level, subdecisions are made to orient the search and analysis process. Once the evaluation step is validated, i. e., subdecisions are made, the results are assembled to constitute one or more feasible solutions to the problem. In order to generate feasible solutions, it is possible to loop back to the previous evaluation phase, or even to the data analysis step. At some point, one or more feasible solutions are presented in a second evaluation step. The operator or automation (depending on the level of automation) will then select one solution (or none) out of the pool of feasible solutions. After this selection procedure, a veto step is added, since it is possible for one or more of the collaborating agents to veto the solu-

tion selected (such as in management-by-exception). An agent may be a human operator or an automated computer system, also called automation. If the proposed solution is vetoed, the output of the veto step is empty, and the decision-making process starts again. If the selected solution is not vetoed, it is considered the final solution and is transferred to the action mechanism for implementation.

26.2.1 Three Basic Roles Given the decision-making process (DMP) shown in Fig. 26.1, three key roles have been identified: moderator, generator, and decider. In the context of collaborative human–computer decision making, these three roles are fulfilled either by the human operator, by automation, or by a combination of both. Figure 26.2 displays how these three basic roles fit into the HACT collaborative information-processing model. The generator and the decider roles are mutually exclusive in that the domain of competency of the generator (as outlined in Fig. 26.2) does not overlap with that of the decider. However, the moderator’s role subsumes the entire decision-making process. As will be discussed, each of the three roles has its own possible LOA scale. The Moderator The moderator is the agent(s) that keeps the decisionmaking process moving forward, and ensures that the various phases are executed; for instance, the moderator may initiate the decision-making process and interaction between the human and automation. The moderator may prompt or suggest that subdecisions need to be made, or evaluations need to be considered. It could also be involved keeping the decision processing within

Collaborative Human–Automation Decision Making

Data acqu.

Decision-making process

World

Generator

Sensors Data

Data

26.2 The Human–Automation Collaboration Taxonomy (HACT)

441

Action

Moderator Decider

Data analysis + request

Element of solution

Evaluation

Feasible solutions presented (1 to n)

Eval.

Selected solution (0 to 1)

Veto

Final solution (0 to 1)

Solution implementation

Sub-decisions

Fig. 26.2 The three collaborative decision-making process roles: moderator, generator, and decider

prespecified limits when time pressure is a concern. In relation to the ten-level SV LOA scale (Table 26.1), the step between LOA 4 and 5 implies this role, but does not address the fact that moderation can occur across multiple segments of the decision-making process and separate from the tasks of solution generation and selection. The Generator The generator is the agent(s) that generates feasible solutions from the data. Typically, the generator role involves searching, identifying, and creating solution(s) or parts thereof. Most of the previously discussed LOAs (e.g., [26.1,16]) address the role of a solution generator. However, instead of focusing on only the actual solution (e.g., automation generating one or many solutions), we expand in detail the notion of the generator to include other aspects of solution generation, i. e., all the other steps within the generator box (Fig. 26.2), such as the automation analyzing data, which makes the solution generation easier for the human operator. Additionally, the role allocation for generator may not be mutually exclusive but could be shared to varying degrees between Table 26.2 Moderator and generator levels

Who assumes the role of generator and/or moderator?

2 1 0 −1 −2

Human Mixed, but more human Equally shared Mixed, but more automation Automation

The Decider The third role within the HACT collaborative decisionmaking process is the decider. The decider is the agent(s) that makes the final decision, i. e., that selects the potentially final solution out of the set of feasible solutions presented by the generator, and who has veto power over this selection decision. Veto power is a nonnegotiable attribute: once an agent vetoes a decision, the other agent cannot supersede it. This veto power is also an important attribute in other LOA scales [26.1, 16], but we have added more resolution to the possible role allocations in keeping with our collaborative approach, listed in Table 26.3. As in Table 26.2, the most balanced

Part C 26.2

Level

the human operator and the automation; for example, in one system the human could define multiple constraints and the automation searches for a set of possible solutions bounded by these constraints. In another system, the automation could propose a set of possible solutions and then the human operator narrows down these solutions. For both the moderator and generator roles, the general LOAs can be seen in Table 26.2, which we recharacterize as LOCs (levels of collaboration). While the levels could be parsed into more specific levels, as seen in previously discussed LOAs, these five levels were chosen to reflect degrees of collaboration with the center scale reflecting balanced collaboration. At either end of the LOC scale (2 or −2), the system, in terms of moderation and generation, is not collaborative. The negative sign should not be interpreted as a critical reflection on the use of automation; it simply reflects scaling in the opposite direction. A system at LOC 0, however, is a balanced collaborative system for either the moderator and/or generator.

442

Part C

Automation Design: Theory, Elements, and Methods

Level 2 1 0 −1 −2

Table 26.3 Decider levels

Who assumes the role of decider? Human makes final decision, automation cannot veto Human or automation can make final decision, human can veto, automation cannot veto Human or automation can make final decision, human can veto, automation can veto Human or automation can make final decision, human cannot veto, automation can veto Automation makes final decision, human cannot veto

collaboration between the human and the automation is seen at the midpoint, with the greatest lack of collaboration at the extreme levels. The three roles, moderator, generator and decider, focus on the tasks or actions that are undertaken by the human operator, the automation, or the combination of both within the collaborative decision-making process.

26.2.2 Characterizing Human Supervisory Control System Collaboration Given the scales outlined above, decision support systems can be categorized by the collaboration across the three different roles (moderator, generator, and decider) in the form of a three-tuple, e.g., (2, 1, 2) or (−2, −2, 1). In the first example of (2, 1, 2), this system includes the human as both the moderator and the decider, as well as generating most of the solution, but leverages some automation for the solution generation. An example of such a system would be one where an operator needs to plan a mission route but must select not just the start and goal state, but all intermediate points in order to avoid all restricted zones and possible hazards. Automation is used to ensure fuel limits

are not exceeded and to alert the operator in the case of any area violations. This is in contrast to the highly automated (−2, −2, 1) example, which is the characterization of the Patriot missile system. This antimissile missile system notifies the operator that a target has been detected, allows the operator approximately 15 s to veto the automation’s solution, and then fires if the human does not intervene. Thus the automation moderates the flow, analyzes the solution space, presents a single solution, and then allows the human to veto this. Note that under the ten LOAs in Table 26.1, this system would be characterized at LOA 6, but the HACT three-tuple provides much more information. It demonstrates that the system is highly automated at the moderator and generator levels, while the human has more authority than the automation for the final decision. However, a low decider level does not guarantee a human-centered system in that the Patriot system has accidentally killed three North Atlantic Treaty Organization (NATO) airmen because operators were not able to determine in the 15 s window that the targets were actually friendly aircraft and not enemy missiles. This example illustrates that all three entries in the HACT taxonomy are important for understanding a system’s collaborative potential.

26.3 HACT Application and Guidelines Part C 26.3

In order to illustrate the application and utility of HACT, a case study is presented. Given the increased complexity, uncertainty, and time pressure of mission planning and resource allocation in command and control settings, increased automation is an obvious choice for system improvement. However just what level of automation/collaboration should be used in such an application is not so obvious. As previously mentioned,

too much automation can induce complacency and loss of situation awareness, and coupled with the inherent inability of automated algorithms to be perfectly correct in dynamic command and control settings, high levels of automation are not advisable. However, low levels of automation can cause unacceptable operator workload as well as suboptimal, very inefficient solutions. Thus the resource allocation aspect of mission planning

Collaborative Human–Automation Decision Making

26.3 HACT Application and Guidelines

443

Fig. 26.3 Interface 1

missile assignment task manually as in interface 1 (note in Fig. 26.4 that the top part of interface 2 is a replica of interface 1 shown in Fig. 26.3), or to leverage automation and collaborate with the computer to generate solutions. In the latter instance, termed Automatch, the human operator can steer the search of the automated solution in the domain space by selecting and prioritizing search criteria. Then, the automation’s fast computing capabilities perform a heuristic search based on the criteria defined by the human. The operator can either keep the solution output or modify it manually. The operator can also elect to modify the search criteria to get a new solution. Therefore, for interface 2, the moderator remains at level 2 because the human operator is still in full control of the process, including which tasks are completed, at what pace, and in which order. Because of the flexibility in obtaining a solution in that the human can define the search criteria, thus orienting the automation which does the bulk of the computation, the generator is labeled 0. The decider is at level 2 since only the human operator can validate a final solution, which the automation cannot veto. While interfaces 1 and 2 are both based on the use of raw data, interface 3 (Fig. 26.5) is completely graphical, and allows the operator to only have access to postsolution sensitivity analysis tools. For interface 2, the automated solution process is guided by the human, who also can conduct sensitivity analysis via an Au-

Part C 26.3

is well suited for some kind of collaborative human– computer environment. To investigate this issue, three interfaces were designed for a representative system, each with a different LOA/LOC detailed in the next section. The general objective of this resource allocation problem is for an operator to match a set of military missions with a set of available resources, in this case Tomahawk missiles aboard ship and submarine launch platforms. Interface 1 (Fig. 26.3) was designed to support manual matching of the missiles to the missions at a low level of collaboration. This interface provides raw data tables with all the characteristics of missions and missiles that must be matched, but only provides very limited automated support, such as basic data sorting, mission/missile assignment summaries by categories, and feedback on mission–missile incompatibility and current assignment status. Therefore, this interface mostly involves manual problem solving. As a result, interface 1 is assigned a level 2 moderator because the human operator fully controls the process. Because interface 1 only features basic automation support, the generator role is at level 1. The decider is at level 2 since only the human operator can validate a solution for further implementation, with no possible automation veto. Interface 2 (Fig. 26.4) was designed to offer the human operator the choice to either solve the mission–

444

Part C

Automation Design: Theory, Elements, and Methods

Fig. 26.4 Interface 2

tomatch function; the Automatch button at the top of interface 3 is similar to that in interface 2. However, the user can only select a limited subset of information

criteria by which to orient the algorithmic search, causing the operator to rely more on the automation than in interface 2. Thus the HACT three-tuple in this case

Part C 26.3 Fig. 26.5 Interface 3

Collaborative Human–Automation Decision Making

is (2, −1, 2) as neither the moderator nor decider roles changed from interface 2, although the generator’s did. The three interfaces were evaluated with 20 US Navy personnel who would use such a tool in an operational setting. While the full experimental details can be found elsewhere [26.18], in terms of overall performance, operators performed the best with interfaces 1 and 2, which were not statistically different from each other ( p = 0.119). Interface 3, the one with the predominantly automation-led collaboration, produced statistically worse performance compared with both interfaces 1 and 2 ( p = 0.011 and 0.031 respectively). Table 26.4 summarizes the HACT categorization for the three interfaces, along with their relative performance rankings. The results indicate that, because the moderator and decider roles were held constant, the degraded performance for those operators using interface 3 was a result of the differences in the generator aspect of the decision-making process. Furthermore, the decline in performance occurred when the LOC was weighted towards the automation. When the solution process was either human-led or of equal contribution, operators performed no differently. However, when the solution generation was automation led, operators struggled. While there are many other factors that likely affect these results (trust, visualization design, etc.), the HACT taxonomy is helpful in first deconstructing the automation components of the decision-making process. This allows for more specific analyses across different collaboration levels of humans and automation, which has not been articulated in other LOA scales. In addition, as demonstrated in the previous example, when comparing systems, such a categorization will also pinpoint which LOCs are helpful, or at the very least, not detrimental. In addition, while not explicitly illustrated here, the HACT taxonomy can also provides

26.4 Conclusion and Open Challenges

445

Table 26.4 Interface performance and HACT three-tuples;

M – moderator; G – generator; D – decider

Interface 1 Interface 2 Interface 3

HACT three-tuple (M, G, D)

Performance

(2, 1, 2) (2, 0, 2) (2, −1, 2)

Best Best Worst

designers with some guidance on system design, i. e., to improve performance for a system; for example, in interface 3, it may be better to increase the moderator LOC instead of lowering the generator LOC. In summary, application of HACT is meant to elucidate human–computer collaboration in terms of an information processing theoretic framework. By deconstructing either a single or competing decision support systems using the HACT framework, a designer can better understand how humans and computers are collaborating across different dimensions, in order to identify possible problem areas in need of redesign; for example, in the case of the Patriot missile system with a (−2, −2, 1) three-tuple and its demonstrated poor performance, designers could change the decider role to a 2 (only the human makes the final decision, automation cannot veto), as well as move towards a more truly collaborative solution generation LOC. Because missile intercept is a time-pressured task, it is important that the automation moderate the task, but because of the inability of the automation to always correctly make recommendations, more collaboration is needed across the solution-generation role, with no automation authority in the decider role. Used in this manner, HACT aids designers in the understanding of the multiagent roles in human–computer collaboration tasks, as well as identifying areas for possible improvement across these roles.

26.4 Conclusion and Open Challenges possible solutions), and the decider (the agent that decides the final solution along with veto authority). These three distinct (but not necessarily mutually exclusive) roles can each be scaled across five levels indicating degrees of collaboration, with the center value of 0 in each scale representing balanced collaboration. These levels of collaboration (LOCs) form a three-tuple that can be analyzed to evaluate system collaboration, and possibly identify areas for design intervention.

Part C 26.4

The human–automation collaboration taxonomy (HACT) presented here builds on previous research by expanding the Parasuraman [26.1] information processing model, specifically the decision-making component. Instead of defining a simple level of automation for decision making, we deconstruct the process to include three distinct roles, that of the moderator (the agent that ensures the decision-making process moves forward), the generator (the agent that is primarily responsible for generating a solution or set of

446

Part C

Automation Design: Theory, Elements, and Methods

As with all such levels, scales, taxonomies, etc., there are limitations. First, HACT as outlined here does not address all aspects of collaboration that could be considered when evaluating the collaborative nature of a system, such as the type and possible latencies in communication, whether or not the LOCs should be dynamic, the transparency of the automation, the type of information used (i. e., low-level detail as opposed to higher, more abstract concepts), and finally how adaptable the system is across all of these attributes. While this has been discussed in earlier work [26.7], more work is needed to incorporate this into a comprehensive yet useful application. In addition, HACT is descriptive versus prescriptive, which means that it can describe a system and identify post hoc where designs may be problematic, but cannot indicative how the system should be designed to achieve some predicted outcome. To this end, more research is needed in the application of HACT and the interrelation of the entries within each three-tuple, as well as more general relationships across three-tuples. Regarding the within three-tuples issue, more research is needed to determine the impact and relative importance of each of the three roles; for example, if the moderator is at a high LOC but the generator is at a low LOC, are there generalizable principles that can be seen across different decision support systems? In terms of the between three-tuple issue, more research is needed to determine under what conditions certain three-tuples produce consistently poor (or superior) performance, and whether these are generalizable under particular contexts; for example, in high-risk timecritical supervisory control domains such as nuclear power plant operations, a three-tuple of (−2, −2, −2) may be necessary. However, even in this case, given

flawed automated algorithms such as those seen in the Patriot missile, the question could be raised of whether it is ever feasible to design a safe (−2, −2, −2) system. Despite these limitations, HACT provides more detailed information about the collaborative nature of systems than did previous level-of-automation scales, and given the increasing presence of intelligent automation both in complex supervisory control systems and everyday life, such as global positioning system (GPS) navigation, this sort of taxonomy can provide for more in-depth analysis and a common point of comparison across competing systems. Other future areas of research that could prove useful would be the determination of how levels of collaboration apply in the other data acquisition and action implementation information processing stages, and what the impact on human performance would be if different collaboration levels were mixed across the stages. Lastly, one area often overlooked that deserves much more attention is the ethical and social impact of human–computer collaboration. Higher levels of automation authority can reduce an operator’s awareness of critical events [26.19] as well as reduce their sense of accountability [26.20]. Systems that promote collaboration with an automated agent could possibly alleviate the offloading of attention and accountability to the automation, or collaboration may further distance operators from their tasks and actions and promote these biases. There has been very little research in this area, and given the vital nature of many time-critical systems that have some degree of human–computer collaboration (e.g., air-traffic control and military command and control), the importance of the social impact of such systems should not be overlooked.

References 26.1

26.2

Part C 26

26.3

R. Parasuraman, T.B. Sheridan, C.D. Wickens: A model for types and levels of human interaction with automation, IEEE Trans. Syst. Man Cybern. – Part A: System and Humans 30(3), 286–297 (2000) P. Dillenbourg, M. Baker, A. Blaye, C. O’Malley: The evolution of research on collaborative learning. In: Learning in Humans and Machines. Towards an Interdisciplinary Learning Science, ed. by P. Reimann, H. Spada (Pergamon, London 1995) pp. 189–211 J. Roschelle, S. Teasley: The construction of shared knowledge in collaborative problem solving. In: Computer Supported Collaborative Learning, ed. by C. O’Malley (Springer, Berlin 1995) pp. 69–97

26.4

26.5

26.6

P.J. Smith, E. McCoy, C. Layton: Brittleness in the design of cooperative problem-solving systems: the effects on user performance, IEEE Trans. Syst. Man Cybern. 27(3), 360–370 (1997) H.A. Simon, G.B. Dantzig, R. Hogarth, C.R. Plott, H. Raiffa, T.C. Schelling, R. Thaler, K.A. Shepsle, A. Tversky, S. Winter: Decision making and problem solving, Paper presented at the Research Briefings 1986: Report of the Research Briefing Panel on Decision Making and Problem Solving, Washington D.C. (1986) P.M. Fitts (ed.): Human Engineering for an Effective Air Navigation and Traffic Control system (National Research Council, Washington D.C. 1951)

Collaborative Human–Automation Decision Making

26.7

26.8

26.9

26.10

26.11 26.12

26.13

S. Bruni, J.J. Marquez, A. Brzezinski, C. Nehme, Y. Boussemart: Introducing a human–automation collaboration taxonomy (HACT) in command and control decision-support systems, Paper presented at the 12th Int. Command Control Res. Technol. Symp., Newport (2007) M.P. Linegang, H.A. Stoner, M.J. Patterson, B.D. Seppelt, J.D. Hoffman, Z.B. Crittendon, J.D. Lee: Human–automation collaboration in dynamic mission planning: a challenge requiring an ecological approach, Paper presented at the Human Factors and Ergonomics Society 50th Ann. Meet., San Francisco (2006) Y. Qinghai, Y. Juanqi, G. Feng: Human–computer collaboration control in the optimal decision of FMS scheduling, Paper presented at the IEEE Int. Conf. Ind. Technol. (ICIT ’96), Shanghai (1996) R.E. Valdés-Pérez: Principles of human–computer collaboration for knowledge discovery in science, Artif. Intell. 107(2), 335–346 (1999) B.G. Silverman: Human–computer collaboration, Hum.–Comput. Interact. 7(2), 165–196 (1992) L.G. Terveen: An overview of human–computer collaboration, Knowl.-Based Syst. 8(2–3), 67–81 (1995) G. Johannsen: Mensch-Maschine-Systeme (Human–Machine Systems) (Springer, Berlin 1993), in German

26.14

26.15

26.16

26.17

26.18

26.19

26.20

References

447

J. Rasmussen: Skills, rules, and knowledge; signals, signs, and symbols, and other distractions in human performance models, IEEE Trans. Syst. Man Cybern. 13(3), 257–266 (1983) T.B. Sheridan, W. Verplank: Human and Computer Control of Undersea Teleoperators (MIT, Cambridge 1978) M.R. Endsley, D.B. Kaber: Level of automation effects on performance, situation awarness and workload in a dynamic control task, Ergonomics 42(3), 462–492 (1999) V. Riley: A general model of mixed-initiative human–machine systems, Paper presented at the Human Factors Society 33rd Ann. Meet., Denver (1989) S. Bruni, M.L. Cummings: Tracking resource allocation cognitive strategies for strike planning, Paper presented at the COGIS 2006, Paris (2006) K.L. Mosier, L.J. Skitka: Human decision makers and automated decision aids: made for each other? In: Automation and Human Performance: Theory and Applications, ed. by R. Parasuraman, M. Mouloua (Lawrence Erlbaum, Mahwah 1996) pp. 201–220 M.L. Cummings: Automation and accountability in decision support system interface design, J. Technol. Stud. 32(1), 23–31 (2006)

Part C 26

“This page left intentionally blank.”

449

Luis Basañez, Raúl Suárez

This chapter presents an overview of the teleoperation of robotics systems, starting with a historical background, and including the description of an up-to-date specific teleoperation scheme as a representative example to illustrate the typical components and functional modules of these systems. Some specific topics in the field are particularly discussed, for instance, control algorithms, communications channels, the use of graphical simulation and task planning, the usefulness of virtual and augmented reality, and the problem of dexterous grasping. The second part of the chapter includes a description of the most typical application fields, such as industry and construction, mining, underwater, space, surgery, assistance, humanitarian demining, and education, where some of the pioneering, significant, and latest contributions are briefly presented. Finally, some conclusions and the trends in the field close the chapter. The topics of this chapter are closely related to the contents of other chapters such as those on Communication in Automation, Including Networking and Wireless (Chap. 13), Virtual

The term teleoperation is formed as a combination of the Greek word τηλε-, (tele-, offsite or remote), and the Latin word operat˘ı o, -¯onis (operation, something done). So, teleoperation means performing some work or action from some distance away. Although in this sense teleoperation could be applied to any operation performed at a distance, this term is most commonly associated with robotics and mobile robots and indicates the driving of one of these machines from a place far from the machine location.

27.1 Historical Background and Motivation .................................... 450 27.2 General Scheme and Components .......... 451 27.2.1 Operation Principle ..................... 454 27.3 Challenges and Solutions ...................... 27.3.1 Control Algorithms ...................... 27.3.2 Communication Channels ............. 27.3.3 Sensory Interaction and Immersion 27.3.4 Teleoperation Aids ...................... 27.3.5 Dexterous Telemanipulation .........

454 454 455 456 457 458

27.4 Application Fields ................................. 27.4.1 Industry and Construction ............ 27.4.2 Mining ....................................... 27.4.3 Underwater ................................ 27.4.4 Space......................................... 27.4.5 Surgery ...................................... 27.4.6 Assistance .................................. 27.4.7 Humanitarian Demining .............. 27.4.8 Education...................................

459 459 460 460 461 462 463 463 464

27.5 Conclusion and Trends .......................... 464 References .................................................. 465 Reality and Automation (Chap. 15), and Collaborative Human–Automation Decision Making (Chap. 26).

There are of lot of topics involved in a teleoperated robotic system, including human–machine interaction, distributed control laws, communications, graphic simulation, task planning, virtual and augmented reality, and dexterous grasping and manipulation. Also the fields of application of these systems are very wide and teleoperation offers great possibilities for profitable applications. All these topics and applications are dealt with in some detail in this chapter.

Part C 27

Teleoperation 27. Teleoperation

450

Part C

Automation Design: Theory, Elements, and Methods

Part C 27.1

27.1 Historical Background and Motivation Since a long time ago, human beings have used a range of tools to increase their manipulation capabilities. In the beginning these tools were simple tree branches, which evolved to long poles with tweezers, such as blacksmith’s tools that help to handle hot pieces of iron. These developments were the ancestors of master–slave robotic systems, where the slave robot reproduces the master motions controlled by a human operator. Teleoperated robotic systems allow humans to interact with robotic manipulators and vehicles and to handle objects located in a remote environment, extending human manipulation capabilities to far-off locations, allowing the execution of quite complex tasks and avoiding dangerous situations. The beginnings of teleoperation can be traced back to the beginnings of radio communication when Nikola Tesla developed what can be considered the first teleoperated apparatus, dated 8 November 1898. This development has been reported under the US patent 613 809, Method of and Apparatus for Controlling Mechanism of Moving Vessels or Vehicles. However, bilateral teleoperation systems did not appear until the late 1940s. The first bilateral manipulators were developed for handling radioactive materials. Outstanding pioneers were Raymond Goertz and his colleagues at the Argonne National Laboratory outside of Chicago, and Jean Vertut at a counterpart nuclear engineering laboratory near Paris. The first mechanisms were mechanically coupled and the slave manipulator mimicked the master motions, both being very similar mechanisms (Fig. 27.1). It was not until the mid 1950s that Goertz presented the first electrically coupled master– slave manipulator (Fig. 27.2) [27.1]. In the 1960s applications were extended to underwater teleoperation, where submersible devices carried cameras and the operator could watch the remote robot and its interaction with the submerged environment. The beginnings of space teleoperation dates form the 1970s, and in this application the presence of time delay started to cause instability problems. Technology has evolved with giant steps, resulting in better robotic manipulators and, in particular, increasing the communication means, from mechanical to

Fig. 27.1 Raymond Goertz with the first mechanically coupled teleoperator (Source: Argonne National Labs)

Fig. 27.2 Raymond Goertz with an electrically coupled

teleoperator (Source: Argonne National Labs)

electrical transmission, using optic wires, radio signals, and the Internet which practically removes any distance limitation. Today, the applications of teleoperation systems are found in a large number of fields. The most illustrative are space, underwater, medicine, and hazardous environments, which are described amongst others in Sect. 27.4

Teleoperation

27.2 General Scheme and Components

A modern teleoperation system is composed of several functional modules according to the aim of the system. As a paradigm of an up-to-date teleoperated robotic system, the one developed at the Robotics Laboratory of the Institute of Industrial and Control Engineering (IOC), Technical University of Catalonia (UPC), Spain, will be described below [27.2]. The outline of the IOC teleoperation system is represented in Fig. 27.3. The diagram contains two large blocks that correspond to the local station, where the Local station

Simulated force Guidance force

Collision detection

Configuration space

Simulation module

Local planning module

Haptic representation module Haptic representation engine Haptic device 1

Operator Visualization

human operator and master robots (haptic devices) are located, and the remote station, which includes two industrial manipulators as slave robots. The system contains the following system modules. Relational positioning module: This module provides the operator with a means to define geometric relationships that should be satisfied by the part manipulated by the robots with respect to the objects in the environment. These relationships can completely define the position of the manipulated part and then fix all the

Haptic device 2

Subspace

Augmented reality

Relational positioning module

Geometric conversion

Subspace

Sensed force Cod/ decod

State decodification

Network monitoring

Command codification

Commuication module Cod/ decod

Command decodification

State codification

Audio and video

State

Trajectories

Controller I

Controller II

Robot I

Robot II

Force sensor I

Force sensor II

Position Force

Remote station

Remote planning module State

Position

State determination

Force

Fig. 27.3 A general scheme of

a teleoperation system (courtesy of IOC-UPC)

Part C 27.2

27.2 General Scheme and Components

451

452

Part C

Automation Design: Theory, Elements, and Methods

Part C 27.2

robots’ degrees of freedom (DOFs) or they can partially determine the position and orientation and therefore fix only some DOFs. In the latter case, the remaining degrees of freedom are those that the operator will be able to control by means of one or more haptic devices (master robots). Then, the output of this module is the solution subspace in which the constraints imposed by the relationships are satisfied. This output is sent to the modules of augmented reality (for visualization), command codification (to define the possible motions in the solution subspace), and planning (to incorporate the motion constraints to the haptic devices). Haptic representation module: This module consists of the haptic representation engine and the geometric conversion submodule. The haptic representation engine is responsible for calculating the force to be fed back to the operator as a combination of the following forces:



• •

Restriction force: This is calculated by the planning module to assure that, during the manipulation of the haptic device by the operator, the motion constraints determined by the relational positioning module are satisfied. Simulated force: This is calculated by the simulation module as a reaction to the detection of potential collision situations. Reflected force: This is the force signal sent from the remote station through the communication module to the local station corresponding to the robots’ actuators forces and those measured by the force and torque sensors in the wrist of the robots produced by the environmental interaction.

The geometric conversion submodule is in charge of the conversion between the coordinates of the haptic devices and those of the robots. Augmented-reality module: This module is in charge of displaying to the user the view of the remote station, to which is added the following information:





Motion restrictions imposed by the operator. This information provides the operator with the understanding and control of the unrestricted degrees of freedom can be commanded by means of the haptic device (for example, it can visualize a plane on which the motions of the robot end-effector are restricted). Graphical models of the robots in their last configuration received from the cell. This allows the operator to receive visual feedback of the robots’ state from the remote station at a frequency faster

than that allowed by the transmission of the whole image, since it is possible to update the robots’ graphical models locally from the values of their six joint variables. This module receives as inputs: (1) the image of the cell, (2) the state (pose) of the robots, (3) the model of the cell, and (4) the motion constraints imposed by the operator. This module is responsible for maintaining the coherence of the data and for updating the model of the cell. Simulation module: This module is used to detect possible collisions of the robots and the manipulated pieces with the environment, and to provide feedback to the operator with the corresponding force in order to allow him to react quickly when faced with these possible collision situations. Local planning module: The planning module of the local station computes the forces that should guide the operator to a position where the geometric relationships he has defined are satisfied, as well as the necessary forces to prevent the operator from violating the corresponding restrictions. Remote planning module: The planning module of the remote station is in charge of reconstructing the trajectories traced by the operator with the haptic device. This module includes a feedback loop for position and force that allows safe execution of motions with compliance. Communication module: This module is in charge of communications between the local and the remote stations through the used communication channel (e.g., Internet or Internet2). This consists of the following submodules for the information processing in the local and remote stations:



Command codification/decodification: These submodules are responsible for the codification and decodification of the motion commands sent from the local station and the remote station. These commands should contain the information of the degrees of freedom constrained to satisfy the geometric relationships and the motion variables on the unrestricted ones, following the movements specified by the operator by means of the haptic devices (for instance, if the motion is constrained to be on a plane, this information will be transferred and then the commands will be the three variables that define the motion on that plane). For each robot, the following three qualitatively different situations are possible: – The motion subspace satisfying the constraints defined by the relationships fixed by the operator

Teleoperation

27.2 General Scheme and Components

Part C 27.2

Local station Audio

3-D visualization

Ghost Windows Ghost Linux Network monitor

Tornado II

Haptic device PHANToM

Haptic device PHANToM Ethernet

Switch

Internet

Remote station Switch Ethernet Video server Audio SP1 hand held

Force sensors

Cameras

Grippers CS8 controller

TX90 robot

TX90 robot

CS8 controller

Fig. 27.4 Physical architecture of a teleoperation system (courtesy of IOC-UPC)

has dimension zero. This means that the constraints completely determine the position and

453

orientation (pose) of the manipulated object. In this case the command is this pose.

454

Part C

Automation Design: Theory, Elements, and Methods

Part C 27.3





– The motion subspace has dimension six, i. e., the operator does not have any relationship fixed. In this case the operator can manipulate the six degrees of freedom of the haptic device and the command sent to the remote station is composed of the values of the six joint variables. – The motion subspace has dimension from one to five. In this case the commands are composed of the information of this subspace and the variables that describe the motion inside it, calculated from the coordinates introduced by the operator through the haptic device or determined by the local planning module. State codification/decodification: These submodules generate and interpret the messages between the remote and the local stations. The robot state is coded as the combination of the position and force information. Network monitoring system: This submodule analyzes in real time the quality of service (QoS) of the communication channel in order to properly adapt the teleoperation parameters and the sensorial feedback.

A scheme depicting the physical architecture of the whole teleoperation system is shown in Fig. 27.4.

27.2.1 Operation Principle In order to perform a robotized task with the described teleoperation system, the operator should carry out the following steps:

• •



Define the motion constraints for each phase of the task, specifying the relative position of the manipulated objects or tools with respect to the environment. Move the haptic devices to control the motions of the robots in the subspace that satisfies the imposed constraints. The haptic devices, by means of the force feedback applied to the operator, are capable of: – guiding the operator motions so that they satisfy the imposed constraints – detecting collision situations and trying to avoid undesired impacts Control the realization of the task availing himself of an image of the scene visualized using three-dimensional augmented reality with additional information (like the graphical representation of the motion subspace, the graphical model of the robots updated with the last received data, and other outstanding information for the good performance of the task).

27.3 Challenges and Solutions During the development of modern teleoperation systems, such as the one described in Sect. 27.2, a lot of challenges have to be faced. Most of these challenges now have a partial or total solution and the main ones are reviewed in the following subsections.

27.3.1 Control Algorithms A control algorithm for a teleoperation system has two main objectives: telepresence and stability. Obviously, the minimum requirement for a control scheme is to preserve stability despite the existence of time delay and the behavior of the operator and the environment. Telepresence means that the information about the remote environment is displayed to the operator in a natural manner, which implies a feeling of presence at the remote site (immersion). Good telepresence increases the feasibility of the remote manipulation task. The degree of telepresence associated to a teleoperation system is called transparency.

Scattering-based control has always dominated the control field in teleoperation systems since it was first proposed by Anderson and Spong [27.3], creating the basis of modern teleoperation system control. Their approach was to render the communications passive using the analogy of a lossless transmission line with scattering theory. They showed that the scattering transformation ensures passivity of the communications despite any constant time delay. Following the former scattering approach, it was proved [27.4] that, by matching the impedances of the local and remote robot controllers with the impedance of the virtual transmission line, wave reflections are avoided. These were the beginnings of a series of developments for bilateral teleoperators. The reader may refer to [27.5, 6] for two advanced surveys on this topic. Various control schemes for teleoperated robotic systems have been proposed in the literature. A brief description of the most representative approaches is presented below.

Teleoperation

Human operator

Comm. channel

Master fh

x· sd

fm

Fig. 27.5 Traditional force reflection

x· s Environment

Slave fs

Traditional force reflection. This is probably the most studied and reported scheme. In this approach, the master sends position information to the slave and receives force feedback from the remote interaction of the slave with the environment (Fig. 27.5). However, it was shown that stability is compromised in systems with high time delay [27.3]. Shared compliance control. This scheme is similar to the traditional force reflection, except that on the slave side a compliance term is inserted to modify the behavior of the slave manipulator according to the interaction with the environment. Scattering-based teleoperation. The scattering transformation (wave variables) used in the transmission of power information makes the communication channel passive even if a time delay T affects the system (Fig. 27.6). However, the scattering transformation presents a tradeoff between stability and performance. In an attempt to improve performance using the scattering transformation, several approaches have been reported, for instance, transmitting wave integrals [27.7, 8] and wave filtering and wave prediction [27.9]. Four-channel control. Velocity and force information is sent to the other side in both directions, thereby defining four channels. In both controllers a linear combination of the available force and velocity information is used to fit the specifications of the control design [27.10]. Proportional (P) and proportional–derivative (PD) controllers. It is widely known that use of the classic scattering transformation may give raise to position drift. In [27.11] position tracking is achieved by sending the local position to the remote station, and adding a proportional term to the position error in the remote controller. Following this approach, [27.12] proposed a symmetric scheme by matching the impedances and adding a proportional error term to the local and remote robots, such that the resulting control laws became simple PD-like controllers. Stability of PD-like controllers, without the scattering transformation, has been proved in [27.13] under the assumption that the human interaction with the local manipulator is passive. In [27.14] it is shown that, when the human operator applies a constant force on the local manipulator,

fe

a teleoperation system controlled with PD-like laws is stable. Variable-time-delay schemes. In the presence of variable time delays, the basic scattering transformation cannot provide the passivity needed in the communications [27.15]. In order to solve this issue, the use of a time-varying gain that is a function of the rate of change of the time delay has been proposed [27.16]. Recently it has been shown [27.17] that, under an appropriate dissipation strategy, the communications can dissipate an amount of energy equal to the generated energy. Applying the strategy of [27.15], in [27.18] it was proven that, under power scaling factors for microteleoperation, the resulting communications remain passive.

27.3.2 Communication Channels Communication channels can be classified in terms of two aspects: their physical nature and their mode of operation. According to the first aspect, two groups can be defined: physically connected (mechanically, electrically, optically wired, pneumatically, and hydraulically) and physically disconnected (radiofrequency and optically coupled such as via infrared). The second aspect entails the following three groups:



Time delay free. The communication channel connecting the local and the remote stations does not affect the stability of the overall teleoperation system. In general this is the kind of channel present when the two stations are near to each other. Examples of these communication channels are some surgical systems, where the master and slave are lox· m + x·'m –

b

+

1 um √2b

+

υm

+

1 b

fm

us

√2b

+ –

1 b



f 's

x· sd

T +

√2b

b υs

1 √2b

+

455

Part C 27.3

x· m

x· m

27.3 Challenges and Solutions

+

+ fs

Fig. 27.6 Scattering transformation with impedance adaptation

Automation Design: Theory, Elements, and Methods

Part C 27.3

cated in the same room and connected through wires or radio. Constant time delay. These are often associated with communications in space, underwater teleoperation using sound signals, and systems with dedicated wires across large distances. Variable time delay. This is the case, for instance, of packet-switched networks where variable time delays are caused by many reasons such as routing, acknowledge response, and packing and unpacking data.

• •

is not able to satisfy the increasing number of internet users. IPv6 quadruples this address space to 128 bits, which provides more than enough globally unique IP addresses for every network device on the planet. See Fig. 27.7 for a comparison of these protocols. When using packet-switched networks for real-time teleoperation systems, besides bandwidth, three effects can result in decreased performance of the communication channel: packet loss, variable time delay, and in some cases, loss of order in packet arrival.

27.3.3 Sensory Interaction and Immersion One of the most promising teleoperation communication channels is the Internet, which is a packetswitched network, i. e., it uses protocols that divide the messages into packets before transmission. Each packet is then transmitted individually and can follow a different route to its destination. Once all packets forming a message have arrived at the destination, they are recompiled into the original message. The transmission control protocol (TCP) and user datagram protocol (UDP) work in this way and they are the Internet protocols most suitable for use in teleoperation systems. In order to improve the performance of teleoperation systems, quality of service (QoS)-based schemes have been used to provide priorities on the communication channel. The main drawback of today’s best-effort Internet service is due to network congestion. The use of high-speed networks with recently created protocols, such as the Internet protocol version 6 (IPv6), improves the performance of the whole teleoperation system [27.19]. Besides QoS, IPv6 presents other important improvements. The current 32 bit address space of IPv4 0

3

7

15 19

Identification

0

Total length

Version HELN Type service

Time-to-live (TTL)

31

Flags

Protocol

Header cheksum

11

Human beings are able to perceive information from the real world in order to interact with it. However, sometimes, for engineering purposes, there is a need to interact with systems that are difficult to build in reality or that, due to their physical behavior, present unknown features or limitations. Hence, in order to allow better human interaction with such systems, as well as their evaluation and understanding, the concepts of virtual reality and augmented reality have been researched and applied to improve development cycles in engineering. In virtual reality a nonexistent world can be simulated with a compelling sense of realism for a specific environment. So, the real world is replaced by a computer-generated world that uses input devices to interact with and obtain information from the user and capture data from the real world (e.g., using trackers and transducers), and uses output displays that represent the responses of the virtual world by means of visual, touch, aural or taste displays [e.g., haptic devices, headmounted displays (HMD), and headphones] in order to be perceived by any of the human senses. In this context, 15

23

31

Flow level

Version Traffic class

Payload length

Fragment offset

Source address

3

40 bytes

Part C

20 bytes

456

Next header

Hop limit

Source address

Destination address Destination address Options Options Data Data IPv4

IPv6

Fig. 27.7 Comparison of IPv4 and

IPv6 protocols

Teleoperation

Some of the problems arising in teleoperated systems, such as an unstructured environment, communication delays, human operator uncertainty, and safety at the remote site, amongst others, can be reduced using teleoperation aids.

Local site

Remote site Communication channel

27.3.4 Teleoperation Aids

Amongst the teleoperation aids aimed to diminish human operator uncertainty one can highlight virtual fixtures for guiding motion, which have recently been added in surgical teleoperation in order to improve the surgeon’s repeatability and reduce his fatigue. The trajectories to be described by a robot endeffector – either in free space or in contact with other objects – strongly depend on the task to be performed and on the topology of the environment with which it is interacting; for instance, peg-in-hole insertions require alignment between the peg and the hole, spray-painting tasks require maintenance of the nozzle at a fixed distance and orientation with respect to the surface to be painted, and assembly tasks often involve alignment or coincidence of faces, sides, and vertices of the parts to be assembled. For all these examples, virtual guides can be defined and can help the operator to perform the task. Artificial fixtures or motion guidance can be divided into two groups, depending on how the motion constraints are created, either by software or by hardware. To the first group belong the methods that implement geometric constraints for the operator motions: points, lines, planes, spheres, and cylinders [27.2], which can usually be changed without stopping the teleoperation. An often-used method is to provide obstacles with a repulsive force field, avoiding in this way that the operator makes the robot collide with the obstacles. In the second group, specific hardware is used to guide the motion, for example, guide rails and sliders with circled rails. Figure 27.8 shows a teleoperated painting task restricted to a plane. An example of a motion constraints generator is the PMF (positioning mobile with respect to fixed) solver [27.31]. PMF has been designed to assist execution of teleoperated tasks featuring precise or repetitive motions. By formulating an object positioning problem in terms of symbolic geometric constraints, the motion

Πm

457

Part C 27.3

immersion is the sensation of being in an environment that actually does not exist and that can be a purely mental state or can be accomplished through physical elements [27.20]. Augmented reality is a form of human–computer interaction (HCI) that superimposes information created by computers over a real environment. Augmented reality enriches the surrounding environment instead of replacing it as in the case of virtual reality, and it can also be applied to any of the human senses. Although some authors put attention on hearing and touch [27.21], the main augmentation route is through visual data addition. Furthermore augmented reality can remove real objects or change their appearance [27.22], operations known as diminished or mediated reality. In this case, the information that is shown and superposed depends on the context, i. e., on the observed objects. Augmented reality can improve task performance by increasing the degree of reliability and speed of the operator due to the addition or reduction of specific information. Reality augmentation can be of two types: modal or multimodal. In the modal type, augmentation is referred to the enrichment of a particular sense (normally sight), whereas in the multimodal type augmentation includes several senses. Research done to date has focused mainly on modal systems [27.21, 23]. In teleoperation environments, augmented reality has been used to complement human sensorial perception in order to help the operator perform teleoperated tasks. In this context, augmented reality can reduce or eliminate the factors that break true perception of the remote station, such as time delays in the communication channel, poor visibility of the remote scene, and poor perception of the interaction with the remote environment. Amongst the applications of augmented reality it is worthwhile to mention interaction between the operator and the remote site for better visualization [27.24, 25], better collaboration capacity [27.26], better path or motion planning for robots [27.27, 28], addition of specific virtual tools [27.29], and multisensorial perception enrichment [27.30].

27.3 Challenges and Solutions

Πf p

Fig. 27.8 A painting teleoperation task with a plane constraint on the local and the remote sites

458

Part C

Automation Design: Theory, Elements, and Methods

Part C 27.3

of an object can be totally or partially restricted, independently of its initial configuration. PMF exploits the fact that, in geometric constraint sets, the rotational component can often be decoupled from the translational one and solved independently. Once the solution is obtained, the resulting restriction forces are fed to the operator via a haptic interface in order to guide its motions inside this subspace.



27.3.5 Dexterous Telemanipulation A common action in robotics applications is grasping of an object, and teleoperated robotics is no exception. Grasping actions can often be found in telemanipulation tasks such as handling of dangerous material, rescue, assistance, and exploration, amongst others. In planning a grasping action, two fundamental aspects must be considered: 1. How to grasp the object. This means the determination of the contact points of the grasping device on the object, or at a higher level, the determination of the relative position of the grasping device with respect to the object (e.g., [27.32–34]). 2. The grasping forces. This means the determination of the forces to be applied by the grasping device actuators in order to properly constrain the object (e.g., [27.35]). These two aspects can be very simple or extremely complex depending on the type of object to be grasped, the type of grasping device, and the requirements of the task. In a teleoperated grasping system, besides the general problems associated with a teleoperation system mentioned in the previous sections, the following particular topics must be considered. Sensing information in the local station. In telemanipulation using complex dexterous grasping devices, such as mechanical anthropomorphic hands directly commanded by the hand of the human operator, the following approaches have been used in order to capture the pose information of the operator hand:





Sensorized gloves. The operator wears a glove with sensors (usually strain gauges) that identify the position of the fingers and the flexion of the palm [27.36]. These gloves allow the performance of tasks in a natural manner, but they are delicate devices and it is difficult to achieve good calibration. Exoskeletons. The operator wears over the hand an exoskeleton equipped with encoders that identify the position of the fingers [27.37]. Exoskeletons are

more robust in terms of noise, but they are rather uncomfortable and reduce the accessibility of the hand in certain tasks. Vision systems. Computer vision is used to identify hand motions [27.38]. The operator does not need to wear any particular device and is therefore completely free, but some parts of the hand may easily fall outside of the field of vision of the system and recognition of hand pose from images is a difficult task.

Capturing the forces applied by the operator is a much more complex task, and only some tests using pressure sensors at the fingertips have been proposed [27.39]. Feedback information from the remote station. This can be basically of two types:





Visual information. This kind of information can help the operator to realize how good (robust or stable) the remote grasp is, but only in a very simple grasp can the operator conclude if it is actually a successful grasp. Haptic information. Haptic devices allow the operator to feel the contact constraints during the grasp in the remote station. Current approaches include gloves with vibratory systems that provides a kind of tactile feeling [27.40], and exoskeletons that attached to the hand and fingers and generate constraints to their motion and provide the feeling of a contact force [27.37]. Nevertheless, these devices have limited performance and the development of more efficient haptic devices with the required num-

Fig. 27.9 Operator hand wearing a sensorized glove and

an exoskeleton, and the anthropomorphic mechanical hand MA-I (courtesy of IOC-UPC)

Teleoperation

Need for kinematics mapping. In real situations, the mechanical gripper or hand in the remote station will not have the same kinematics as the operator hand, even when an anthropomorphic mechanical hand is used. This means that in general the motions of the operator cannot be directly replicated by the remote grasping device, and they have to be interpreted and then adapted from one kinematics to the other, which may be computationally expensive [27.41]. Use of assistance tools. The tools developed with the aim of performing grasps in an autonomous way can be used as assistance tools in telemanipulation;

for instance, grasp planners used to determine optimal grasping points automatically on different types of objects can be run considering the object to be telemanipulated and then, using augmented reality, highlight the grasping points on the object so the operator can move the fingers directly to those points. Of still greater assistance in this regard is the computation and display of independent grasping regions on the object surface [27.42] such that placing a finger on any point within each of these regions will achieve a grasp with a controlled quality [27.43]. Figure 27.9 shows an example where the operator is wearing a commercial sensorized glove and an exoskeleton in order to interact with the anthropomorphic mechanical hand MA-I [27.44].

27.4 Application Fields The following subsections present several application fields where teleoperation plays a significant role, describing their main particular aspects and some relevant works.

27.4.1 Industry and Construction Teleoperation in industry-related applications covers a wide range of fields. One of them is mostly oriented towards inspection, repair, and maintenance operations in places with difficult or dangerous access, particularly in power plants [27.45], as well as to manage toxic wastes [27.46]. In the nuclear industry the main reason to avoid the exposure of human workers is the existence of a continuous radioactive environment, which results in international regulations to limit the number of hours that humans can work in these conditions. This application was actually the motivation for early real telemanipulation developments, as stated in Sect. 27.1. Some typical teleoperated actions in nuclear plants are the maintenance of nuclear reactors, decommissioning and dismantling of nuclear facilities, and emergency interventions. The challenges in these tasks include operation in confined areas with high radiation levels, risk of contamination, unforeseen accidents, and manipulation of materials that can be liquid, solid or have a muddy consistency. Another kind of application is the maintenance of electrical power lines, which require operations such as replacement of ceramic insulators or opening and reclosing bridges, which are very risky for human operators due to the height of the lines and the possibility

of electric shocks, specially under poor weather conditions [27.47]. That is why electric power companies are interested in the use of robotic teleoperated systems for live-line power maintenance. Examples of these robots are the TOMCAT [27.48] and the ROBTET (Fig. 27.10) [27.49]. Another interesting application field is construction, where teleoperation can improve productivity, reliability, and safety. Typical tasks in this field are earth-moving, compaction, road construction and maintenance, and trenchless technologies [27.50]. In general, applications in this field are based on direct visual feedback. One example is radio operation of construc-

Fig. 27.10 Robot ROBTET for maintenance of electrical

power lines (courtesy of DISAM, Technical University of Madrid – UPM)

459

Part C 27.4

ber of degrees of freedom and the configuration of the human hand is still an open problem.

27.4 Application Fields

460

Part C

Automation Design: Theory, Elements, and Methods

Part C 27.4

tion machinery, such as bulldozers, hydraulic shovels, and crawler dump trucks, to build contention barriers against volcanic eruptions [27.51]. Another example is the use of an experimental robotized crane with a sixDOF parallel kinematic structure, to study techniques and technologies to reduce the time required to erect steel structures [27.52]. Since the tasks to be done are quite different in the different applications, the particular hardware and devices used in each case can vary a lot, ranging from a fixed remote station in the dangerous area of a nuclear plant, to a mobile remote station assembled on a truck that has to move along a electrical power line or a heavy vehicle in construction. See also Chap. 61 on Construction Automation and Chap. 62 on Smart Buildings.

27.4.2 Mining Another interesting field of application for teleoperation is mining. The reason is quite clear: operation of a drill underground is very dangerous, and sometimes mines themselves are almost inaccessible. One of the first applications started in 1985, when the thin-seam continuous mining Jeffrey model 102HP was extensively modified by the US Bureau of Mines to be adapted for teleoperation. Communication was achieved using 0.6 inch wires, and the desired entry orientation was controlled using a laser beam [27.53]. Later, in 1991, a semiautomated haulage truck was used underground, and since then has hauled 1.5 million tons of ore without failure. The truck has an on-board personal computer (PC) and video cameras and the operator can stay on the surface and teleoperate the vehicle using an interface that simulates the dashboard of the truck [27.54]. The most common devices used for teleoperation in mining are load–haul–dump (LHD) machines, and thin-seam continuous mining (TSCM) machines, which can work in a semiautonomous and teleoperated way. Position measurement, needed for control, is not easy to obtain when the vehicle is beneath the surface, and interference can be a problem, depending on the mine material. Moreover, for the same reason, video feedback has very poor quality. In order to overcome these problems, the use of gyroscopes, magnetic electronic compasses, and radar to locate the position of vehicles while underground has been considered [27.55]. The problems with visual feedback could be solved by integrating, for instance, data from live video, computer-aided design (CAD) mine models, and process control parameters, and presenting the

operator a view of the environment with augmented reality [27.56]. In this field, in addition to information directly related to the teleoperation, the operator has to know other measurements for safety reasons, for instance, the volatile gas (like methane) concentration, to avoid explosions produced due to sparks generated by the drilling action. Teleoperated mining is not only considered on Earth. If it is too expensive and dangerous to have a man underground operating a mining system, it is much more so for the performance of mining tasks on the Moon. As stated in Sect. 27.4.4, for space applications, in addition to the particularities of mining, the long transmission delay between the local and remote stations is a significant problem. So, the degree of autonomy has to be increased to perform the simplest tasks locally while allowing a human teleoperator to perform the complex tasks at a higher level [27.57]. When the machines in the remote station are performing automated actions, the operator can teleoperate some other machinery, thus productivity can be improved by using a multiuser schema at the local station to operate multiple mining systems at the remote station [27.58]. See also Chap. 57 on Automation in Mining and Mineral Processing.

27.4.3 Underwater Underwater teleoperation is motivated by the fact that the oceans are attractive due to the abundance of living and nonliving resources, combined with the difficulty for human beings to operate in this environment. The most common applications are related to rescue missions and underwater engineering works, among other scientific and military applications. Typical tasks are: pipeline welding, seafloor mapping, inspection and reparation of underwater structures, collection of underwater objects, ship hull inspection, laying of submarine cables, sample collection from the ocean bed, and study of marine creatures. A pioneering application was the cable-controlled undersea recovery vehicle (CURV) used by the US Army in 1966 to recover, in the Mediterranean sea south of Spain, the bombs lost due to a bomber accident [27.59]. More recent relevant applications are related to the inspection and object collection from famous sunken vessels, such as the Titanic with the ARGO robot [27.60], and to ecological disasters, such as the sealing of crevices in the hull of the oil tanker Prestige, which sank in the Atlantic in 2002 [27.61].

Teleoperation

University of Girona – UdG)

Specific problems in deep underwater environments are the high pressure, quite frequently poor visibility, and corrosion. Technological issues that must be considered include robust underwater communication, the power source, and sensors for navigation. A particular problem in several underwater applications is the position and force control of the remote actuator when it is floating without a fixed holding point. Most common unmanned underwater robots are remotely operated vehicles (ROVs) (Fig. 27.11), which are typically commanded from a ship by an operator using joysticks. Communication between the local and remote stations is frequently achieved using an umbilical cable with coaxial cables or optic fiber, and also the power is supplied by cables. Most of these underwater vehicles carry a robotic arm manipulator (usually with hydraulic actuators), which may have negligible effects on a large vehicle, but that introduce significant

Fig. 27.12 Canadarm 2 (courtesy of NASA)

perturbation on the system dynamics of a small one. Moreover, there are several sources of uncertainties, mainly due to buoyancy, inertial effects, hydrodynamic effects (of waves and currents), and drag forces [27.62], which has motivated the development of several specific control schemes to deal with these effects [27.63, 64]. The operational cost of these vehicles is very high, and their performance largely depends on the skills of the operator, because it is difficult to operate them accurately as they are always subject to undesired motion. In the oil industry, for instance, it is common to use two arms: one to provide stability by gripping a nearby structure and another to perform the assigned task. A new use of underwater robots is as a practice tool to prepare and test exploration robots for remote planets and moons [27.65].

27.4.4 Space The main motivation for the development of space teleoperation is that, nowadays, sending a human into the space is difficult, risky, and quite expensive, while the interest in having some devices in space is continuously growing, from the practical (communications satellites) as well as the scientific point of view. The first explorations of space were carried out by robotic spacecrafts, such as the Surveyor probes that landed on the lunar surface between 1966 and 1968. The probes transmitted to Earth images and analysis data of soil samples gathered with an extensible claw. Since then, several other ROVs have been used in space exploration, such as in the Voyager missions [27.66]. Various manipulation systems have been used in space missions. The remote manipulator system, named Canadarm after the country that built it, was installed aboard the space shuttle Columbia in 1981, and since then has been employed in a variety of tasks, mainly focused on the capture and redeployment of defective satellites, besides providing support for other crew activities. In 2001, the Canadarm 2 (Fig. 27.12) was added to the International Space Station (ISS), with more load capacity and maneuverability, to help in more sensitive tasks such as inspection and fault detection of the ISS structure itself. In 2009, the European Robotic Arm (ERA) is expected to be installed at the ISS, primarily to be used outside the ISS in service tasks requiring precise handling of components [27.67]. Control algorithms are among the main issues in this type of applications, basically due to the significant delay between the transmission of information from the local station on the Earth and the reception of

461

Part C 27.4

Fig. 27.11 Underwater robot Garbi III AUV (courtesy of

27.4 Application Fields

462

Part C

Automation Design: Theory, Elements, and Methods

Part C 27.4

the response from remote station in space (Sect. 27.3.1). A number of experimental ground-based platforms for telemanipulation such as the Ranger [27.68], the Robonaut [27.69], and the space experiment ROTEX [27.70] have demonstrated sufficient dexterity in a variety of operations such as plug/unplug tasks and tools manipulation. Another interesting experiment under development is the Autonomous Extravehicular Activity Robotic Camera Sprint (AERCam) [27.71], a teleoperated free-flying sphere to be used for remote inspection tasks. An experiment in bilateral teleoperation was developed by the National Space Development Agency of Japan (NASDA) [27.72] with the Engineering Test Satellite (ETS-VII), overcoming the significant time delay (up to 7 s was reported) in the communication channel between the robot and the ground-based control station. Currently, most effort in planetary surface exploration is focused on Mars, and several remotely operated rovers have been sent to this planet [27.73]. In these experiments the long time delays in the control signals between Earth-based commands and Marsbased rovers is especially relevant. The aim is to avoid the effect of these delays by providing more autonomy to the rovers. So, only high-level control signals are provided by the controllers on Earth, while the rover solves low-level planning of the commanded tasks. Another possible scenario to minimize the effect of delays is teleoperation of the rovers with humans closer to them (perhaps in orbit around Mars) to guarantee a short time delay that will allow the operator to have real-time control of the rover, allowing more efficient exploration of the surface of the planet [27.74]. See also Chap. 69 on Space and Exploration Automation and Chap. 93 on Collaborative Analytics for Astrophysics Explorations.

27.4.5 Surgery There are two reasons for using teleoperation in the surgical field. The first is the improvement or extension of the surgeon’s abilities when his/her actions are mapped to the remote station, increasing, for instance, the range of position and motion of the surgical tool (motion scaling), or applying very precise small forces without oscillations; this has greatly contributed to the development of major advances in the field of microsurgery, as well as in the development of minimally invasive surgery (MIS) techniques. Using teleoperated systems, surgeries are quicker and patients suffer less than with the normal approach, also allowing faster recovery. The second reason is to exploit the expertise of

very good surgeons around the world without requiring them to travel, which could waste time and fatigue these surgeons. A basic initial step preceding teleoperation in surgical applications was telediagnostics, i. e., the motion of a device, acting as the remote station, to obtain information without working on the patient. A simple endoscope could be considered as a basic initial application in this regard, since the position of a camera is teleoperated to obtain an appropriate view inside the human body. A relevant application for telediagnostic is an endoscopic system with 3-D stereo viewing, force reflection, and aural feedback [27.75]. It is worth to highlight the first real remote telesurgery [27.76]. The scenario was as follows: the local station, i. e., the surgeon, was located in New York City, USA, and the remote station, i. e., the patient, was in Strasbourg, France. The performed surgery was a laparoscopic cholecystectomy done to a 68-year-old female, and it was called operation Lindbergh, based on the last name of the patient. This surgery was possible thanks to the availability of a very secure high-speed communication line, allowing a mean total time delay between the local and remote stations of 155 ms. The time needed to set up the robotic system, in this case the Zeus system [27.77], was 16 min, and the operation was done in 54 min without complications. The patient was discharged 48 h later without any particular postoperative problems. A key problem in this application field is that someone’s life is at risk, and this affects the way in which information is processed, how the system is designed, the amount of redundancy used, and any other factors that may increase safety. Also, the surgical tool design must integrate sensing and actuation on the millimeter scale. Normally, the instruments used in MIS do not have more than four degrees of freedom, losing therefore the ability to orient the instrument tip arbitrarily, although specialized equipment such as the Da Vinci system [27.78] already incorporates a three-DOF wrist close to the instrument tip that makes the whole system benefit from seven degrees of freedom. In order to perform an operation, at least three surgical instruments are required (the usual number is four): one is an endoscope that provides the video feedback and the other two are grippers or scissors with electric scalpel functions, which should provide some tactile and/or force feedback (Fig. 27.13). The trend now is to extend the application field of the current surgical devices so that they can be used in different types of surgical procedures, partic-

Teleoperation

27.4.6 Assistance The main motivation in this field is to give independence to disabled and elderly people in their daily domestic activities, increasing in this way quality of life. One of the first relevant applications in this line was seen in 1987, with the development of the Handy 1 [27.81], to enable an 11-year-old boy with cerebral palsy to gain independence at mealtimes. The main components of Handy 1 were a robotic arm, a microcomputer (used as a controller for the system), and an expanded keyboard for human–machine interface (HMI). The most difficult part in developing assistance applications is the HMI, as it must be intuitive and appropriate for people that do not have full capabilities. In this regard different approaches are considered, such as tactile, voice recognition, joystick/haptic interfaces, buttons, and gesture recognition, among others [27.82]. Another very important issue, which is a significant difference with respect to most teleoperation scenarios, is that the local and the remote stations share the same space, i. e., the teleoperator is not isolated from the working area; on the contrary, actually he is part of it. This leads to consider the safety of the teleoperator as one of the main topics.

The remote station is quite frequently composed of a mobile platform and an arm installed on it, and the whole system should be adaptable to unstructured and/or unknown environments (different houses), as it is desirable to perform actions such as going up and down stairs, opening various kinds of doors, grasping and manipulating different kind of objects, and so on. Improvements of the HMI to include different and more friendly ways of use is one of the main current challenges: the interfaces must be even more intuitive and must achieve a higher level of abstraction in terms of user commands. A typical example is understanding of an order when a voice recognition system is used [27.83]. Various physical systems are considered for teleoperation in this field, for instance, fixed devices (the disabled person has to get into the device workspace), or devices based on wheelchairs or mobile robots [27.84]; the latest are the most flexible and versatile, and therefore the most used in recently developed assistance robots, such as RobChair [27.85], ARPH [27.86], Pearl NurseBot [27.87], and ASIBOT [27.82].

27.4.7 Humanitarian Demining This particular application is included in a separate subsection due to its relevance from the humanitarian point of view. Land mines are very easy to place but very hard to be removed. Specific robots have been developed to help in the removal of land mines, especially to reduce the high risk that exists when this task is performed by humans. Humanitarian demining differs from the mil-

Fig. 27.14 SILO6: A six-legged robot for humanitarian Fig. 27.13 Robotics surgery at Dresden Hospital (with per-

mission from Intuitive Surgical, Inc. 2007)

demining tasks (courtesy of IAI, Spanish Council for Scientific Research – CSIC)

463

Part C 27.4

ularly including tactile feedback and virtual fixtures to minimize the effect of any imprecise motion of the surgeon [27.79]. So far, there are more than 25 surgical procedures in at least six medical fields that have been successfully performed with telerobotic techniques [27.80]. See Chap. 78 on Medical Automation and Robotics.

27.4 Application Fields

464

Part C

Automation Design: Theory, Elements, and Methods

Part C 27.5

itary approach. In the latter it is only required to find a path through a minefield in the minimum time, while the aim in humanitarian demining is to cover the whole area to detect mines, mark them, and remove/destroy all of them. The time involved may affect the cost of the procedure, but should not affect its efficiency. One key aspect in the design of teleoperated devices for demining is that the remote station has to be robust enough to resist a mine explosion, or cheap enough to minimize the loss when the manipulation fails and the mine explodes. The removal of a mine is quite a complex task, which is why demining tools include not only teleoperated robotic arms, but also teleoperated robotic hands [27.88]. Some proposals are based on walking machines, such as TITAN-IX [27.89] and SILO6 [27.90] (Fig. 27.14). A different method includes the use of machines to mechanically activate the mine, like the Mini Flail, Bozena 4, Tempest or Dervish, among others; many of these robotic systems have been tested and used in the removal of mines in countries such as Japan, Croatia, and Vietnam [27.91, 92].

27.4.8 Education Recently, teleoperation has been introduced in education, and can be collated into two main types. In one of these, the professor uses teleoperation to illustrate the

(theoretical) concepts to the students during the a lecture by means of the operation of a remote real plant, which obviously cannot be brought to the classroom and that would require a special visit, which would probably be expensive and time consuming. The second type of educational application is the availability of remote experimental plants where the students can carry out experiments and training, working at common facilities at the school or in their own homes at different times. In this regard, during the last 5 years, a number of remote laboratory projects have been developed to teach fundamental concepts of various engineering fields, thanks to remote operation and control of scientific facilities via the Internet. The development of e-Laboratory platforms, designed to enable distance training of students in real scenarios of robot programming, has proven useful in engineering training for mechatronic systems [27.93]. Experiments performed in these laboratories are very varied; they may go from a single user testing control algorithms in a remote real plant [27.94] to multiple users simulating and teleoperating multiple virtual and real robots in a whole production cell [27.95]. The main feature in this type of applications is the almost exclusive use of the Internet as the communication channel between the local and remote stations. Due to its ubiquitous characteristic these applications are becoming increasingly frequent.

27.5 Conclusion and Trends Teleoperation is a highly topical subject with great potential for expansion in its scientific and technical development as well as in its applications. The development of new wireless communication systems and the diffusion of global communication networks, such as the Internet, can tremendously facilitate the implementation of teleoperation systems. Nevertheless, at the same time, these developments give rise to new problems such as real-time requirements, delays in signal transmission, and loss of information. Research into new control algorithms that guarantee stability even with variable delays constitutes an answer to some of these problems. On the other hand, the creation of new networks, such as the Internet2, that can guarantee a quality of service can help considerably to solve the real-time necessities of teleoperated systems. The information that the human operator receives about what is happening at the remote station is es-

sential for good execution of teleoperated tasks. In this regard, new techniques and devices are necessary in order to facilitate immersion of the human operator in the task that he/she is carrying out. Virtual-reality, augmented-reality, haptics, and 3-D vision systems are key elements for this immersion. The function of the human operator can also be greatly facilitated by aids to teleoperation. These aids, such as relational positioning, virtual guides, collision avoidance methods, and operation planning, can help the construction of efficient teleoperation systems. An outstanding challenge is dexterous telemanipulation, which requires the coordination of multiple degrees of freedom and the availability of complete sensorial information. The fields of application of teleoperation are multiple nowadays, and will become even more vast in the future, as research continues to outline new solutions to the aforementioned challenges.

Teleoperation

References

27.1 27.2

27.3

27.4 27.5

27.6

27.7

27.8

27.9

27.10

27.11

27.12

27.13

27.14

27.15

27.16

T. Sheridan: Telerobotics, Automation and Human Supervisory Control (MIT Press, Cambridge 1992) ˜ o, A. Rodríguez, L. Basan ˜ ez: Force reflectE. Nun ing teleoperation via IPv6 protocol with geometric constraints haptic guidance. In: Advances in Telerobotics, STAR, Vol. 31 (Springer, Berlin, Heidelberg 2007) pp. 445–458 R.J. Anderson, M.W. Spong: Bilateral control of teleoperators with time delay, IEEE Trans. Autom. Control 34(5), 494–501 (1989) G. Niemeyer, J.J.E. Slotine: Stable adaptive teleoperation, IEEE J. Ocean. Eng. 16(1), 152–162 (1991) P. Arcara, C. Melchiorri: Control schemes for teleoperation with time delay: A comparative study, Robot. Auton. Syst. 38, 49–64 (2002) P.F. Hokayem, M.W. Spong: Bilateral teleoperation: An historical survey, Automatica 42, 2035–2057 (2006) R. Ortega, N. Chopra, M.W. Spong: A new passivity formulation for bilateral teleoperation with time delays, Proc. CNRS-NSF Workshop: Advances in time-delay systems (Paris, 2003) ˜ o, L. Basan ˜ ez, R. Ortega: Passive bilateral E. Nun teleoperation framework for assisted robotic tasks, Proc. IEEE Int. Conf. Robot. Autom. (Rome, 2007) pp. 1645–1650 S. Munir, W.J. Book: Control techniques and programming issues for time delayed internet based teleoperation, ASME J. Dyn. Syst. Meas. Control 125(2), 205–214 (2004) S.E. Salcudean, M. Zhu, W.-H. Zhu, K. HashtrudiZaad: Transparent bilateral teleoperation under position and rate control, Int. J. Robot. Res. 19(12), 1185–1202 (2000) N. Chopra, M.W. Spong, R. Ortega, N. Barbanov: On tracking performance in bilateral teleoperation, IEEE Trans. Robot. 22(4), 844–847 (2006) T. Namerikawa, H. Kawada: Symmetric impedance matched teleoperation with position tracking, Proc. 45th IEEE Conf. Decis. Control (San Diego, 2006) pp. 4496–4501 ˜ o, R. Ortega, N. Barabanov, L. Basan ˜ ez: A E. Nun globally stable proportional plus derivative controller for bilateral teleoperators, IEEE Trans. Robot. 24(3), 753–758 (2008) R. Lozano, N. Chopra, M.W. Spong: Convergence analysis of bilateral teleoperation with constant human input, Proc. Am. Control Conf. (New York 2007) pp. 1443–1448 R. Lozano, N. Chopra, M.W. Spong: Passivation of force reflecting bilateral teleoperators with time varying delay, Proc. Mechatron. Conf. (Entschede 2002) N. Chopra, M.W. Spong: Adaptive synchronization of bilateral teleoperators with time delay. In:

27.17

27.18

27.19 27.20

27.21 27.22

27.23

27.24

27.25

27.26

27.27

27.28

27.29

27.30

27.31

Advances in Telerobotics, STAR, Vol. 31 (Springer, Berlin, Heidelberg 2007) pp. 257–270 C. Secchi, S. Stramigioli, C. Fantuzzi: Variable delay in scaled port-Hamiltonian telemanipulation, Proc. 8th Int. IFAC Symp. Robot Control (Bologna 2006) M. Boukhnifer, A. Ferreira: Wave-based passive control for transparent micro-teleoperation system, Robot. Auton. Syst. 54(7), 601–615 (2006) P. Loshin: IPv6, Theory, Protocol, and Practice, 2nd edn. (Morgan Kaufmann, San Francisco 2003) W.R. Sherman, A. Craig: Understanding Virtual Reality. Interface, Application and Design (Morgan Kaufmann, San Francisco 2003) R. Azuma: A survey of augmented reality, Presence Teleoper. Virtual Environ. 6(4), 355–385 (1997) M. Inami, N. kawakami, S. Tachi: Optical camouflage using retro-reflective projection technology, Proc. Int. Symp. Mixed Augment. Real. (Tokyo 2003) pp. 18–22 R. Azuma, Y. Baillot, R. Behringer, S. Feiner, S. Julien, B. MacIntyre: Recent advances in augmented reality, IEEE Comp. Graphics Appl. 21(6), 34–47 (2001) A. Rastogi, P. Milgram, J. Grodski: Augmented telerobotic control: A visual interface for unstructured environments, Proc. KBS/Robot. Conf. (Montreal 1995) A. Kron, G. Schimdt, B. Petzold, M. Zäh, P. Hinterseer, E. Steinbach: Disposal of explosive ordnances by use of a bimanual haptic system, Proc. IEEE Int. Conf. Robot. Autom. (New Orleans 2004) pp. 1968– 1973 A. Ansar, D. Rodrigues, J. Desai, K. Daniilidis, V. Kumar, M. Campos: Visual and haptic collaborative tele-presence, Comput. Graph. 25(5), 789–798 (2001) B. Dejong, E. Faulring, E. Colgate, M. Peshkin, H. Kang, Y. Park, T. Erwing: Lessons learned froma novel teleoperation testbed, Ind. Robot: Int. J. 33(3), 187–193 (2006) Y. Xiong, S. Li, M. Xie: Predictive display and interaction of telerobots based on augmented reality, Robotica 24, 447–453 (2006) S. Otmane, M. Mallem, A. Kheddar, F. Chavand: Active virtual guides as an apparatus for augmented reality based telemanipulation system on the internet, Proc. 33rd Annu. Simul. Symp. (Washington 2000) pp. 185–191 J. Gu, E. Auguirre, P. Cohen: An augmented reality interface for telerobotic applications, Proc. Workshop Appl. Comput. Vis. (Orlando 2002) pp. 220–224 ˜ ez, E. Celaya: A Relational A. Rodríguez, L. Basan Positioning Methodology for Robot Task Specifi-

Part C 27

References

465

466

Part C

Automation Design: Theory, Elements, and Methods

Part C 27

27.32

27.33

27.34

27.35

27.36

27.37

27.38

27.39

27.40

27.41

27.42

27.43 27.44

27.45

27.46

27.47

cation and Execution, IEEE Trans. Robot. 24(3), 600–611 (2008) Y.H. Liu: Computing n-finger form-closure grasps on polygonal objects, Int. J. Robot. Res. 19(2), 149– 158 (2000) D. Ding, Y. Liu, S. Wang: Computation of 3-D formclosure grasps, IEEE Trans. Robot. Autom. 17(4), 515–522 (2001) M. Roa, R. Suárez: Finding locally optimum forceclosure grasps, Robot. Comput.-Integr. Manuf. 25, 536–544 (2009) `, R. Suárez, R. Carloni, C. Melchiorri: Dual J. Cornella programming based approach for optimal grasping force distribution, Mechatronics 18(7), 348–356 (2008) T.B. Martin, R.O. Ambrose, M.A. Diftler, R. Platt, M.J. Butzer: Tactile gloves for autonomous grasping with the NASA/DARPA Robonaut, Proc. IEEE Int. Conf. Robot. Autom. (New Orleans 2004) pp. 1713–1718 M. Bergamasco, A. Frisoli, C. A. Avizzano: Exoskeletons as man–machine interface systems for teleoperation and interaction in virtual environments. In: Advances in Telerobotics STAR Ser., Vol. 31 (Springer, New York 2007) pp. 61–76 S.B. Kang, K. Ikeuchi: Grasp recognition using the contact web, Proc. IEEE/RSJ Int. Conf. Intell. Robots Syst. (Raleigh 1992) pp. 194–201 R.L. Feller, C.K.L. Lau, C.R. Wagner, D.P. Pemn, R.D. Howe: The effect of force feedback on remote palpation, Proc. IEEE Int. Conf. Robot. Autom. (New Orleans 2004) pp. 782–788 M. Benali-Khoudja, M. Hafez, J.M. Alexandre, A. Kheddar: Tactile interfaces: a state-of-the-art survey, Proc. 35th Int. Symp. Robot. (Paris 2004) pp. 721–726 T. Wotjara, K. Nonami: Hand posture detection by neural network and grasp mapping for a master– slave hand system, Proc. IEEE/RSJ Int. Conf. Intell. Robots Syst. (Sendai 2004) pp. 866–871 M. Roa, R. Suárez: Independent contact regions for frictional grasps on 3-D objects, Proc. IEEE Int. Conf. Robot. Autom. (Pasadena 2008) K.B. Shimoga: Robot grasp synthesis algorithms: a survey, Int. J. Robot. Res. 15(3), 230–266 (1996) R. Suárez, P. Grosch: Mechanical hand MA-I as experimental system for grasping and manipulation, Video Proc. IEEE Int. Conf. Robot. Autom. (Barcelona 2005) A. Iborra, J.A. Pastor, B. Alvarez, C. Fernandez, J.M. Fernandez: Robotics in radioactive environments, IEEE Robot. Autom. Mag. 10(4), 12–22 (2003) W. Book, L. Love: Teleoperation telerobotics telepresence. In: Handbook of Industrial Robotics, 2nd edn. (Wiley, New York 1999) pp. 167–186 R. Aracil, M. Ferre: Telerobotics for aerial live power line maintenance. In: Advances in Telerobotics, STAR Ser., Vol. 31, (Springer, Berlin, Heidelberg 2007) 459–469

27.48

27.49

27.50 27.51

27.52

27.53

27.54

27.55

27.56

27.57

27.58

27.59

27.60 27.61

27.62

27.63

J.H. Dunlap, J.M. Van Name, J.A. Henkener: Robotic maintenance of overhead transmission lines, IEEE Trans. Power Deliv. 1(3), 280–284 (1986) R. Aracil, M. Ferre, M. Hernando, E. Pinto, J.M. Sebastian: Telerobotic system for live-power line maintenance: ROBTET, Control Eng. Prac. 10(11), 1271–1281 (2002) C.T. Haas, Y.S. Ki: Automation in infrastructure construction, Constr. Innov. 2, 191–210 (2002) Y. Hiramatsu, T. Aono, M. Nishio: Disaster restoration work for the eruption of Mt Usuzan using an unmanned construction system, Adv. Robot. 16(6), 505–508 (2002) A.M. Lytle, K.S. Saidi, R.V. Bostelman, W.C. Stones, N.A. Scott: Adapting a teleoperated device for autonomous control using three-dimensional positioning sensors: experiences with the NIST RoboCrane, Autom. Constr. 13, 101–118 (2004) A.J. Kwitowski, W.D. Mayercheck, A.L. Brautigam: Teleoperation for continuous miners and haulage equipment, IEEE Trans. Ind. Appl. 28(5), 1118–1125 (1992) G. Baiden, M. Scoble, S. Flewelling: Robotic systems development for mining automation, Bull. Can. Inst. Min. Metall. 86.972, 75–77 (1993) J.C. Ralston, D.W. Hainsworth, D.C. Reid, D.L. Anderson, R.J. McPhee: Recent advances in remote coal mining machine sensing, guidance, and teleoperation, Robotica 19(4), 513–526 (2001) A.J. Park, R.N. Kazman: Augmented reality for mining teleoperation, Proc. of SPIE Int. Symp. Intell. Syst. Adv. Manuf. – Telemanip. Telepresence Technol. (1995) pp. 119–129 T.J. Nelson, M.R. Olson: Long delay telecontrol of lunar mining equipment, Proc. 6th Int. Conf. Expo. Eng., Constr., Oper. Space, ed. by R.G. Galloway, S. Lokaj (Am. Soc. Civ. Eng., Reston 1998) pp. 477– 484 N. Wilkinson: Cooperative control in teleoperated mining environments, 55th Int. Astronaut. Congr. Int. Astronaut. Fed., Int. Acad. Astronaut. Int. Inst. Space Law (Vancouver 2004) P. Ridao, M. Carreras, E. Hernandez, N. Palomeras: Underwater telerobotics for collaborative research. In: Advances in Telerobotics, STAR Ser., Vol. 31 (Springer, Berlin, Heidelberg 2007) pp. 347– 359 S. Harris, R. Ballard: ARGO: Capabilities for deep ocean exploration, Oceans 18, 6–8 (1986) M. Fontolan: Prestige oil recovery from the sunken part of the Wreck, PAJ Oil Spill Symp. (Petroleum Association of Japan, Tokyo 2005) G. Antonelli (Ed.): Underwater Robots: Motion and Force Control of Vehicle–Manipulator Systems (Springer, Berlin 2003) C. Canudas-de-Wit, E.O. Diaz, M. Perrier: Robust nonlinear control of an underwater vehicle/manipulator system with composite dynamics,

Teleoperation

27.65 27.66

27.67

27.68

27.69

27.70

27.71

27.72

27.73

27.74

27.75

27.76

27.77

27.78

27.79

27.80 27.81

27.82

27.83

27.84

27.85

27.86

27.87

27.88

27.89

27.90

27.91 27.92

27.93

M. Li, A. Kapoor, R.H. Taylor: Telerobotic control by virtual fixtures for surgical applications. In: Advances in Telerobotics, STAR, Vol. 31 (Springer, Berlin, Heidelberg 2007) pp. 381–401 A. Smith, J. Smith, D.G. Jayne: Telerobotics: surgery for the 21st century, Surgery 24(2), 74–78 (2006) M. Topping: An Overview of the development of Handy 1, a rehabilitation robot to assist the severely disabled, J. Intell. Robot. Syst. 34(3), 253–263 (2002) C. Balaguer, A. Giménez, A. Jardón, R. Correal, S. Martínez, A.M. Sabatini, V. Genovese: Proprio, teleoperation of a robotic system for disabled persons’ assistance in domestic environments. In: Advances in Telerobotics, STAR, Vol. 31 (Springer, Berlin, Heidelberg 2007) pp. 415–427 ˜ eco: User voice asO. Reinoso, C. Fernández, R. N sistance tool for teleoperation. In: Advances in Telerobotics, STAR Ser., Vol. 31 (Springer, Berlin, Heidelberg 2007) pp. 107–120 K. Kawamura, M. Iskarous: Trends in service robots for the disabled and the elderly, Proc. IEEE/RSJ/GI Int. Conf. Intell. Robots Syst. (Munich 1994) pp. 1647–1654 G. Pires, U. Nunes: A wheelchair steered through voice commands and assisted by a reactive fuzzy logic controller, J. Intell. Robot. Syst. 34(3), 301–314 (2002) P. Hoppenot, E. Colle: Human-like behavior robotapplication to disabled people assistance, Proc. IEEE Int. Conf. Syst., Man, Cybern. (Soc. Syst. Man Cyber., Nashville 2000) pp. 155–160, . M.E. Pollack, S. Engberg, J.T. Matthews, S. Thrun, L. Brown, D. Colbry, C. Orosz, B. Peintner, S. Ramakrishnan, J. Dunbar-Jacob, C. McCarthy, M. Montemerlo, J. Pineau, N. Roy: Pearl: A mobile robotic assistant for the elderly, AAAI Workshop Autom. Eldercare (Alberta 2002) T. Wojtara, K. Nonami, H. Shao, R. Yuasa, S. Amano, D. Waterman, Y. Nobumoto: Hydraulic master– slave land mine clearance robot hand controlled by pulse modulation, Mechatronics 15, 589–609 (2005) K. Kato, S. Hirose: Development of the quadruped walking robot, TITAN-IX–mechanical design concept and application for the humanitarian demining robot, Adv. Robot. 15(2), 191–204 (2001) P. Gonzalez de Santos, E. Garcia, J.A. Cobano, A. Ramirez: SILO6: A six-legged robot for humanitarian demining tasks, Proc. 10th Int. Symp. Robot. Appl. World Autom. Congr. (2004) J.-D. Nicoud: Vehicles and robots for humanitarian demining, Ind. Robot 24(2), 164–168 (1997) M.K. Habib: Humanitarian demining: reality and the challenge of technology – the state of the arts, Int. J. Adv. Robot. Syst. 4(2), 151–172 (2007) C.S. Tzafestas, N. Palaiologou, M. Alifragis: Virtual and remote robotic laboratory: comparative

467

Part C 27

27.64

Proc. IEEE Int. Conf. Robot. Autom. (Leuven 1998) pp. 452–457 M. Lee, H-S. Choi: A robust neural controller for underwater robot manipulators, IEEE Trans. Neural Netw. 11(6), 1465–1470 (2000) J. Kumagai: Swimming to Europe, IEEE Spectrum 44(9), 33–40 (2007) L. Pedersen, D. Kortenkamp, D. Wettergreen, I. Nourbakhsh: A survey of space robotics, Proc. 7th Int. Symp. Artif. Intell., Robot. Autom. Space (Nara 2003) F. Doctor, A Glas, Z. Pronk: Mission preparation support of the European Robotic Arm (ERA), National Aerospace Laboratory report NLR-TP2002-650 (Netherlands 2003) S. Roderick, B. Roberts, E. Atkins, D. Akin: The ranger robotic satellite servicer and its autonomous software-based safety system, IEEE Intell. Syst. 19(5), 12–19 (2004) W. Bluethmann, R. Ambrose, M. Diftler, S. Askew, E. Huber, M. Goza, F. Rehnmark, C. Lovchik, D. Magruder: Robonaut: A robot designed to work with humans in spaces, Auton. Robots 14, 179–197 (2003) G. Hirzinger, B. Brunner, K. Landzettel, N. Sporer, J. Butterfass, M. Schedl: Space Robotics – DLR’s telerobotic concepts, lightweight arms and articulated hands, Auton. Robots 14, 127–145 (2003) S.E. Fredrickson, S. Duran, J.D. Mitchell: Mini AERCam inspection robot for human space missions, AIAA Space 2004 Conf. Exhib. (San Diego 2004) T. Imaida, Y. Yokokohji, T. Doi, M. Oda, T. Yoshikawa: Ground–space bilateral teleoperation of ETS-VII robot arm by direct bilateral coupling under 7-s time delay condition, IEEE Trans. Robot. Autom. 20(3), 499–511 (2004) R.A. Lindemann, D.B. Bickler, B.D. Harrington, G.M. Ortiz, C.J. Voorhees: Mars exploration rover mobility development, IEEE Robot. Autom. Mag. 13(2), 19–26 (2006) G.A. Landis: Robots and humans: synergy in planetary exploration, Acta Astronaut. 55(12), 985–990 (2004) J.W. Hill, P.S. Green, J.F. Jensen, Y. Gorfu, A.S. Shah: Telepresence surgery demonstration system, Proc. IEEE Int. Conf. Robot. Autom. (IEEE Computer Society, San Diego 1994) pp. 2302–2307 J. Marescaux, J. Leroy, M. Gagner, F. Rubino, D. Mutter, M. Vix, S.E. Butner, M. Smith: Transatlantic robot-assisted telesurgery, Nature 413, 379–380 (2001) S.E. Butner, M. Ghodoussi: A real-time system for tele-surgery, Proc. 21st Int. Conf. Distrib. Comput. Syst. (IEEE Computer Society, Washington 2001) pp. 236–243 G.S. Guthart, J.K. Jr. Salisbury: The Intuitive telesurgery system: overview and application, Proc. IEEE Int. Conf. Robot. Autom. (San Francisco 2000) pp. 618–621

References

468

Part C

Automation Design: Theory, Elements, and Methods

Part C 27

27.94

experimental evaluation, IEEE Trans. Educ. 49(3), 360–369 (2006) ˜ ez: Proyecto X. Giralt, D. Jofre, R. Costa, L. Basan de Laboratorio Remoto de Automática: Objetivos y Arquitectura Propuesta, III Jornadas de Trabajo ˜ anza vía Internet/Web de la InEIWISA 02, Ensen

27.95

geniería de Sistemas y Automática (Alicante, 2002) pp. 93–98, in Spanish M. Alencastre, L. Munoz, I. Rudomon: Teleoperating robots in multiuser virtual environments, Proc. 4th Mexican Int. Conf. Comp. Sci. (Tlaxcala 2003) pp. 314–321

469

Distributed A

28. Distributed Agent Software for Automation

Agent-based software and hardware technologies have emerged as a major approach to organize and integrate distributed elements of complex automation. As an example, this chapter focuses on a particular situation. Composite curing, a rapidly developing industry process, generates high costs when not properly controlled. Curing autoclaves require tight control over temperature that must be uniform throughout the curing vessel. This chapter discusses how agent-based software is being implemented into the curing process by pushing control logic down to the lowest level of the control hierarchy into the process controller, i. e., the programmable logic controller (PLC). The chapter also discusses how the benefits of process survivability, diagnostics, and dynamic reconfiguration are achieved through the use of autoclave and thermocouple intelligent agents.

A general introduction and overview of agent-based automation can be found in the additional reading listed. Autoclave curing is vital to the production and support of many industries. In fact, there are so many industries that are dependent upon autoclave curing that improvements to curing production controls would lend a competitive advantage to the corporation that could deliver these improvements. While the focus of this particular work is directed predominately towards the production of composite materials, there are many other processes that could benefit from this work, with almost no differences in terms of the autoclave curing controls. This is especially true for the following products that require autoclave curing: composite materials, polymers, rubber, concrete products (e.g., sand-lime brick, asbestos, hydrous calcium silicate, cement (steam curing)), tobacco, textiles, electrical and electronics

28.1 Composite Curing Background ............... 471 28.2 Industrial Agent Architecture ................. 473 28.2.1 Agent Design and Partitioning Perspective........ 474 28.2.2 Agent Tool ................................. 475 28.3 Building Agents for the Curing System.... 475 28.4 Autoclave and Thermocouple Agents ...... 477 28.4.1 Autoclave Agent ......................... 477 28.4.2 Thermocouple Agent................... 478 28.5 Agent-Based Simulation ....................... 478 28.6 Composite Curing Results and Recommendations ......................... 28.6.1 Designing the Validation System .. 28.6.2 Modeling Process Dynamics ......... 28.6.3 Timing and Stability Criteria ........

480 480 481 484

28.7 Conclusions .......................................... 484 28.8 Further Reading ................................... 484 References .................................................. 485

products (e.g., printed circuit boards (PCBs), ceramic substrates) chemical, medical/pharmaceutical products, wood and building products, metallurgical products, tire rethreading, glass laminating, and aircraft/aerospace products. Much work has recently been reported for new curing methods and autoclave curing devices. This is one indication that there is a trend towards researching and developing new and better ways of curing materials. This research and development (R&D) has increased to meet market demands and technical papers regarding materials curing is proliferating. Market research studies also support the notion that there is increased demand for composite materials and that this trend will continue in the future. With increased demand comes a need for increased efficiencies in the curing production process. Composite material manufacturers, aerospace manufacturers, and manufacturers of other

Part C 28

Francisco P. Maturana, Dan L. Carnahan, Kenwood H. Hall

470

Part C

Automation Design: Theory, Elements, and Methods

Part C 28

materials that require curing are increasing their capital expenditure budgets for the development and/or acquisition of improved autoclave manufacturing capabilities to meet this demand head-on. Composite curing is accomplished through the proper application of heating and cooling to composite material inside autoclaves or automated ovens. Depending upon the type of composite material or the application of the composite material, a different set of curing parameters is used to control the curing process. Composite materials are cured under very stringent specifications, especially for materials that are used for aerospace applications. If composites are cured at a temperature that is too high, the material could become brittle and will be susceptible to breaking. If cured at a temperature that is too low, the material may not bond correctly and will eventually come apart. The specifications that govern the curing process for composite materials are called recipes or profiles. Recipes/profiles differ slightly for an autoclave that has convection heaters versus an autoclave that has gas heaters. Likewise, an autoclave that has cryogenic coolers versus an autoclave that is air-cooled or fan-cooled would have slightly different control parameters within its control recipe. In the case of autoclave operations there are, generally, three profiles used to control the composite curing process: temperature, pressure, and vacuum. Of these three, temperature is the most important and the most difficult to control. As seen in Fig. 28.1, six separate periods control the temperature within the curing autoclave. Temperature increases and decreases are ramped up or down according to a particular rate of change (i. e., a slope) that is also regulated within the specification. Temperature profile Temperature (°C) 400 350 300 250 200 150 100 50 0

0

27 53 79 105 131 157 183 209 235 261 287 Time (min)

Fig. 28.1 Typical autoclave temperature profile

State-of-the-art systems use centralized material management control loops to guide the curing activity through a prescribed set of thermal, pressure, and vacuum profiles. Controlling autoclave operations so that they conform to thermal profiles is the main concern of the system and, hence, dictates the requirements for system control. For a composite material to bond properly, temperature throughout an autoclave must be maintained at a very specific level. Arrays of thermocouples provide temperature readings back to the control program through output data cards and are used to control the operation of heaters and, sometimes, coolers. One of the challenges of controlling temperature within the autoclave chamber is to keep it within a range of temperature values even when the temperature must be modified several times during the production process (as shown in Fig. 28.1). The nonlinear behaviors of a temperature profile are monitored by a lead thermocouple that is designated based upon its position in the autoclave, the type of material (or materials) being cured, chamber idiosyncrasies, and other production variables. Whichever thermocouple is selected as the lead sensor, the feedback temperature data from that sensor will not be allowed to exceed the temperature profile temperature during heating operations. During the period of time when the thermal profile specifies a decrease in temperature (i. e., cooling operations), the lead thermocouple is usually used to determine the minimum temperature that will be allowed to occur. Naturally, for this type of control to be effective, network connectivity is critical to maintain high-level material state control. If a lead thermocouple disconnects from the composite material while the composite is being cured, the disconnection must be detected as soon as possible, and another thermocouple must be selected by the software to act as the lead. The former lead thermocouple is ignored from that point forward while the curing process continues. For cooling operations, the thermocouple that is used to control the cooling is actually called the lag thermocouple and temperatures are not allowed to go below that of the lag sensor. On the surface of this control application, it does not seem that the requirements would be difficult to accomplish through ordinary ladder logic routines. However, there is a commercial issue that takes precedence over the control issue. Millions of dollars of composite materials could be lost during one imperfect curing process run. The survivability of the curing session must be ensured with greater probability despite perturbations in the production process.

Distributed Agent Software for Automation

issues, which exacerbate the problem of maintaining a robust system for the whole duration of the process. Current trends in industry and industrial techniques show a strong tendency to move away from centralized supervisory models. The endeavor in this work is to show a new technique to cope with the issues above. A programmable logic controller (PLC) can be designed with agent capabilities to enable for advanced reasoning at the controller level. Agents are software components that encapsulate physical equipment knowledge (rules) and properties in the form of capabilities, behaviors, and procedures [28.1–3]. The capabilities express the type of functions that an agent contributes to the well-being of the system. Each capability is a construct of behaviors. Moreover, each behavior is made of sequentially organized procedures. In the example, the agents are seamless integrated with the control algorithm inside the PLC. The fundamental technical milestone is to eliminate the connectivity problem, and to augment the reconfiguration of the control system by moving the condition monitoring capabilities closer to the physical process, into the PLC. The PLC is the core of the control operation and therefore these devices have been widely adopted in industrial automation with the necessary redundancy to prevent losses. The intention is to show fundamental aspects of the agent-based control technology to solve a particular composite curing application. The technology discussed is intended for general use; it is possible to use the same infrastructure to solve different applications. The discussion will begin with a little bit of background about curing technology. Then, industrial agent technology will be discussed. The chapter concludes with an example and discussion of results on how to model the curing application with intelligent agents.

28.1 Composite Curing Background There are several markets in which large growth in demand for autoclave cured products and materials is forecast. The carbon fiber composites market has been experiencing a growth of 12% during the last 23 years and is expected to grow to US$ 12.2 billion by 2011 [28.4, 5]. Carbon fiber reinforced thermoplastics will be improved by 37% between 2006 and 2010 [28.6]. The opportunities for continuous fiber reinforced thermoplastic composites are likewise increasing

at a strong rate of growth. During 2002 alone, the growth rate was 93% [28.7, 8]. The aircraft maker Airbus has projected increases of thermoplastic composite use of 20% per year. The natural rubber market is also seeing higher demands for its products. Rubber products have the second largest need for autoclave curing production improvements. Higher demands for natural rubber products are largely due to the spectacular economic growth

471

Part C 28.1

Classical control methods suffice to monitor and control the thermal process within the autoclaves. Although the curing activity is performed in a controlled environment, there are dynamic perturbations that affect the thermocouples, which could generate unsatisfactory results, provoking complete rejection of an expensive piece of composite material. Perturbations of interest relate to potential malfunctioning in the thermocouple themselves. A malfunctioning thermocouple may appear healthy on visual inspection but its internal operations may be faulty. This is the case when thermocouples generate bogus readings. This type of problem is very difficult to detect offline and is not detected until the curing process has undergone several steps. Classical control programs that reside in the controller have limitations in terms of enabling enough reasoning to detect such problems early. Another type of problem occurs when thermocouples detach from the material during curing. The autoclave is a sealed controlled environment that cannot be easily interrupted to reattach the sensors. The controller must be capable of reacting to the failing reader by performing corrective actions on the fly without disrupting operation. A viable solution to the problem above is to augment the reasoning capability of the control system with more sophisticated reasoning algorithms. These augmented algorithms follow the process to generate a model from it. Condition monitoring rules can then be added to detect malfunctioning sensors. Typically, in industrial implementations, such advanced capabilities are placed in the personal computer (PC) level. A PC workstation is added to supervise the control system. This approach converts the solution into a centralized system. A centralized system suffers from other problems such as single point of failure and connectivity

28.1 Composite Curing Background

472

Part C

Automation Design: Theory, Elements, and Methods

Part C 28.1

in the People’s Republic of China (PRC) and greater demand for consumer as well as industrial products. Production levels just within Thailand have risen from 1.805 million tons in 1995 to 2.990 million tons in 2005. Actual production exceeded 1995 demand projections by 6.25% in 2000 and 40.05% in 2005. By 2010, it is expected that 3.148 million tons of natural rubber will have to be produced. This will be more than 63% than was originally thought to be needed in 1995. There have been many technical and scientific papers published recently that address many different methods of curing within an autoclave. In 2004, Salagnac et al. sought to improve the curing process through heat exchanges that were mainly free convection and radiation within a narrow-diameter autoclave [28.9, 10]. Transient thermal modeling of in situ curing during the tape winding of composite cylinders was attempted and successfully executed by Kim et al. at the University of Texas at Austin in 2002 [28.11]. Chang et al. studied the optimal design of the cure cycle for the consolidation of thick composite laminates in 1996 at the National ChengKung University in Taiwan [28.12]. Smart autoclave processing of thermoset resin matrix composites that were based upon temperature and internal strain monitoring was studied by Jinno et al. in 2003 [28.13]. Their work looked at the control of temperature ramp rate so that the peak temperature predicted by Springer’s thermochemical model is kept below an allowable value. Cure completion was determined by a cure rate equation, and internal strain monitoring with embedded optical-fiber sensors [28.13]. In 2003, a report was performed by Mawardi and Pitchumani at the University of Connecticut [28.14]. Their work was depicted as the development of optimal temperature and current cycles for the curing of composites using embedded resistive heating elements. Heating and cooling was controlled internal to the materials rather than through external heating and cooling equipment. To get to a better understanding of the curing process Thomas et al. performed experimental characterizations of autoclave-cured glass–epoxy composite laminates at Washington University in St. Louis, MO [28.15]. From the results of over 100 experimental autoclave curing runs in 1996, the study team sought to verify shrinking horizon model predictive control (SHMPC) to predict and control the thickness and void content of their composite materials. At the Korea Advanced Institute of Science and Technology, Kim and

Lee reported the results from their experiments with composites to reduce fabrication thermal residue stress of hybrid co-cured structures through the use of dielectrometry [28.16]. Ghasemi-Nejhad reported in 2005 his method of manufacturing and testing of active composite panels with embedded piezoelectric sensors and actuators [28.17]. The embedded sensors and actuators were used to minimize the problems associated with layering composites such as voids and weak bonds. Pantelelis et al. of the National Technical University of Athens presented a computer simulation tool in 2004 that was coupled with a numerical optimization method developed for use in the optimal design of cure cycles in the production of thermoset-matrix composite parts [28.18]. The presenters, Georg et al. from Boeing, Madsen and Teng from Northrup Grumman, and Courdji from Convergent Manufacturing, discussed the exploration of composites processing and producibility by analysis [28.19]. The discussion centered predominately on accelerated insertion of materials-composites (AIM-C) processing and producibility modules and the results that could be achieve by linking it with the robust design computation system (RDCS). AIM-C was being conducted jointly by the Naval Air Systems Command (NASC) and the Defense Advance Research Projects Agency (DARPA). In particular, AIM-C sought to significantly reduce the time and cost of inserting new materials. For more than 10 years, the University of Delaware has been formulating advanced curing controls in its Department of Chemical Engineering and Center for Composite Materials. A team consisting of Pillai, Beris, and Dhurjati developed an expert system tool in 1997 to operate an autoclave for the intelligent curing of composite materials [28.20]. At the same facility in 2002, Michaud et al. developed a robust, simulation-based optimization and control method methodology to identify and implement the optimal curing conditions for thick-sectioned resin transfer molding (RTM) composite manufacturing [28.21]. To get better validation results for the curing process, Arms et al. developed a technique for remote powering and bidirectional communication with a network of micro-miniature, multichannel addressable sensing modules [28.22]. Use of the embedded sensors sought to eliminate the damage that is commonly incurred when removing thermocouples from composite materials in the post-curing phase of production. Uryasev and Trindade also studied methods to achieve better validation results and settled on a method combining an

Distributed Agent Software for Automation

Commercial interests have been developing other methods and products to supplement the curing controls that have already been put into use in the factory. The Blair Rubber Company of Seville, OH has developed very precise process specifications for vulcanizing rubber products in curing autoclaves. Multichannel communications modules are in common use with thermocouples. In particular, Data Translation, Inc. has universal serial bus (USB) thermocouple measurement modules that can monitor several thermocouple devices simultaneously. Flexible thermocouple management programs have been developed to minimize the costs associated with thermocouple wear and tear. Thermocouples are reworked and/or recalibrated and returned to service through this type of program. Other thermocouple management programs are involved with manual and automatic device slaving, intelligent thermocouples that protect against ground fault current, automatic compensation, and automatic swapping of lead thermocouples [28.26–30]. Even the design and manufacture of thermocouples has become specialized. Customized wiring is provided within thermocouples by manufacturers depending upon the industrial application and/or the environment in which the sensors will be placed. Within autoclave-based composite applications, there are at least seven types of thermocouples.

28.2 Industrial Agent Architecture Industrial agents have been designed to execute on programmable logic controllers (PLCs). The agents are built on workstations, compiled, and then downloaded to the PLCs [28.31–33]. The downloading of the agents is directed by central management software that executes an agent assignment script. A decision was made to expand the PLC firmware to enable for the programming of advanced decision-making engines. The agents have three main parts: 1. Reasoning 2. Data table interface 3. Execution control. The first part is the actual brain of the agent. The brain is composed of behaviors, which execute as needed, as prescribed in the process operations. Dynamically generated events trigger the execution of behaviors in the agent to follow a particular sequence of

procedures. An industrial agent is essentially reactive, but its software infrastructure permits the incorporation of proactive behaviors. The data table interface serves as the repository of control and agent data. The reasoning part sets values in the data table to estimable the control loops. The control part is the control execution level. This part is in charge of executing control loops in a periodic or continuous fashion to maintain steady-state conditions during the execution of the operations. As shown in Fig. 28.2, a hierarchical library of interrelated agents represents the composite curing application. Each node of the library corresponds to an agent of a given type such as a thermocouple or autoclave. Each agent will expose a set of user-configurable attributes to give it a personality and operational ranges. The interagent communication is based on the FIPA (Foundation for Intelligent Physical Agents) [28.34] language specification. The content of the agent message is written in a job description language

473

Part C 28.2

analytical model and experimental test data for optimal determination of failure tolerance limits [28.23]. Alternative curing schemes are also being developed. Electron beam (EB) curing is a technique that researchers at Acsion in Manitoba, Canada say could have a massive impact in the aerospace and defense industries, especially for the composite materials used in aircraft wings [28.24]. In electron beam curing, the incoming high-speed electrons knock off other electrons in the polymer resin, causing the material to cure. X-rays generated from the high-energy beams can penetrate even deeper into the composite, yielding a uniform cure in material several centimeters thick. The process takes only minutes compared with hours for conventional autoclave curing. Eight independent studies have shown potential manufacturing cost savings of 26–65% for prototyping alone. This could rise to as much as 90–95% [28.24]. The National Aeronautics and Space Administration (NASA) encouraged the advancement of the EB curing process through a small business innovation research (SBIR) grant awarded to Science Research Laboratory (SRL), Inc. As a result of the work conducted, a new curing method was produced that used automated tape placement with the electron beam. The new method is known as in situ electron beam curing and the SRL-devised system is being utilized at the NASA Marshall Space Flight Center [28.25].

28.2 Industrial Agent Architecture

474

Part C

Automation Design: Theory, Elements, and Methods

Hierarchical structure

PLCs

Part C 28.2

Talks IEEE-FIPA over Ethernet/IP and ControlNet Data table holds I/0 symbols

Reasoning C2+/Java

Composite curing system Curing Autoclave01 Cooler Heater

Agent

Attributes TC07... TC08... TC09... TC10... TC11... TC12... TC13... TC14... TC15...

Interface

Talks common information protocol (CIP) IEC-61131

Fig. 28.2 Industrial agent components. CIP – common information protocol

(JDL) [28.31–33]. The industrial platform is based on Rockwell Automation’s PLCs and NetLinx networking.

28.2.1 Agent Design and Partitioning Perspective The benefits of using agents can be observed in three main technological aspects: 1. System design 2. Upgrading 3. Runtime. For an appropriate system design technique, the effects of changing components (adding, removing, and altering) must be measured. The agent technology provides new techniques to design truly distributed systems by using libraries of functionality where the designers specify the components and their corresponding behaviors in generic terms. The libraries of functionality augment the system design capabilities by eliminating the need to redesign the whole system when changes are made. System upgradability is traditionally a difficult task in industrial systems due to the hard-coupled dependencies among the controlled components (tightly coupled systems). In a nonagent system, the logical dependencies among the components must be hard-coded into the software during design time. Therefore, the effect of changing one component cascades throughout

the system, forcing the modification of other components, and so on. In an agent-based system, one attempts to eliminate this cascading effect by emphasizing loosely coupled relationships among the controlled components. Agents generate dynamic interconnections with counterparts via agent messages. The dynamically emerging interconnectivity effects the agents’ behaviors by locally changing their world view. The runtime perspective of agent-based systems is helped by the agent’s capability (or service) of infrastructure discovery. Using the dynamic discovery infrastructure, an agent can initiate the discovery of other agents than can help in responding to a particular event. As opposed to having a specific set of predefined plans or agents to talk to under particular circumstances, the agents opt to discover their associates that can currently supply a solution. This distributed nature of agent technology opens the door to creating a more survivable system by eliminating single points of failure. A very significant aspect of the agent-based control technology is system scalability. An agent solution can be scaled up and down to fit different system sizes. A powerful feature of agents is the ability for interagent communications to detect, isolate, and accommodate component failures. In the case of a multithermocouple system, agent-to-agent communication is directed at validating normative temperature readings. Any variations from the group’s tendency are

Distributed Agent Software for Automation

28.2.2 Agent Tool The technological advantage provided by the agents is the ability to create agent components in industrial commercial off-the-shelf PLCs without having to create specialized hardware and programming tools. The advantage of using PLC devices as the primary control and agent-hosting platform is the reliability of its operating system, hardware components, and packaging, which are readily available for industrial use. By embedding the agents directly into the controller, it is possible for the agent to interoperate with the control programs faster. This embodiment eliminates the potential loss of controllability due to a lost connection between a controlling device and the supervising computer. Control programs ensure the hard, real-time response required by many control applications and an agent part ensures high-level coordination and flexibility. This synergy of agent and control inside the PLC and in combination with smaller PLCs has made it possible to transform a physical machine into an intelligent machine by localizing the intelligence. The changes made to the PLC firmware include software extensions to the tasking model of the controller, object instantiation and retention during power cycles, and a more general communication layer. Great

effort took place initially to make the PLC an agenthosting device with the capability to absorb executable agent code via object downloading. The extensions to the communication layer covered the generation and parsing of FIPA-based messages. With this feature, the control-based agents talk to other agents that comply with the FIPA specifications, even those that may have been implemented using a different infrastructure. To make the agent system scalable and manageable in terms of software for agent development, it was necessary to create a development environment for the programming of agent libraries [28.35]. There are five main phases of agent development: 1. 2. 3. 4. 5.

Template library design Facility editor Control system editor Assignment wizard Control code generator.

In the template library editor, a collection of components to represent the physical system is identified and built with control and reasoning parts. These components are generic, without referring to specific instances or devices. The library of components is then instantiated and arranged in a specific tree to represent the hierarchical order and attributes of the components that will be part of a specific system. This is where parameters of controlled devices and locations are added. The control system editor helps in the selection of the control and communication network equipment. In addition, it helps in the assignment of the input and output (I/O) points. Once the pieces above are defined, the control and reasoning components defined within the application (in the facility editor) are assigned to specific controllers (PLCs). After the assignment, the executable code is generated and downloaded to the controllers.

28.3 Building Agents for the Curing System The purpose of modeling the composite process using industrial agents is to increase the degree of survivability, diagnostics, and reconfigurability of the system [28.36]. Survivability of the control system is very important since it is directly related to safety and the creation of scrap material. Curing is a long process and therefore there is a greater time span during which disruption may oc-

cur. Situations such as power shutdowns and network discontinuity are of critical importance and these must be handled in a timely way to sustain high process integrity. Since the curing process consists of applying heat and cooling to composite material inside a sealed autoclave, a reliable system to operate on top of the temperature sensors is fundamental. The thermocouples read the temperature of the composite material at differ-

475

Part C 28.3

quickly detected and assessed by the individual agents that are affected by such variations. Inconsistency between observed temperatures provides the basis to suspect, detect, and further isolate the faulty element. Fault detection and diagnosis are key enabling features of the agent infrastructure that drive the processes of configuration and planning. Dynamic reconfiguration may include changing the operating state of a group of system devices in a coordinated manner as well as dynamically changing the control for system elements.

28.3 Building Agents for the Curing System

476

Part C

Automation Design: Theory, Elements, and Methods

Fig. 28.3 Composite curing

Autoclave Thermocouple Composite

Part C 28.3

ent locations. Moreover, since these constitute a group of logically interconnected sensors, the thermocouples are treated as a multisensor problem. Figure 28.3 illustrates how a composite material part is populated with the multisensor array prior to its placement inside the autoclave furnace. Thermocouple agents will be created to represent the thermocouple devices in the controller. The agents will use their social skills to organize the array into a smart and highly reconfigurable logical structure. Given that the process operates in a controlled vacuum, it is not possible to stop the process to adjust a loose thermocouple, for example. Therefore, the autodiagnosability of the process is a critical factor that is made a part of the decision-making roles of the agents. As a consequence, both the supervisory and control roles must be able to detect problems and reconfigure the physical system to cope with such eventualities in order to enable continuous, uninterrupted activity. Factors such as nonlinearities of the process and material (exothermal reactions provoking thermal spikes) must be considered in the modeling of the supervisory intelligence. The agent technology discussed herein allows for an incremental implementation of the necessary rules. The agent’s decision power resides in rules, which are encapsulated in the behaviors. A validation framework based on simulation helps to create a virtual model for testing and enhancing the curing control rules and loops of the agents and control algorithms. The agent software that is downloaded to the PLC is associated with a control-level driver (IEC-61131 control programs). The agent directs the control system using a lightweight supervisory strategy. Agents do not perform direct control. The device drivers carry out direct control upon the equipment. Thus, to avoid decision collisions and misinterpretation of the world, the sphere of influence of each agent must be defined with respect to its associated physical device. The distribution of the agents throughout specific sections of the application is a necessary partitioning of

responsibility that needs to take place before programming the rules. In the current case, the application is made of a single machine (autoclave) with a multisensor array (thermocouples). There are three partitioning criteria to model the system: 1. One-to-one 2. One-to-many 3. Many-to-one. One-to-one portioning exists in physical devices that can be isolated and classified as independent work units. Typical examples of such a case are a valve, switch, or breaker. In this case, one agent per physical device is enough. A one-to-many case appears in complex systems, where there is still an easy classification of the work units. This type of partitioning happens in equipment grouping situations, whereby one agent can be associated with a group of related physical devices. A rarer case is many-to-one partitioning, in which multiple agents are associated with a single or grouped

Curing system

Autoclave

Thermocouples

Fig. 28.4 Agent

classification for curing system

Distributed Agent Software for Automation

without concluding a decision process. In this case, agents would retry communication, causing more messages and therefore problems in convergence. Thus, special care must be taken to obtain a good partitioning of agent functionality. The composite curing system corresponds to a three-tier one-to-one system. As shown in Fig. 28.4, the top-level tier has one agent to represent the overall curing system. The second tier represents the autoclave machinery that will be part of the curing system. There is one autoclave agent per autoclave machine. The third tier represents the thermocouple sensor level. There is one agent per thermocouple.

28.4 Autoclave and Thermocouple Agents A bottom-up design (from the simplest part of operation to the more complex behavior) of the components takes place to build the curing system. Each component is associated with a piece of equipment or a particular process as an agent. Figure 28.5 shows a group of curing components. Most of the components are control-oriented drivers. However, autoclave and thermocouple components are agents. The left-hand side of Fig. 28.5 shows the component list. The upper-right part shows the low-level programming blocks of the component. The lower-right part

Fig. 28.5 Component list

shows the list of control parameters of the component, such as input and output (I/O) variables.

28.4.1 Autoclave Agent The autoclave agent contains curing artifacts such as cooler, heater, pressure compressor, and thermocouples. Thermocouple agents have their own temperature monitoring system and personality. Depending on the stage of the curing process, an autoclave agent periodically requests a reorganization of the thermocouples to se-

477

Part C 28.4

physical device. A typical situation of this type occurs when a single agent cannot cope with too many concurrent processes. Efficiency of the decision-making process is then measured in terms of communication latencies and decision-making convergence. A system with too many agents and processes has many more messages on the network. The network may become overwhelmed with too many packets and communication latencies may increase. Since agents collaborate with their peers through the exchange of information, they heavily depend on timely arrival of information during the group decision process. Thus, it may occur that the agents begin dropping out of the conversation

28.4 Autoclave and Thermocouple Agents

478

Part C

Automation Design: Theory, Elements, and Methods

Agent capability

Template behavior

Procedures

Part C 28.5

Fig. 28.6 Agent capabilities, behaviors, and procedures

lect a leading and a lagging thermocouple. The nominal behaviors given to an autoclave agent consist of the following:





• •

cNetTCTemp: This behavior generates a multicast message to all dependent thermocouples (TC1– TC16 in Fig. 28.2 to have them summarize their current temperature trends. Asynchronous responses are sent by the thermocouple agents to report their temperature information. SetNewLeaTC: In this behavior, the autoclave agent applies process-specific criteria to generate a list of leading and lagging thermocouples. The autoclave agent uses a min–max selection mechanism. The process recipe and the stage of curing determine the temperature boundaries (upper and lower). startCuringProcess: This behavior contains the startup sequence of the autoclave. stopCuringProcess: This behavior contains the shutdown sequence of the autoclave.

The aggregation of capabilities into the agent behavior creates the rules of the agent incrementally. In Fig. 28.6 all autoclave agents have the CuringEvent capability, which implements a set of template behaviors. Each behavior is associated with a set of procedures.

28.4.2 Thermocouple Agent A thermocouple agent has two essential behaviors to monitor the temperature of the process and the sensor condition and trending using the following behaviors





getTemperature: This behavior uses a sampling algorithm to calculate temperature average and standard deviations. This behavior allows for continuous adjustment of temperature measurement to compensate for natural jitter. provideTemperatureTrend: This behavior enables the detection of temperature variations outside the nominal ranges. The feasible range allows for the detection of extreme deviations from nominal trends. These events are reported to the autoclave agent as events.

Each thermocouple carries out continuous sampling of the temperature. This information is processed statistically. Temperature sampling is an internal-tothermocouple action, which corresponds to an interagent communication. The thermocouple agents receive requests from the autoclave agent to transmit their temperature trends in the form of agent messages in a periodic fashion.

28.5 Agent-Based Simulation Simulation is made up of two parts: the machine and the material. Machine simulation covers the autoclave machine itself with its mechanical devices (such as heater, cooler, and compressor) and thermocouples. Material simulation mimics the behavior of the composite material. A finite-difference mesh simulates the thermal dissipation throughout the material. The model represents a single piece of composite material of square shape with arbitrary sides and thickness. The control process takes place in a PLC. However, for the purpose of the simulation, a soft controller PLC (Rockwell Automation, SoftLogix 5800) with

firmware extensions to support the agents was used. The Simulink/Matlab engine was used as the simulation toolset. To mimic the physical process, a simulation of the material, thermocouples, and material was created. The agents use the simulation as the process model instead of the actual machine and material. In Fig. 28.7 there are horizontally and vertically arranged gray rectangular boxes. These boxes contain heat transfer differential equations to calculate the accumulation and dissipation of heat on a specific node of the finite-difference mesh. The heat transfer model is subjected to anisotropic properties to mimic the heat

Distributed Agent Software for Automation

TC01

TC02

TC03

Goto

Goto1

Goto2

A0 A1

T1

B0 B1

T2

Tout

A0 A1 B0 B1 C0 C1

T1

Tout

T2

Tout

TC06

Goto4

Goto5

Tout

A0 B0 C0 D0

A1 B1 C1 D1

T2

Tout

Tout

T2

T1

T1 T2

TC05

T1

A0 A1 B0 B1 C0 C1

T1 T2

Tout

A0 B0 C0 D0

A1 B1 C1 D1

T1 T2

T1 T2

T1 T2

Tout

Tout

Tout

T1 T2

Fig. 28.7 Composite material simulation

dissipation rates throughout the material. The chemical composition of the resin affects the heat transfer, making it vary with location and direction of propagation. The square boxes represent finite-difference nodes (concentration nodes) to calculate the average temperature of the material at the specific location of measurement. Figure 28.7 shows just a small section of the finite-difference mesh. In the actual model, there are 16 concentration points and 16 thermocouples. The thermocouple sensors are scattered throughout the material to measure the temperature of the composite at each node. The temperature readings are sent to the PLC as an input array of temperatures to be further interpreted by the thermocouple control drivers. Figure 28.8 shows the simulation blocks that contain the autoclave and autoclave’s inner atmosphere. The autoclave agent connects to the simulation throughout six inputs parameters (heaterIsOn, coolerIsOn, coolerIsEnabled, heaterIsEnabled, heatin-

479

Part C 28.5

T1 T2

T1 T2

A0 A1 B0 B1 C0 C1

28.5 Agent-Based Simulation

gRate, coolingRate) to control the autoclave. The maximum and minimum heating and cooling rates are provided in the curing recipe. Heating and cooling rates are used as the control variables to control the amount of heat that is applied to the material. Table 28.1 shows an example of the command that initiates a heating-up phase in the simulation. Control commands drive the simulation in desired directions to achieve a particular curing profile. All 16 thermocouple readings must be maintained within the curing envelope (refer to Fig. 28.1 for the profile shape). Deviations from the curing envelop are regulated by the cooperative actions of the agents. The agents continuously monitor the health of the thermocouples using temperature trending and standard deviations. When a thermocouple fails, the agent that represents that thermocouple decides to dismiss itself from the monitoring array. This decision is taken autonomously at the lowest level. The dismissal cascades up the hierarchy, inducing

480

Part C

Automation Design: Theory, Elements, and Methods

heaterIsOn

(heaterIsOn) (coolerIsOn)

coolerIsEnabled Fail heater = 0

(coolerIsEnabled)

Part C 28.6

(heaterIsEnabled) Autoclave atmosphere

(heatingRate) (coolingRate)

coolerIsOn

heaterIsEnabled Fail cooler = 0 heatingRate coolingRate Heating/cooling unit

Fig. 28.8 Autoclave and autoclave atmosphere simulation

a reorganization of the sensor array to adjust to the new reading set. If a departing thermocouple was operating as a leading or lagging thermocouple, the current stage of curing is at high risk since the autoclave agent loses its window into the process variable. The reorganization of the sensor arrays implies a discovery of new leading and/or lagging thermocouples to reestablish the process variable. While the agents reorganize the system, the control must continue its normal activity by maintaining all temperatures within the nominal ranges as prescribed in the recipe, but this fall-back position can only be sustained for a short period until the new process variable is reestablished. This reorganization activity is the core

Table 28.1 Autoclave heat-up command

Variable name

Value

heaterIsEnabled coolerIsEnabled coolerIsOn heaterIsOn heatingRate coolingRate

true false false true %.% %.%

benefit of the multiagent system. Agents are intended to handle these dynamic decisions.

28.6 Composite Curing Results and Recommendations The composite curing process begins with downloading of the agents and device drivers into the PLC. Next, the process simulation is started and connected to the soft controller throughout and automation proxy. The role of the automation proxy is to exchange I/O data between the controller and the simulation, as well as the synchronization of their clocks. There are several aspects of interest that can be extracted from this technology. First, there is a permanent learning process associated with the encapsulation of the behaviors into particular agents, as well as the partitioning of the domain into regions.

28.6.1 Designing the Validation System The system designer carries out preliminary verifications to test the agent behaviors. It is natural to see some chaotic results in the initial iterations. The designer adjusts the agent and control functions to make the prototype stable and observable using an interactive process. The design of the agent is based on templates [28.35]; for instance, only one thermocouple agent template is built for all 16 thermocouples. Likewise, in object-oriented programming, agent pro-

Distributed Agent Software for Automation

28.6.2 Modeling Process Dynamics A critical requirement in agent-based engineering is the interdisciplinary attitude of the engineers. The creation of these sophisticated models requires more than one design perspective to create valid results. The designer transits from sole software engineer into a control engineer who understands the dynamics of the process. Figure 28.9 shows the distribution of temperature for one of the test runs. The colored regions represent isothermals for heating and cooling. The isothermals change in shape and size as the curing process evolves. The curing profile is shown in the lower half of Fig. 28.9. The temperature distribution is not uniform due to the properties of the material. The thermal reaction of the composite makes hot and cold spots change location and size over time. The control system must maintain all temperatures within the upper and lower

Heating

Cooling

100

100

90

90

80

80

70

70

60

60

50

50

40

40

30

30

20

20

10 0

10 0

10

20

30

40

50

60

Temperature 300

70

80

90

100

0

0

10

20

30

40

50

60

70

80

90

100

Agent curing control – enhanced rules

250 200 150 100 50 0

0

1000

2000

Fig. 28.9 Heating and cooling simulation

3000

481

4000

5000

6000

7000 Time

Part C 28.6

gramming allows for the creation of agent instances from a single agent template. Thus, all thermocouple agents share the same reasoning rules but vary in personality (name, location, ranges, etc.). Another consideration is the speed of the simulation. The real curing process takes hours to complete. However, during the modeling and validation phase it is not affordable to spend so much time waiting for events and changes in the process, so the simulation has to be accelerated to compress the total time. This requirement adds complexity to the model since the controller cycles must be in real time to mimic actual control. Time compression is factored into the control timers to make events observable in shorter time spans. In this curing model, ideal time spans during the validation phase d should not exceed 30 min/cycle. Once the overall model is ready, tests begin to check the control/agent responses against the system dynamics.

28.6 Composite Curing Results and Recommendations

482

Part C

Automation Design: Theory, Elements, and Methods

Autoclave agent

Perturbations

Tset PID

Curing model

Part C 28.6

Trepresentative Thermocouple agents

Fig. 28.10 Agents and control cooperation

bound of the curing envelope. The profile introduces abrupt changes in the temperature slopes when moving from a neutral stage into a heating or cooling stage. The control system must regulate the overshooting amplitude while maintaining a good configuration of the thermocouple. There is overshooting in the process but the recipe allows for some operation outside the boundaries for short periods. a) Temperature (°C)

Agent curing control-simple rules

300 250 200 150 100 50 0

0

500

1000

1500

2000

2500

3000

3500

Time (min)

b) Temperature (°C)

Agent curing control– enhanced rules

300 250 200 150 100 50 0

0

1000

2000

3000

4000

5000

6000

7000

Time (min)

Fig. 28.11 (a) Control response for large look-ahead factor. (b) Control response for small look-ahead factor

The core activity is to observe and diagnose the thermocouple readings to select the representative temperature of the process as the process variable. Cooperation among the thermocouples helps in determining this information autonomously. It is very important that the agents maintain close inspection of the temperature sensors and ensure correct selection of the representative temperatures to be able to set the heating and cooling rates, as the material is very susceptible to these rates. The control system has been implemented as a combination of a proportional–integral–derivative (PID) block with agents, as shown in Fig. 28.10. The combined operations of PID and agents constitute the main control loop to generate heating and cooling rates as the control response. The agents interact with the PID in two main ways. First, to execute an accurate control response, the agents select the lead temperature for the particular stage of the process, which could be heating, cooling or neutral. The autoclave agent calculates the temperature set-point (Tset ) as an input to the PID block. The temperature set-point is calculated to be in the proximity of the upper or lower bound of the profile depending on the stage of curing. Second, the thermocouple agents collect data from the curing model (simulation) to select Trepresentative . The PID block ensures that the process variable is driven closer to the set-point value. The PID block requires empirical calibration to adjust the proportional, integral, and derivative coefficients of the equation. The tuning is performed online while running the simulation and controller (for more information on how to tune PID coefficients please refer to the control system design literature). The shape of the curing profile introduces too drastic inflections, making it harder to maintain the steady-state condition. Nevertheless, the introduction of the agents into the loop allows for quicker and better utilization of the system variables to cope with such changes. The ability to look ahead into the future (greater than 60 s) was introduced into the agents to learn future inflections in the curing profile. The intention was to adjust the set-point to begin compensation early to prevent overshoot. However, it was learned that the resultant control response constrained the PID action by provoking oscillation, as shown in Fig. 28.11a. Throughout experimentation, it was learned that the extent of the look-ahead factor played a critical role in the instability observations. The look-ahead factor was reduced to a less than 30 s horizon to make the PID filtering more dominant in the control of the overshooting, as

Distributed Agent Software for Automation

ACSObj1

Autoclave01

Curing

GlobalDF1

(221)

intelligence. Nevertheless, these improvements fall outside the scope of this chapter. During the agent cooperation, the agents talk to each other using the FIPA/JDL messaging protocol. Figure 28.12 shows an example agent communication. The observer can trace the agent transactions to learn what decisions have been made. The transactions show the information that the agents exchanged to arrive at a control decision. In Fig. 28.12 a multicasting example is shown, where a single agent contacts multiple agents in a two-way transaction. In multiagent systems, interagent communication consists of request/inform transactions, where an agent transmits a request for information to one or a group of agents. The receiving agents process the request locally but may initiate consultation with other agents as well by using similar request/inform transactions. Once the receiving agent has finished preparing its response, it uses an inform message to send the information back to the requester. The requester then receives multiple responses from the agents. Each response provides a different perspective of the situation under consultation. The requester must select the most valuable information or a combination of it to conclude its own decision-making cycle.

TC01

TC02 Actual CMs = 0

2008-03-17 15:26:07

to TC08 from TC08

(222)

InformExecute(Success)

2008-03-17 15:26:07

(223)

Details RequestHandleEvent InformHandleEvent

ManegeCuringOpera... TC12

from TC08

Actual CMs = 0

ManegeCuringOpera... TC09

2008-03-17 15:26:07

(224)

InformExecute(Success)

ManegeCuringOpera... TC16

2008-03-17 15:26:07

(225)

InformExecute(Success)

ManegeCuringOpera... TC06

2008-03-17 15:26:07

(226)

InformHandleEvent

ManegeCuringOpera... TC02

2008-03-17 15:26:07

(227)

InformRecruitAll

ManegeCuringOpera... TC05

2008-03-17 15:26:11

(228)

RequestHandleEvent

ManegeCuringOpera... TC04

2008-03-17 15:26:11

(229)

Actual CMs = 0

ManegeCuringOpera... TC13

2008-03-17 15:26:11

(230)

RequestPlan($CuringEvents.startCuringProcess)

2008-03-17 15:26:12

(231)

RequestRecruitAll InformRecruitAll RequestPlan(ManageCuringOperations.on)

2008-03-17 15:26:12

RequestPlan(ManageCuringOperations.on) RequestPlan(ManageCuringOperations.on) RequestPlan(ManageCuringOperations.on)

to TC12

ManegeCuringOpera... TC01

to TC09

ManegeCuringOpera... TC07

to TC16

ManegeCuringOpera... TC14

to TC06

ManegeCuringOpera... TC03

RequestPlan(ManageCuringOperations.on) RequestPlan(ManageCuringOperations.on) RequestPlan(ManageCuringOperations.on)

Fig. 28.12 Agent communication

ManegeCuringOpera... TC11

ManegeCuringOpera... Autoclave01

2008-03-17 15:26:12

(233)

$CuringEvents.start... Curing

ManegeCuringOpera... TC15

2008-03-17 15:26:12

(232)

$CuringEvents.start... ACSObj1

ManegeCuringOpera... TC10

to TC05

ManegeCuringOpera... TC08

483

Part C 28.6

shown in Fig. 28.11b. Nonetheless, the inflexion points are still a difficult aspect to handle using the discussed agent control algorithm. Without agent support, the inflexion points that are introduced by the curing profile will be confined to a PID loop fine-tuning task. By expanding and contracting the look-ahead factor of the agents, it is possible to perceive the effect of the agent reasoning on the PID loop response. Ideally, agents will act rapidly with a very short look-ahead factor to provide better input to the PID loop. However, agents are not intended to carry out control; they are designed to influence the control algorithm in a beneficial direction. An important lesson from this experiment is the notion of role separation: that which belongs to control must be in the control layer. How to define this boundary is still an art rather than a science. Figure 28.11b shows an improved response, where the temperature profile follows the recipe with no oscillations. According to specifications, the resultant cured material from this experimental trial would be considered of high quality. To obtain an optimum solution further adjustments to the PID coefficients and agent actions are required and perhaps an expansion of the agent

28.6 Composite Curing Results and Recommendations

484

Part C

Automation Design: Theory, Elements, and Methods

Part C 28.8

The distributed nature of agent messaging allows for a realistic expansion of the system to add/remove functionality as desired. In the current implementation, all agents reside within one controller, but the agents can be distributed in any desired topology. The distribution topology is a design decision that must comply with the application’s characteristics and requirements. A rule of thumb to decide on the agent distribution is to weigh the desired degree of survivability, diagnosability, and reconfigurability of the system. In a distributed agent implementation, agents reside in separate platforms. The distribution of the agents depends on the partitioning criteria selected for the application. The partitioning criteria takes under considerations the number of messages used in negotiation. The idea is to use a reduced number of messages. A poor division of functionality among the agents will result in more messaging among them. Message traffic and bandwidth utilization are good metrics to define optimum partitioning.

28.6.3 Timing and Stability Criteria The multiagent system guarantees an exhaust search for an optimum configuration within a specific time horizon. For small systems (< 100 agents), it is fairly simply to establish the time horizon required by the agents to accomplish a complete search of configurations. The time horizon estimation is established by injecting various conditions into the simulation model so the system designer can observe what time is required to search for and converge to a solution. Once this time horizon is estimated, each agent is given a factored time limit to participate in a particular negotiation process. An infinite time horizon is never given to the agents to avoid deadlocks. Unknown singularities could generate deadlocks in the system. It is guaranteed that the agents will find a solution within the predefined time horizon. However, the value of the solution will fluctuate within optimum and-near optimum performances, depending on the complexity of the emergent search space.

28.7 Conclusions This chapter has shown how to use industrial agent technology to solve a composite curing application. It has also shown some of the technology requirements and implications of extending industrial PLCs with agent firmware. An example application was used to show the design and modeling phases and the validation of the solution using simulation. Important results and recommendations can be extracted from this to help the modernization of curing technology. Using interagent messaging and the ability to cooperate on the creation and assessment of thermocouple configurations, the agents enable a dynamic learning system, always adapting to current conditions. The PLC firmware provides for a multitasking priority-based executive control cycle. Agents on built as user level tasks to execute at a low priority. Funda-

mental tasks in the PLC that manage the PLC’s integrity are never interrupted by the agent tasks. User-defined tasks such as stand-alone periodic and continuous control loops compete with agent tasks, but based on their priority levels. Among these, periodic tasks are higher order than agents, but never higher than the executive functions. The extent of learning depends on the sophistication of the rules given to the agents. This aspect is a user-defined characteristic of the system. Agent programming provides for this type of flexibility. It has been learned that loosely coupled agents and control components ease the scalability of the control solution. Finally, this chapter has shown how survivability, diagnostics, and reconfiguration of a control system can be achieved with component-level intelligence in a more compact manner.

28.8 Further Reading 1. F. Bergenti, M.P. Gleizes, F. Zambonelli (Eds.): Methodologies and Software Engineering for Agent

Systems: The Agent-Oriented Software Engineering Handbook (Springer, New York 2004)

Distributed Agent Software for Automation

8. R.S.T. Lee, V. Loia: Computational Intelligence for Agent-based Systems (Springer, New York 2007) 9. P. Maes: Modeling adaptive, autonomous agents, Artif. Life 1(1/2), 135–162 (1994) 10. G. Moro, M. Koubarakis (Eds.): Agents and Peerto-Peer Computing: First International Workshop (Springer, New York 2002) 11. S.Y. Nof: Intelligent collaborative agents. In: McGraw Hill Yearbook of Science and Technology (McGraw Hill, Columbus 2000) pp. 219–222 12. S.Y. Nof: Handbook of Industrial Robotics (Wiley, New York 1999) 13. L. Steels: When are robots intelligent, autonomous agents?, Robot. Auton. Syst. 15, 3–9 (1995) 14. Z. Zhang: Agent-based Hybrid Intelligent Systems: An Agent-based Framework for Complex Problem Solving (Springer, New York 2004)

References 28.1

28.2

28.3

28.4

28.5

28.6 28.7

28.8 28.9

R.G. Smith: The Contract Net Protocol: high-level communication and control in a distributed problem solver, IEEE Trans. Comput. 29(12), 1104–1113 (1980) N.R. Jennings, M.J. Wooldridge: Co-operating agents: concepts and applications. In: Agent Technology: Foundations, Applications, and Markets, ed. by H. Haugeneder, D. Steiner (Springer, Berlin Heidelberg 1998) pp. 175–201 W. Shen, D. Norrie, J.P. Bartès: Multi-Agent Systems for Concurrent Intelligent Design and Manufacturing (Taylor & Francis, London 2001) Commercial Technology for Maintenance Activities – CTMA (2008), http://ctma.ncms.org/default.htm Research and Markets: Growth Opportunities in Carbon Fiber Composites Market 2006-2011 (Research and Markets, Dublin 2006), http://www. researchandmarkets.com/reports/362300/growth_ opportunities_in_carbon_fiber_composites T. Roberts: Rapid growth forecast for carbon fibre market, Reinf. Plast. 51(2), 10–13 (2007) Research and Markets: Opportunities in Continuous Fiber Reinforced Thermoplastic Composites 2003–2008 (Research and Markets, Dublin 2003) p. 240 K. Burger: The changing outlook for natural rubber, Natuurrubber 40(4), 1–4 (2005) P. Salagnac, P. Dutournié, P. Glouannec: Curing of composites by radiation and natural convection in an autoclave, Am. Inst. Chem. Eng. 50(12), 3149– 3159 (2004)

28.10

28.11

28.12

28.13

28.14

28.15

28.16

28.17

P. Salagnac, P. Dutournié, P. Glouannec: Simulations of heat transfers in an autoclave. Applications to the curing of composite material parts, J. Phys. IV France 120, 467–472 (2004) J. Kim, T.J. Moon, J.R. Howell: Transient thermal modeling of in-situ curing during tape winding of composite cylinders, J. Heat Transf. 125(1), 137–146 (2003) M.-H. Chang, C.-L. Chen, W.-B. Young: Optimal design of the cure cycle for consolidation of thick composite laminants, Polym. Compos. 17(5), 743– 750 (2004) M. Jinno, S. Sakai, K. Osaka, T. Fukuda: Smart autoclave processing of thermoset resin matrix composites based on temperature and internal strain monitoring, Adv. Compos. Mater. 12(1), 57–72 (2003) A. Mawardi, R. Pitchumani: Optimal temperature and current cycles for curing composites using embedded resistive heating elements, J. Heat Transf. 125(1), 126–136 (2003) M.M. Thomas, B. Joseph, J.L. Kardos: Experimental characterization of autoclave-cured glass-epoxy composite laminates: cure cycle effects upon thickness, void content and related phenomena, Polym. Compos. 18(3), 283–299 (2004) H.S. Kim, D.G. Lee: Reduction of fabricational thermal residual stress of the hybrid co-cured structure using a dielectrometry, Compos. Sci. Technol. 67(1), 29–44 (2007) M.N. Ghasemi-Nejhad, R. Russ, S. Pourjalali: Manufacturing and testing of active composite panels

485

Part C 28

2. M. D’Inverno, M. Luck, M. Fisher, C. Preist (Eds.): Foundations and Applications of Multi-Agent Systems: UKMAS Workshops (Springer, New York 2002) 3. S.M. Deen: Agent Based Manufacturing (Springer, New York 2003) 4. C.Y. Huang, S.Y. Nof: Formation of autonomous agent networks for manufacturing systems, Int. J. Prod. Res. 38(3), 607–624 (2000) 5. N.R. Jennings, M.J. Wooldridge (Eds.): Agents Technology Foundation, Applications and Markets (Springer, New York 1998) 6. M. Klusch, S.P. Bergamaschi Edwards, P. Petta (Eds.): Intelligent Information Agents (Springer, New York 2003) 7. P. Kopacek (Ed.): Multi-Agent Systems in Production: A Proceedings volume of the IFAC workshop (Pergamon, Oxford 2000)

References

486

Part C

Automation Design: Theory, Elements, and Methods

28.18

Part C 28

28.19

28.20

28.21

28.22

28.23

28.24 28.25

28.26

with embedded piezoelectric sensors and actuators, J. Intell. Mater. Syst. Struct. 16(4), 319–333 (2005) N. Pantelelis, T. Vrouvakis, K. Spentzas: Cure cycle design for composite materials using computer simulation and optimisation tools, Forsch. Ingenieurwes. 67(6), 254–262 (2007) P. George, J. Griffith, G. Orient, J. Madsen, C. Teng, R. Courdji: Exploration of composites processing and producibility by analysis, Proc. 34th Int. SAMPE Tech. Conf. (Baltimore 2002) V. Pillai, A.N. Beris, P. Dhurjati: Intelligent curing of thick composites using a knowledge-based system, J. Compos. Mater. 31(1), 22–51 (1997) D.J. Michaud, A.N. Beris, P.S. Dhurjati: Thicksectioned RTM composite manufacturing, Part II. Robust cure optimization and control, J. Compos. Mater. 36(10), 1201–1231 (2002) S.W. Arms, C.P. Townsend, M.J. Hamel: Validation of remotely powered and interrogated sensing networks for composite cure monitoring (2003), http://www.microstrain.com/white/Validationof RemotelyPoweredandInterrogatedSensingNetworks.pdf S. Uryasev, A.A. Trindade: Combining Analytical Model and Experimental Test Data for Optimal Determination of Failure Tolerance Limits (2004). http://www.arpa.mil/dso/thrusts/matdev/aim/ AIM%20PDFs/presentation_2004/Case2328_ attach.pdf S. Hill: Electron Beam Curing, Mater. World 7(7), 398–400 (1999) NASA: A New Kind of Curing (2007), http://command. fuentek.com/matrix/success-story.cfm? successid=154 Blair Rubber Company: Rubber Lining Manual, Section 14: Curing Instructions, Engineering and Application Manual (2005), http://www.blairrubber.com/ manual/PDF_Docs/Sec14_Curing/CURING_ INSTRUCTIONS_Rev3.pdf

28.27

28.28

28.29

28.30 28.31

28.32

28.33

28.34 28.35

28.36

DT9805 Series USB Thermocouple Measurement Modules, Datatranslation product datasheet (2008), http://www.datx.com/docs/datasheets/ dt9805.pdf Flexible Thermocouple Management Programs (2008), http://www.vulcanelectric.com/pdf/ calibration.pdf The Hot Runner Manager (2008). http://www.fastheat.com/hotrunner/HR_Specialized.html (thermocouple management) TE Wire & Cable: Industrial Application Guide (2008). http://www.tewire.com/10-10.html P. Vrba, V. Marík: Simulation in agent-based manufacturing control systems, Proc. IEEE Int. Conf. Syst. Man Cybern. (Hawaii 2005) pp. 1718–1723 F.P. Maturana, P. Tichý, P. ˇSlechta, R. Staron: Using dynamically created decision-making organizations (holarchies) to plan, commit, and execute control tasks in a chiller water system, Proc. 13th Int. Workshop Database Expert Syst. Appl. (DEXA 2002), HoloMAS 2002 (Aix-en-Provence 2002) pp. 613–622 F.P. Maturana, R.J. Staron: Integration of Collaborating Agent-based Sub-systems – Final Report, prepared for Johns Hopkins University Applied Physics Laboratory under Subcontract 864123 and the Office of Naval Research under Prime Contract N00014-02-C-0526 (2004) IEEE: The Foundation for Intelligent Physical Agents (FIPA, IEEE Standards 2008), http://www.fipa.org R.J. Staron, F.P. Maturana, P. Tichý, P. ˇSlechta: Use of an agent type library for the design and implementation of highly flexible control systems, 8th World Multiconf. Syst. Cybern. Inf. (SCI2004) (Orlando 2004) F.M. Discenzo, F.P. Maturana, R.J. Staron, P. Tichý, P. ˇSlechta, V. Marík: Prognostics and control integration with dynamic reconfigurable agents, 1st WSEAS Int. Conf. Electrosci. Technol. Nav. Eng. Allelectr. Ship (Vouliagmeni, Athens 2004)

487

Evolutionary

29. Evolutionary Techniques for Automation

Mitsuo Gen, Lin Lin

29.1 Evolutionary Techniques ....................... 29.1.1 Genetic Algorithm ....................... 29.1.2 Multiobjective Evolutionary Algorithm................................... 29.1.3 Evolutionary Design Automation ... 29.2 Evolutionary Techniques for Industrial Automation ..................... 29.2.1 Factory Automation ..................... 29.2.2 Planning and Scheduling Automation ......... 29.2.3 Manufacturing Automation .......... 29.2.4 Logistics and Transportation Automation ....

488 489 490 491 492 492 493 493 493

29.3 AGV Dispatching in Manufacturing System 29.3.1 Network Modeling for AGV Dispatching ..................... 29.3.2 Evolutionary Approach: Priority-Based GA........................ 29.3.3 Case Study ..................................

494

29.4 Robot-Based Assembly-Line System ....... 29.4.1 Assembly-Line Balancing Problems 29.4.2 Robot-Based Assembly-Line Model 29.4.3 Hybrid Genetic Algorithm ............. 29.4.4 Case Study ..................................

497 497 497 498 501

494 495 496

29.5 Conclusions and Emerging Trends .......... 501 29.6 Further Reading ................................... 501 References .................................................. 501

problems will be described to show the effectiveness of the proposed approaches with greater search capability that improves the quality of solutions and enhances the rate of convergence over existing approaches.

Part C 29

In this chapter, evolutionary techniques (ETs) will be introduced for treating automation problems in factory, manufacturing, planning and scheduling, and logistics and transportation systems. ET is the most popular metaheuristic method for solving NP-hard optimization problems. In the past few years, ETs have been exploited to solve design automation problems. Concurrently, the field of ET reveals a significant interest in evolvable hardware and problems such as routing, placement or test pattern generation. The rest of this chapter is organized as follows. First the background developments of evolutionary techniques are described. Then basic schemes and working mechanism of genetic algorithms (GAs) will be given, and multiobjective evolutionary algorithms for treating optimization problems with multiple and conflicting objectives are presented. Lastly, automation and the challenges for applying evolutionary techniques are specified. Next, the various applications based on ETs for solving factory automation (FA) problems will be surveyed, covering planning and scheduling problems, nonlinear optimization problems in manufacturing systems, and optimal design problems in logistics and transportation systems. Finally, among those applications based on ETs, detailed case studies will be introduced. The first case study covers dispatching of automated guided vehicles (AGV) and machine scheduling in a flexible manufacturing system (FMS). The second ET case study for treating automation problems is the robot-based assembly line balancing (ALB) problem. Numerical experiments for various scales of AGV dispatching problems and robot-based ALB

488

Part C

Automation Design: Theory, Elements, and Methods

29.1 Evolutionary Techniques

Part C 29.1

Evolutionary techniques (ET) is a subfield of artificial intelligence (AI), and refers to a synthesis of methodologies from fuzzy logic (FL), neural networks, genetic algorithm (GA), and other evolutionary algorithms (EAs). ET uses the evolutionary process within a computer to provide a means for addressing complex engineering problems involving chaotic disturbances, randomness, and complex nonlinear dynamics that traditional algorithms have been unable to conquer (Fig. 29.1). Computer simulations of evolution started as early as in 1954; however most publications were not widely

noticed. From these beginnings, computer simulation of evolution by biologists became more common in the early 1960s. Evolution strategies (ES) was introduced by Rechenberg in the 1960s and early 1970s, and it was able to solve complex engineering problems [29.2]. Another approach was the evolutionary programming (EP) of Fogel [29.3], which was proposed for generating artificial intelligence. EP originally used finite state machines for predicting environments, and used variation and selection to optimize the predictive logic. Genetic algorithms (GAs) in particular became popular through the work of Holland in the early b) Input layer

a)

T1

T2

T3

T4

C1

C2

A1

A2

A3

A4

B2

Hidden layer

M1 M2 Output layer

c)

d)

Error 7.5 Average Best

7 6.5 6 5.5

6 5 4 3 2 1 0 –1 –2 6 5 4 3 2 1 0 –1 –2

5 4.5 4 3.5 3 0

5

10

15

20

25

30

35

40

45

50

–6

–6

–4

–4

–2

–2

0

0

B1

M3

2

2

4

4

M4

6

6

6 5 4 3 2 1 0 –1 –2 6 5 4 3 2 1 0 –1 –2

M5

M6

M7

M8

–6

–4

–2

0

2

4

6

–6

–4

–2

0

2

4

6

Generations

Fig. 29.1a–d Evolving a controller for a fixed morphology: (a) The morphology of the machine contains four legs actuated with eight motors, four ground-touch sensors, four angle sensors, and two chemical sensors. (b) The machine is

controlled by a recurrent neural net whose inputs are connected to the sensors and whose outputs are connected to the motors. (c) Evolutionary progress shows how the target misalignment error reduces over generations. (d) White trails show the motion of the machine towards high concentration (darker area). Black trails show tracks when the chemical sensors are turned off (after [29.1])

Evolutionary Techniques for Automation

29.1 Evolutionary Techniques

489

Table 29.1 Classification of evolutionary techniques [29.12]

Optimization algorithms Evolutionary algorithms

Swarm intelligence

Other approaches Self-organization

Genetic programming Learning classifier systems Ant colony optimization Particle swarm optimization

Differential evolution Artificial life Cultural algorithms Harmony search algorithm Artificial immune systems Learnable evolution model

1970s [29.4]. His work originated with studies of cellular automata, conducted by Holland and his students at the University of Michigan [29.5]. Holland introduced a formalized framework for predicting the quality of the next generation, known as Holland’s schema theorem. Research in GAs remained largely theoretical until the mid-1980s. Genetic programming (GP) is an extended technique of GA popularized by Koza in which computer programs, rather than function parameters, are optimized [29.6]. Genetic programming often uses tree-based internal data structures to represent the computer programs for adaptation instead of the list structures typical of genetic algorithms. As academic interest grew, the dramatic increase in desktop computational power allowed for practical application of the new technique [29.7–11]. Evolutionary techniques are generic population-based metaheuristic optimization algorithms, summarized in Table 29.1. Several conferences and workshops have been held to provide an international forum for exchanging new ideas, progress or experience on ETs and to promote better understanding and collaborations between the theorists and practitioners in this field. The major meetings are the Genetic and Evolutionary Computation Conference (GECCO), the IEEE Congress on Evolutionary Computation (CEC), Parallel Problem Solving from Nature (PPSN), the Foundations of Genetic Algorithms (FOGA) workshop, the Workshop on Ant Colony optimization and Swarm Intelligence (ANTS) and the Evo* and EuroGP workshops etc. The major journals are Evolutionary Computation, IEEE Transactions on Evolutionary Computation, Genetic Programming, and Evolvable Machines.

Self-organizing maps Growing neural gas Competetive learning demo applet Digital organism

29.1.1 Genetic Algorithm Among evolutionary techniques (ETs), genetic algorithms (GA) are the most widely known type of ETs today. GA includes the common essential elements of ETs, and has wide real-world applications. The original form of GA was described by Goldberg [29.5]. GA is a stochastic search technique based on the mechanism of natural selection and natural genetics. The central theme of research on GA is to keep a balance between exploitation and exploration in its search to the optimal solution for survival in many different environments. Features for self-repair, self-guidance, and reproduction are the rules in biological systems, whereas they barely exist in the most sophisticated artificial systems. GA has been theoretically and empirically proven to provide a robust search in complex search spaces. GA, differing from conventional search techniques, starts with an initial set of random solutions called the population. Each individual in the population is called a chromosome, representing a solution to the problem at hand. A chromosome is a string of symbols, usually but not necessarily, a binary bit string. The chromosomes evolve through successive iterations, called generations. During each generation, the chromosomes are evaluated, using some measures of fitness. To create the next generation, new chromosomes, called offspring, are generated by either merging two chromosomes from the current generation using a crossover operator and/or modifying a chromosome using a mutation operator. A new generation is formed by selecting some of the parents, according to the fitness values, and offspring, and rejecting others so as to keep the population size constant. Fitter chro-

Part C 29.1

Genetic algorithms Evolutionary programming Evolution strategy

490

Part C

Automation Design: Theory, Elements, and Methods

mosomes have higher probabilities of being selected. After several generations, the algorithms converge to the best chromosome, which hopefully represents the optimum or suboptimal solution to the problem. In general, GA has five basic components, as summarized by Michalewicz [29.8]:

29.1.2 Multiobjective Evolutionary Algorithm

Part C 29.1

1. A genetic representation of potential solutions to the problem 2. A way to create a population (an initial set of potential solutions) 3. An evaluation function rating solutions in terms of their fitness 4. Genetic operators that alter the genetic composition of offspring (crossover, mutation, selection, etc.) 5. Parameter values that genetic algorithms use (population size, probabilities of applying genetic operators, etc.).

Multiple objective problems arise in the design, modeling, and planning of many complex real systems in the areas of industrial production, urban transportation, capital budgeting, forest management, reservoir management, layout and landscaping of new cities, energy distribution, etc. It is easy to find that almost every important real-world decision problem involves multiple and conflicting objectives which need to be tackled while respecting various constraints, leading to overwhelming problem complexity. Since the 1990s, EAs have been received considerable attention as a novel approach to multiobjective optimization problems, resulting in a fresh body of research and applications known as evolutionary multiobjective optimization (EMO).

Figure 29.2 shows a general structure of GA, where P(t) and C(t) are parents and offspring in the current generation t.

Features of Genetic Search The inherent characteristics of EAs demonstrate why genetic search is well suited for multiple-objective op-

Start

Population P (t)

Offspring C (t)

Chromosome

Chromosome

1100101010 Initial solutions

Encoding t←0

t ← t +1

Crossover

1011101110

1100101010 1011101110

. . .

1100101110 1011101010 Mutation

0011011001

0011011001

1100110001 Decoding N

Y

Termination condition?

0011001001 Evaluation

Solution candidates fitness computation Selection

Stop

P (t) + C (t)

New population

Best solution Roulette wheel

Fig. 29.2 The general structure of genetic algorithms

CM (t) Decoding

CC (t)

Evolutionary Techniques for Automation

Fitness Assignment Mechanism A special issue in the multiobjective optimization problem is the fitness assignment mechanism. Since the 1980s, several fitness assignment mechanisms have been proposed and applied to multiobjective optimization problems. Although most fitness assignment mechanisms are just different approaches and are applicable to different cases of multiobjective optimization problems. In order to understanding the development of EMO, we classify the fitness assignment mechanisms by according to the published year. Type 1: Vector evaluation approach. The vector evaluated genetic algorithm (veGA) was the first notable work to solve multiobjective problems in which a vector fitness measure is used to create the next generation [29.13]. Type 2: Pareto ranking + diversity: Fonseca and Fleming proposed a multiobjective genetic algorithm (moGA) in which the rank of a certain individual corresponds to the number of individuals in the current population that dominate it [29.14]. Srinivas and Deb also developed a Pareto-ranking-based fitness assignment and called it the nondominated sorting genetic algorithm (nsGA) [29.15]. In each method, the nondominated solutions constituting a nondominated front are assigned the same dummy fitness value. Type 3: Weighted sum + elitist preserve: Ishibuchi and Murata proposed a weighted-sum-based fitness assignment method, called the random-weight genetic

algorithm (rwGA), to obtain a variable search direction toward the Pareto frontier [29.16]. The weightedsum approach can be viewed as an extension of methods used in the multiobjective optimizations to GAs. It assigns weights to each objective function and combines the weighted objectives into a single objective function. Gen et al. proposed another weight-sum-based fitness assignment method called the adaptive-weight genetic algorithm (awGA), which readjusts weights for each objective based on the values of nondominated solutions in the current population to obtain fitness values combined with the weights toward the Pareto frontier [29.11]. Zitzler and Thiele proposed the strength Pareto evolutionary algorithm (spEA) [29.16] and an extended version spEA II [29.17, 18] that combines several features of previous multiobjective genetic algorithms (moGA) in a unique manner. Deb suggested a nondominated sorting-based approach called the nondominated sorting genetic algorithm II (nsGA II) [29.10], which alleviates three difficulties: computational complexity, nonelitism approach, and the need to specify a sharing parameter. nsGA II was advanced from its origin, nsGA. Gen et al. proposed an interactive adaptive-weight genetic algorithm (i-awGA), which is an improved adaptive-weight fitness assignment approach with consideration of the disadvantages of the weighted-sum and Pareto-ranking-based approaches [29.11].

29.1.3 Evolutionary Design Automation Automation is the use of control systems such as computers to control industrial machinery and processes, replacing human operators. In the scope of industrialization, it is a step beyond mechanization. Whereas mechanization provided human operators with machinery to assist them with the physical requirements of work, automation greatly reduces the need for human sensory and mental requirements as well. Processes and systems can also be automated. Automation plays an increasingly important role in the global economy and in daily experience. Engineers strive to combine automated devices with mathematical and organizational tools to create complex systems for a rapidly expanding range of applications and human activities. Evolutionary algorithms (EAs) have received considerable attention regarding their potential as novel optimization techniques. There are three major advantages when applying EA to design automation:

491

Part C 29.1

timization problems. The basic feature of the EAs is multiple-directional and global search by maintaining a population of potential solutions from generation to generation. It is hoped that the population-to-population approach will explore all Pareto solutions. EAs do not have much mathematical requirements about the problems, and can handle any kind of objective functions and constraints. Due to their evolutionary nature, EAs can search for solutions without regard to the specific internal workings of the problem. Therefore, it is hopeful to solve complex problem by using the evolutionary algorithms. Because evolutionary algorithms, as a kind of metaheuristics, provide us with great flexibility to hybridize conventional methods into their main framework, we can take advantage of both evolutionary algorithms and conventional methods to make much more efficient implementations. The growing research on applying EAs to multiple-objective optimization problems presents a formidable theoretical and practical challenge to the mathematical community [29.10].

29.1 Evolutionary Techniques

492

Part C

Automation Design: Theory, Elements, and Methods

Part C 29.2

1. Adaptability: EAs do not have many mathematical requirements regarding the optimization problem. Due to their evolutionary nature, EAs will search for solutions without regard to the specific internal workings of the problem. EAs can handle any kind of objective functions and any kind of constraints (i. e., linear or nonlinear, defined on discrete, continuous or mixed search spaces). 2. Robustness: The use of evolution operators makes EA very effective in performing global search (in probability), while most conventional heuristics usually perform local search. It has been proven by many studies that EA is more efficient and more robust at locating optimal solution and reducing computational effort than other conventional heuristics. 3. Flexibility: EAs provide great flexibility to hybridize with domain-dependent heuristics to make an efficient implementation for a specific problem. However, to exploit the benefits of an effective EA to solve design automation problems, it is usually necessary to examine whether we can build an effective genetic search with the encoding. Several principles were proposed to evaluate effectiveness [29.11]:

Property 1 (Space): Chromosomes should not require extravagant amounts of memory. Property 2 (Time): The time required for executing evaluation, recombination, and mutation on chromosomes should not be great. Property 3 (Feasibility): A chromosome corresponds to a feasible solution. Property 4 (Legality): Any permutation of a chromosome corresponds to a solution. Property 5 (Completeness): Any solution has a corresponding chromosome. Property 6 (Uniqueness): The mapping from chromosomes to solutions (decoding) may belong to one of the following three cases (Fig. 29.5): 1-to-1 mapping, n-to-1 mapping, and 1-to-n mapping. The 1-to-1 mapping is the best among the three cases, and 1-to-n mapping is the most undesirable. Property 7 (Heritability): Offspring of simple crossover (i. e., one-cut-point crossover) should correspond to solutions which combine the basic features of their parents. Property 8 (Locality): A small change in a chromosome should imply a small change in its corresponding solution.

29.2 Evolutionary Techniques for Industrial Automation Currently, for manufacturing, the purpose of automation has shifted from increasing productivity and reducing costs to broader issues, such as increasing quality and flexibility in the manufacturing process. For example, automobile and truck pistons used to be installed into engines manually. This is rapidly being transitioned to automated machine installation, because the error rate for manual installment was around 1–1.5%, but has been reduced to 0.00001% with automation. Hazardous operations, such as oil refining, manufacturing of industrial chemicals, and all forms of metal working, were always early contenders for automation. However, many applications of automation, such as optimizations and automatic controls, are formulated with complex structures, complex constraints, and multiple objects simultaneously, which makes the problem intractable to traditional approaches. In recent years, the evolutionary techniques community has turned much of its attention toward applications in industrial automation.

29.2.1 Factory Automation In a manufacturing system, layout design is concerned with the optimum arrangement of physical facilities, such as departments or machines, in a certain area. Usually the design criterion is considered to be minimizing material-handling costs. Because of the combinatorial nature of the facility layout problem, the heuristic technique is the most promising approach for solving practical-size layout problems. The interest in application of ETs to facility layout design has been growing rapidly. Tate and Smith applied GA to the shape-constrained unequal-area facility layout problem [29.19]. Cohoon et al. proposed a distributed GA for the floorplan design problem [29.20]. Tam reported his experiences of applying genetic algorithms to the facility layout problem [29.21]. A flexible machining system is one of the forms of factory automation. The design of a flexible machining system (FMS) involves the layout of machine

Evolutionary Techniques for Automation

and workstations. Kusiak and Heragu wrote a survey paper on the machine layout problem [29.22]. The layout of machines in a FMS is typically determined by the type of material-handling devices used. The most used material-handling devices are: materialhandling robot, automated guided vehicle (AGV), and gantry robot. Gen and Cheng provide various GA approaches for the machine layout and facility layout problems [29.9].

29.2.2 Planning and Scheduling Automation

493

29.2.3 Manufacturing Automation Assembly-line balancing problems (ALB) consist of distributing work required to assemble a product in mass or series production on an assembly line among a set of workstations. Several constraints and different objectives may be considered. The simple assemblyline balancing problem consists of assigning tasks to workstations such that precedence relations between tasks and zoning or other constraints are met. The objective is to make the work content at each station most balanced. GAs have been applied to solve various assembly-line balancing problems [29.30–32]. Gao et al. proposed an innovative GA hybridized with local search for a robotic-based ALB problem [29.33]. Based on different neighborhood structures, five local search procedures are developed to enhance the search ability of GA. An automated guided vehicle (AGV) is a mobile robot used widely in industrial applications to move materials from point to point. AGVs help to reduce costs of manufacturing and increase efficiency in a manufacturing system. For a recent review of AGV problems and issues the reader is referred to [29.34–37]. Lin et al. adopted priority-based encoding for solving the AGV dispatching problem in an FMS [29.38].

29.2.4 Logistics and Transportation Automation Logistics is the last frontier for cost reduction and the third profit source of enterprises [29.39]. The interest in developing effective logistics system design models and efficient optimization methods has been stimulated by high costs of logistics and the potential for securing considerable savings. One of the most important topics of logistics and transportation automation is automated container terminals. Handling equipment scheduling (HES) is important for scheduling different types of handing equipment in order to improve the productivity of automated container terminals. Lau and Zhao give a mixedinteger programming model, which considers various constraints related to the integrated operations between different types of handling equipment. This study proposes a multilayer GA to obtain the nearoptimal solution of the integrated scheduling problem [29.39]. Berth allocation planning (BAP) is to allocate space along the quayside to incoming ships at a container terminal in order to minimize some objective function.

Part C 29.2

The planning and scheduling of manufacturing systems always require resource capacity constraints, disjunctive constraints, and precedence constraints, owing to the tight due dates, multiple customer-specific orders, and flexible process strategies. Here, some hot topics of applications of ETs in advanced planning and scheduling (APS) are introduced. These models mainly support the integrated, constraint-based planning of the manufacturing system to reduce lead times, lower inventories, increase throughput, etc. The flexible jobshop problem (fJSP) is a generalization of the jobshop and parallel machine environment [29.23], which provides a closer approximation to a wide range of real manufacturing systems. Kacem et al. proposed the operations machine-based GA approach [29.24], which is based on a traditional representation called the schemata theorem representation. Zhang and Gen proposed a multistage operation-based encoding for fJSP [29.25]. The objective of the resource-constrained project scheduling problem (rcPSP) is to schedule activities such that precedence and resource constraints are obeyed and the makespan of the project is to be minimized. Gen and Cheng adopted priority-based encoding for this rcPSP [29.9]. In order to improve the effectiveness of priority-based GA approach for an extended resource constrained multiple project scheduling problem, Kim et al. combined priority dispatching rules in priority-based encoding process [29.26]. The advanced planning and scheduling (APS) model includes a range of capabilities from finite capacity planning at the plant floor level through constraint-based planning to the latest applications of advanced logic for supply-chain planning and collaboration [29.27]. Several related works by Moon et al. [29.28] and Moon and Seo [29.29] have reported a GA approach especially for solving such kinds of APS problems.

29.2 Evolutionary Techniques for Industrial Automation

494

Part C

Automation Design: Theory, Elements, and Methods

Imai et al. introduce a formulation for the simultaneous berth and crane allocation problem and employed GA to find an approximate solution for the problem [29.40]. The aim of storage locations planning (SLP) is to determine the optimal storage strategy for various

container-handling schedules. Preston and Kozan developed a container location model and proposed a GA approach with analyses of different resource levels and a comparison with current practice at the Port of Brisbane [29.41].

29.3 AGV Dispatching in Manufacturing System

Part C 29.3

Automated material handling has been called the key to integrated manufacturing. An integrated system is useless without a fully integrated, automated material handling system. In the manufacturing environment, there are many automated material handling possibilities. Currently, automated guided vehicles systems (AGV systems), which include automated guided vehicles (AGVs), are the state of the art, and are often used to facilitate automatic storage and retrieval systems (AS/RS). Traditionally, AGV systems were mostly used in manufacturing systems. In manufacturing areas, AGVs are used to transport all types of materials related to the manufacturing process. The transportation network connects all stationary installations (e.g., machines) in the center. At stations, pickup and delivery points are installed that operate as interfaces between the production/storage system and the transportation system of the center. At these points a load is transferred by, for example, a conveyor from the station to the AGV and vice versa. AGVs travel from one pickup and delivery point to another on fixed or free paths. Guide paths are determined by, for example, wires in the ground or markings on the floor. More recent technologies allow AGVs to operate without physical guide paths.

29.3.1 Network Modeling for AGV Dispatching In this Subsection, we introduce simultaneous scheduling and routing of AGVs in a flexible manufacturing system (FMS) [29.38]. An FMS environment requires a flexible and adaptable material handling system. AGVs provide such a system. An AGV is a materialhandling equipment that travels on a network of guide paths. The FMS is composed of various cells, also called workstations (or machines), each with a specific operation such as milling, washing or assembly. Each cell is connected to the guide path network by a pickup/delivery (P/D) point where pallets are transferred from/to the AGVs. Pallets of products are moved

between the cells by the AGVs. Assumptions considered are as follows: 1. AGVs only carry one kind of products at a time. 2. A network of guide paths is defined in advance, and the guide paths have to pass through all pickup/delivery points. 3. The vehicles are assumed to travel at a constant speed. 4. The vehicles can just travel forward, not backward. 5. As many vehicles travel on the guide path simultaneously, collisions are avoided by hardware and are not considered herein. 6. At each workstation, there is pickup space to store the operated material and delivery space to store the material for the next operation. 7. The operation can be started any time after an AGV took the material to come. And also the AGV can transport the operated material from the pickup point to the next delivery point any time. Definition 1: A node is defined as task Tij , which represents a transition task of the j-th process of job Ji for moving from the pickup point of machine Mi, j−1 to the delivering point of machine Mij . Definition 2: An arc can be defined as many decision variables, such as, capacity of AGVs, precedence constraints among the tasks, or costs of movement. Lin et al. defined an arc as a precedence constraint, and give a transition time c jj  from the delivery point of machine Mij to the pickup point of machine Mi  j  on the arc. Definition 3: We define the task precedence for each job; for example, task precedence for three jobs is shown in Fig. 29.3. The notation used in this Chapter is summarized as follows. Indices: i, i  : index of jobs, i, i  = 1, 2, . . . , n; j, j  : index of processes, j, j  = 1, 2, . . . , n. Parameters: n: total number of jobs, m: total number of machines, n i : total number of operations of job i, oij : the j-th operation of job i, pij : processing time of operation oij , Mij : machine assigned for operation oij , Tij :

Evolutionary Techniques for Automation

29.3 AGV Dispatching in Manufacturing System

495

Job J1 : T11 → T12 → T13 → T14 Job J2 : T21 → T22 Job J3 : T31 → T32 → T33

s

T11

T12

T21

T22

T31

T32

T13

T14

t

s

T33

1

4

2

5

3

6

7

9

t

8

Fig. 29.3 Illustration of the network structure of the example

i, j

s.t. cijS

− ci,S j−1

≥ pi, j−1 + tij , ∀i, j = 2, . . . , n i , (29.3)

   − pi  j  + Γ  Mij − Mi  j   ≥ 0     ∨ ciS j  − cijS − pij + Γ  Mij − Mi  j   ≥ 0 ,



cijS

− ciS j 

∀(i, j), (i  , j  ) ,

(29.4)

   − ti  j  + Γ xij − xi  j   ≥ 0     ∨ tiS j  − tijS − tij + Γ xij − xi  j   ≥ 0 ,



tijS − tiS j 

∀(i, j), (i  , j  ) ,

(29.5)

∀(i, n i ), (i  , j  ) ,

(29.6)

   S ti,n − tiS j  − ti  j  + Γ xij − xi  j   ≥ 0 i     S ∨ tiS j  − ti,n − ti + Γ xij − xi  j   ≥ 0 , i



cijS ≥ ti,S j+1 − pij ,

(29.7)

xij ≥ 0 ,

∀i, j ,

(29.8)

≥0,

∀i, j ,

(29.9)

tijS

where Γ is a very large number, and ti is the transition time from the pickup point of machine Min to the

delivery point of loading/unloading. Constraint (29.3) describes the operation precedence constraints. In (29.4)–(29.6), since one or the other constraint must hold, it is called disjunctive constraint. This represents the operation nonoverlapping constraint (29.4) and the AGV nonoverlapping constraint (29.5, 29.6).

29.3.2 Evolutionary Approach: Priority-Based GA For solving the AGV dispatching problem in FMS, the special difficulty arises from (1) that the task sequencing is NP-hard problem, and (2) that a random sequence of AGV dispatching usually does not satisfy the operation precedence constraint and routing constraint. Firstly, we give a priority-based encoding method that is an indirect approach, encoding some guiding information to construct a sequence of all tasks. As is known, a gene in a chromosome is characterized by two factors: the locus, i. e., the position of the gene within the structure of the chromosome, and the allele, i. e., the value the gene takes. In this encoding method, the position of a gene is used to represent the ID which mapping the task in Fig. 29.3 and its value is used to represent the priority of the task for constructing a sequence among candidates. A feasible sequence can be uniquely determined from this encoding with consideration of the operation precedence constraint. An example of a genTask ID :

1

2

3

4

5

6

7

8

9

Priority :

1

5

7

2

6

8

3

9

4

T11 → T12 → T13 → T14 → T21 → T22 → T31 → T32 → T33

Fig. 29.4 Example generated chromosome and its de-

coded task sequence

Part C 29.3

transition task for operation oij , tij : transition time from Mi, j−1 to Mij . Decision variables: xij : assigned AGV number for task Tij , tijS : starting time of task Tij , cijS : starting time of operation oij . The objective functions are to minimize the time required to complete all jobs (i. e., the makespan) tMS and the number of AGVs n AGV , and the problem can be formulated as follows: . / S , + t (29.1) min tMS = max ti,n M ,0 i,n i i i  min n AGV = max xij , (29.2)

496

Part C

Automation Design: Theory, Elements, and Methods

AGV1 : T11 → T12 → T41 → T81 → T91 → T82 → T92 → T83 → T84 , AGV2 : T21 → T41 → T12 → T15 → T10.2 → T52 → T71 → T44 , AGV3 : T61 → T62 → T63 → T64 → T43 → T72 , AGV4 : T31 → T32 → T10.1 → T33 → T13 → T10.3 → T93 .

Machine M1 M2

O11

O22

O21

Part C 29.3

M5

O64

O32

O61

O31

O10,2

O12

M3 M4

O63

O33

O62

O41

O13

O52

O42

O51

O10,1

O91

O93

O43

O10,3

O82

O81

O71

O92

O44

O72

O84

O83

tMS = 574

Time t

Fig. 29.5 Gantt chart of the schedule of the case study considering AGVs routing (after [29.1], by permission of Macmillan Nature 2004)

erated chromosome and its decoded path is shown in Fig. 29.4 for the network structure of Fig. 29.3. After generating the task sequence, we separate tasks into several groups for assigning different AGVs. We find the breakpoints, which the tasks are the final transport of job i from pickup point of operation Oin to delivery point of loading/unloading. Then we separate the part of tasks sequence by the breakpoints. An example of grouping is shown as follows, using the chromosome (Fig. 29.4): AGV1 : T11 → T12 → T13 → T14 AGV2 : T21 → T22 AGV3 : T31 → T32 → T33 . As genetic operators, we combine a weight mapping crossover (WMX), insertion mutation, and immigration operator based on the characteristic of this representation, and adopt an interactive adaptive-weight fitness assignment mechanism that assigns weights to each objective and combines the weighted objectives into a single objective function. The detailed procedures are showed in [29.38].

29.3.3 Case Study For evaluating the efficiency of the AGV dispatching algorithm suggested in the case study, a simulation program was developed using Java on a Pentium IV processor (3.2 GHz clock). The detailed test data is given by Yang [29.42] and Kim et al. [29.43]. GA parameter settings were taken as follows: population size, popSize = 20; crossover probability, pC = 0.70; mutation probability, pM = 0.50; immigration rate, μ = 0.15. In an FMS case study, ten jobs are to be scheduled on five machines. The maximum number of processes for the operations is four. The detailed date sets are shown in [29.44]. We can draw a network depended on the precedence constraints among tasks {Tij } of the case study. The best result is shown in Fig. 29.5. The final time required to complete all jobs (i. e., the makespan) is 574, and four AGVs are used. Figure 29.5 shows the result on a Gantt chart. As discussed above, the AGV dispatching problem is a difficult problem to solve by conventional heuristics. Adaptability, robustness, and flexibility make EA very effective for such automation problems.

Evolutionary Techniques for Automation

29.4 Robot-Based Assembly-Line System

497

29.4 Robot-Based Assembly-Line System

29.4.1 Assembly-Line Balancing Problems This problem concerns how to assign the tasks to stations and how to allocate the available robots for each station in order to minimize cycle time under the constraint of precedence relationships. Let us consider a simple example to describe the problem, in which ten tasks are to be assigned to four workstations, and

7 1 4

6

4/4 3/3

8

9

1

4

2/1 3 1/2

10 6

5 2

7

50

85 Time

Fig. 29.7 The feasible solution for the example (stn –

workstation number, rbn – robot number)

four robots are to be equipped on the four stations. Figure 29.6 shows the precedence constraints for the ten tasks, and Table 29.2 gives the processing time for each of the tasks processed by each robot. We show a feasible solution for this example in Fig. 29.7. The balancing chart for the solution can be drawn to analyze the solution. Figure 29.7 shows that the idle time of stations 1–3 is very large, which means that this line is not balanced for production. In the real world, an assembly line is not just used for producing one unit of the product; it should produce several units. So we give the Gantt chart for three units to analyze the solution, as shown in Fig. 29.8.

29.4.2 Robot-Based Assembly-Line Model

8

2

10

3

stn/rbn

Part C 29.4

Assembly lines are flow-oriented production systems which are still typical in the industrial production of high-quantity standardized commodities and are even gaining importance in low-volume production of customized products. Usually, specific tooling is developed to perform the activities needed at each station. Such tooling is attached to the robot at the station. In order to avoid the wasted time required for tool change, the design of the tooling can take place only after the line has been balanced. Different robot types may exist at the assembly facility. Each robot type may have different capabilities and efficiencies for various elements of the assembly tasks. Hence, allocating the most appropriate robot for each station is critical for the performance of robotic assembly lines.

5

9

Fig. 29.6 Precedence graph of the example problem Table 29.2 Data for the example i

Suc(i)

R1

R2

R3

R4

1 2 3 4 5 6 7 8 9 10

4 4 5 6 10 8 8 10 10 –

17 21 12 29 31 28 42 27 19 26

22 22 25 21 25 18 28 33 13 27

19 16 27 19 26 20 23 40 17 35

13 20 15 16 22 21 34 25 34 26

The following assumptions are stated to clarify the setting in which the problem arises: 1. The precedence relationship among assembly activities is known and invariable. 2. The duration of an activity is deterministic. Activities cannot be subdivided. stn/rbn (case of 3 products) 1/2 2 2/1

Part waiting Processing waiting

7 3

3/3

5 1 4 6

4/4

8

50

100

150

9

200

10

250

300

Fig. 29.8 Gantt chart for producing three units

350

400 Time

498

Part C

Automation Design: Theory, Elements, and Methods

Part C 29.4

3. The duration of an activity depends on the assigned robot. 4. There are no limitations on the assignment of an activities or a robot to any station. If a task cannot be processed on a robot, the assembly time of the task on the robot is set to a very large number. 5. A single robot is assigned to each station. 6. Material handling, loading, and unloading times, as well as setup and tool changing times are negligible, or are included in the activity times. This assumption is realistic on a single model assembly line that works on the single product for which it is balanced. Tooling on such a robotic line is usually designed such that tool changes are minimized within a station. If tool change or other type of setup activity is necessary, it can be included in the activity time, since the transfer lot size on such line is of a single product. 7. The number of workstations is determined by the number of robots, since the problem aims to maximize the productivity by using all robots at hand. 8. The line is balanced for a single product. The notation used in this section can be summarized as follows. Indices: i, j: index of assembly tasks, i, j = 1, 2, . . ., n; k: index of workstations, k = 1, 2, . . ., m; l: index of robots, l = 1, 2, . . ., m. Parameters: n: total number of assembly tasks, m: total number of workstations (robots), til : processing time of the i-th task by robot l, pre(i): the set of predecessor of task i in the precedence diagram. Decision variables ⎧ ⎨1 if task j is assigned to workstation k x jk = ⎩0 otherwise , ⎧ ⎨1 if robot l is allocated to workstation k ykl = ⎩0 otherwise .

S1

S2

S3

S4

S1

S2

S3

S4

2 1 3

4 9 6

5

1

2

3

4

5

6

7

8

9

2

1

3

4

9

6

5

7

8 10

Locus

Phase 1: Task sequence (υ1)

7

8

10

Locus: station

1

2

3

4

Phase 2: Robot assignment (υ2)

1

2

3

4

Fig. 29.9 Solution representation of a sample problem

10

Problem formulation

0

min CT = max

1≤k≤m

s.t.

m

k=1

kx jk −

m

m n



1 til xik ykl

,

(29.10)

i=1 l=1

kxik ≥ 0, ∀i, j ∈ pre(i) ,

k=1

(29.11) m

k=1 m

l=1 m

xik = 1 ,

∀i ,

(29.12)

ykl = 1 ,

∀k ,

(29.13)

ykl = 1 ,

∀l ,

(29.14)

k=1

xik ∈ {0, 1} ∀k, i , ykl ∈ {0, 1} ∀l, k .

(29.15) (29.16)

The objective (29.10) is to minimize the cycle time, CT . Constraint (29.11) represents the precedence constraints. It ensures that, for each pair of assembly activities, the precedent cannot be assigned to a station before the station of the successor if there is precedence between the two activities. Constraint (29.12) ensures that each task has to be assigned to one station. Constraint (29.13) ensures that each station is equipped with one robot. Constraint (29.14) ensures that each robot can only be assigned to one station.

29.4.3 Hybrid Genetic Algorithm Order encoding for task sequence: A GA’s structure and parameter settings affect its performance. However, the primary determinants of a GA’s success or failure are the coding by which its genotypes represent candidate solutions, and the interaction of the coding with the GA’s recombination and mutation operators. A solution of the robot-based assembly line balancing (rALB) problem can be represented by two integer vectors: the task sequence vector, v1 , which contains a permutation of assembly tasks ordered according to their technological precedence sequence, and the robot assignment vector, v2 . The solution representation method is illustrated in Fig. 29.9. The detailed processes of decoding the task sequence and assigning robots to workstations are shown in [29.34]. In the real world, an assembly line is not just for producing one unit of the product; tt should produce several units. So we give the Gantt chart

Evolutionary Techniques for Automation

29.4 Robot-Based Assembly-Line System

stn/rbn (Balancing chart of the best solution)

stn/rbn (case of 3 products)

4/4

8

10

1/1 1

3/3

5

7

2/2

2/2 1/1

4 1

6 2

9

3/3

3

4/4 52

Time

2

Part waiting Processing waiting

3 4

6 9 5

7 8

50

100

150

10

200

Fig. 29.10 The balancing chart of the best solution (stn –

workstation number, rbn – robot number)

35

53

70

89

111

148

297

300 Time

No. of stations

WEST ratio

Cycle time (CT ) Levitin et al. recursive

Levitin et al. consecutive

Proposed approach

3 4 6 9 4 5 7 12 5 7 10 14 7 10 14 19 8 12 16 21 9 13 17 22 10 14 21 29 19 29 38 50

8.33 6.25 4.17 2.78 8.75 7.00 5.00 2.92 10.60 7.57 5.30 3.79 10.00 7.00 5.00 3.68 11.13 7.42 5.56 4.24 12.33 8.54 6.53 5.05 14.80 10.57 7.05 5.10 15.63 10.24 7.82 5.94

518 351 343 138 551 385 250 178 903 390 35 243 546 313 231 198 638 455 292 277 695 401 322 265 708 537 404 249 1129 571 442 363

503 330 234 125 450 352 222 120 565 342 251 166 490 287 213 167 505 371 246 209 586 339 257 209 638 441 325 210 674 444 348 275

503 327 213 123 449 244 222 113 554 320 230 162 449 272 204 154 494 370 236 205 557 319 257 192 600 427 300 202 646 430 344 256

Part C 29.4

25

250

Fig. 29.11 Gantt chart for producing three units

Table 29.3 Performance of the proposed algorithm Test problem No. of tasks

499

500

Part C

Automation Design: Theory, Elements, and Methods

with three units for analyzing the solution as in Fig. 29.11. We can see the solution reduce the waiting time for the line by comparing with the feasible Synapse

a)

Linear actuator

solution from Fig. 29.8. This also means that the better solution improved the assembly-line balancing. b)

Neuron

Bar Control (brain) Ball joint

Morphology (body)

Part C 29.4

Infinite plane

+

c)

+–

+–

d)

0

50

100

150

200

250

300

350 Generations

e)

f)

Fig. 29.12a–f Evolving bodies and brains. (a) Schematic illustration of an evolvable robot. (b) An arbitrarily sampled instance of an entire generation, thinned down to show only significantly different individuals. (c) Phylogenetic trees of two different evolutionary runs, showing instances of speciation and massive extinctions. (d) Progress of fitness versus generation for one of the runs. Each dot represents a robot (morphology and control). (e) Three evolved robots, in simulation. (f) The three robots from (c) reproduced in physical reality using rapid prototyping (after Lipson and Pollack (2000))

Evolutionary Techniques for Automation

29.4.4 Case Study In order to evaluate the performance of the proposed method, a large set of problems were tested. In the literature, no benchmark data sets are available for rALB. There are eight representative precedence graphs [29.45], used in the simple assembly line balancing (sALB) literature [29.46]. These precedence graphs contain 25–297 tasks. Table 29.3 shows the experiment results of Levitin et al.’s two algorithms and proposed approach

References

for 32 different scale test problems [29.47]. As depicted in Table 29.3, all of the results by the proposed approach are better than Levitin et al.’s recursive assignment method, and most results of the proposed approach are better than Levitin et al.’s consecutive assignment method, except for tests 253, 35-7, and 111-17. Depending on the experiment results, the way in which a solution of the automation problem is encoded into a chromosome is a key issue for applying the evolutionary algorithm.

control and automation, such as the development of evolvable robots to better meet the needs of evolving and flexible lines (Fig. 29.12). For a related discussion refer to Chap. 14 in an earlier section of this Handbook.

29.6 Further Reading • •

T. Gomi (Ed.): Evolutionary Robotics: From Intelligent Robotics to Artificial Intelligence (Springer, Tokyo 2001) H. Lipson: Evolutionary robotics and open-ended design automation. In: Biomemetics: Biologically Inspired Technologies, ed. by Y. Bar-Cohen (CRC Press, Boca Raton 2006) pp. 129–156

• •

H. Lipson, J.B. Pollack: Automatic design and manufacture of artificial lifeforms, Nature 406, 974–978 (2000) S. Nolfi, D. Floreano: Evolutionary Robotics: The Biology, Intelligence, and Technology of Self-Organizing Machines (MIT Press, Cambridge 2000)

References 29.1

29.2

29.3 29.4

29.5

29.6 29.7

J. Bongard, H. Lipson: Integrated Design, Deployment and Inference for Robot Ecologies, Proc. Robosphere 2004 (NASA Ames Research Center 2004) I. Rechenberg: Evolution Strategie: Optimierung Technischer Systeme nach Prinzipien der Biologischen Evolution (Frommann-Holzboog, Stuttgart 1973) L.A. Fogel, M. Walsh: Artificial Intelligence Through Simulated Evolution (Wiley, New York 1966) J. Holland: Adaptation in Natural and Artificial Systems (University of Michigan Press, Ann Arbor 1975), (MIT Press, Cambridge 1992) D. Goldberg: Genetic Algorithms in Search, Optimization and Machine Learning (Addison-Wesley, Reading 1989) J.R. Koza: Genetic Programming (MIT Press, Cambridge 1992) H. Schwefel: Evolution and Optimum Seeking, 2nd edn. (Wiley, New York 1995)

29.8

29.9 29.10 29.11

29.12 29.13

29.14

Z. Michalewicz: Genetic Algorithm + Data Structures = Evolution Programs, 3rd edn. (Springer, New York 1996) M. Gen, R. Cheng: Genetic Algorithms and Engineering Design (Wiley, New York 1997) K. Deb: Multi-Objective Optimization Using Evolutionary Algorithms (Wiley, New York 2001) M. Gen, R. Cheng, L. Lin: Network Models and Optimization: Multiobjective Genetic Algorithm Approach (Springer, London 2008) Wikipedia: Evolutionary Computation, http://en. wikipedia.org/wiki/Evolutionary_computation J.D. Schaffer: Multiple Objective Optimization with Vector Evaluated Genetic Algorithms, Proc. 1st Int. Conf. on Genet. Algorithms (1985) pp. 93– 100 C. Fonseca, P. Fleming: An overview of evolutionary algorithms in multiobjective optimization, Evolut. Comput. 3(1), 1–16 (1995)

Part C 29

29.5 Conclusions and Emerging Trends The techniques presented in this Chapter are bioinspired and their influence on factory, planning and scheduling, manufacturing, logistics and transportation is discussed. Emerging trends will continue to follow bioinspired

501

502

Part C

Automation Design: Theory, Elements, and Methods

29.15

29.16

29.17

29.18

Part C 29

29.19 29.20

29.21

29.22 29.23 29.24

29.25

29.26

29.27 29.28

29.29

29.30

N. Srinivas, K. Deb: Multiobjective function optimization using nondominated sorting genetic algorithms, Evolut. Comput. 3, 221–248 (1995) H. Ishibuchi, T. Murata: A multiobjective genetic local search algorithm and its application to flowshop scheduling, IEEE Trans. Syst. Man Cybern. 28(3), 392–403 (1998) E. Zitzler, L. Thiele: Multiobjective evolutionary algorithms: a comparative case study and the strength Pareto approach, IEEE Trans. Evolut. Comput. 3(4), 257–271 (1999) E. Zitzler, L. Thiele: SPEA2: Improving the Strength Pareto Evolutionary Algorithm, Technical Report 103, (Computer Engineering and Communication Networks Lab, Zurich 2001) D. Tate, A. Smith: Unequal-area facility layout by genetic search, IIE Trans. 27, 465–472 (1995) J. Cohoon, S. Hegde, N. Martin: Distributed genetic algorithms for the floor-plan design problem, IEEE Trans. Comput.-Aided Des. 10, 483–491 (1991) K. Tam: Genetic algorithms, function optimization, facility layout design, Eur. J. Oper. Res. 63, 322–346 (1992) A. Kusiak, S. Heragu: The facility layout problem, Eur. J. Oper. Res. 29, 229–251 (1987) M. Pinedo: Scheduling Theory, Algorithms and Systems (Prentice-Hall, Upper Saddle River 2002) I. Kacem, S. Hammadi, P. Borne: Approach by localization and multiobjective evolutionary optimization for flexible job-shop scheduling problems, IEEE Trans. Syst. Man Cybern. Part C 32(1), 408–419 (2002) H. Zhang, M. Gen: Multistage-based genetic algorithm for flexible job-shop scheduling problem, J. Complex. Int. 11, 223–232 (2005) K.W. Kim, Y.S. Yun, J.M. Yoon, M. Gen, G. Yamazaki: Hybrid genetic algorithm with adaptive abilities for resource-constrained multiple project scheduling, Comput. Ind. 56(2), 143–160 (2005) D. Turbide: Advanced planning and scheduling (APS) systems, Midrange ERP Mag. (1998) C. Moon, J.S. Kim, M. Gen: Advanced planning and scheduling based on precedence and resource constraints for e-Plant chains, Int. J. Prod. Res. 42(15), 2941–2955 (2004) C. Moon, Y. Seo: Evolutionary algorithm for advanced process planning and scheduling in a multi-plant, Comput. Ind. Eng. 48(2), 311–325 (2005) Y. Tsujimura, M. Gen, E. Kubota: Solving fuzzy assembly-line balancing problem with genetic algorithms, Comput. Ind. Eng. 29(1/4), 543–547 (1995)

29.31

29.32 29.33

29.34

29.35

29.36

29.37

29.38

29.39

29.40

29.41

29.42

29.43

29.44

29.45

29.46

29.47

M. Gen, Y. Tsujimura, Y. Li: Fuzzy assembly line balancing using genetic algorithms, Comput. Ind. Eng. 31(3/4), 631–634 (1996) J. Rubinovitz, G. Levitin: Genetic algorithm for line balancing, Int. J. Prod. Econ. 41, 343–354 (1995) J. Gao, G. Chen, L. Sun, M. Gen, An efficient approach for type II robotic assembly line balancing problems, Comput. Ind. Eng., in press (2007) L. Qiu, W. Hsu, S. Huang, H. Wang: Scheduling and routing algorithms for AGVs: a survey, Int. J. Prod. Res. 40(3), 745–760 (2002) I.F.A. Vis: Survey of research in the design and control of automated guided vehicle systems, Eur. J. Oper. Res. 170(3), 677–709 (2006) T. Le-Anh, D. Koster: A review of design and control of automated guided vehicle systems, Eur. J. Oper. Res. 171(1), 1–23 (2006) J.K. Lin: Study on guide path design and path planning in automated guided vehicle system. Ph.D. Thesis (Waseda University, Japan 2004) L. Lin, S.W. Shinn, M. Gen, H. Hwang: Network model and effective evolutionary approach for AGV dispatching in manufacturing system, J. Intell. Manuf. 17(4), 465–477 (2006) Y.K. Lau, Y. Zhao: Integrated scheduling of handling equipment at automated container terminals, Ann. Operat. Res. 159(1), 373–394 (2008) A. Imai, H.C. Chen, E. Nishimura, S. Papadimitriou: The simultaneous berth and quay crane allocation problem, Transp. Res. Part E: Logist. Transp. Rev. 44(5), 900–920 (2008) P. Preston, E. Kozan: An approach to determine storage locations of containers at seaport terminals, Comput. Oper. Res. 28(10), 983–995 (2001) J.B. Yang: GA-based discrete dynamic programming approach for scheduling in FMS environment, IEEE Trans. Syst. Man Cybern. B 31(5), 824–835 (2001) K. Kim, G. Yamazaki, L. Lin, M. Gen: Network-based hybrid genetic algorithm to the scheduling in FMS environments, J. Artif. Life Robot. 8(1), 67–76 (2004) S.H. Kim, H. Hwang: An adaptive dispatching algorithm for automated guided vehicles based on an evolutionary process, Int. J. Prod. Econ. 60/61, 465–472 (1999) A. Scholl, N. Boysen, M. Fliedner, R. Klein: Homepage for assembly line optimization research, http://www.assembly-line-balancing.de/ A. Scholl: Data of Assembly Line Balancing Problems. Schriften zur Quantitativen Betriebswirtschaftslehre 16/93, (TH Darmstadt, Darmstadt 1993) G. Levitin, J. Rubinovitz, B. Shnits: A genetic algorithm for robotic assembly balancing, Eur. J. Oper. Res. 168, 811–825 (2006 )

503

Automating E

30. Automating Errors and Conflicts Prognostics and Prevention Xin W. Chen, Shimon Y. Nof

30.1 Definitions ........................................... 503 30.2 Error Prognostics and Prevention Applications.................. 506 30.2.1 Error Detection in Assembly and Inspection ........................... 506 30.2.2 Process Monitoring and Error Management ................ 506

30.2.3 Hardware Testing Algorithms ........ 30.2.4 Error Detection in Software Design 30.2.5 Error Detection and Diagnostics in Discrete-Event Systems ............ 30.2.6 Error Detection in Service and Healthcare Industries ............ 30.2.7 Error Detection and Prevention Algorithms for Production and Service Automation ............... 30.2.8 Error-Prevention Culture (EPC) ......

507 509 510 511

511 512

30.3 Conflict Prognostics and Prevention ....... 512 30.4 Integrated Error and Conflict Prognostics and Prevention .................................... 30.4.1 Active Middleware....................... 30.4.2 Conflict and Error Detection Model 30.4.3 Performance Measures .................

513 513 514 515

30.5 Error Recovery and Conflict Resolution.... 515 30.5.1 Error Recovery............................. 515 30.5.2 Conflict Resolution ...................... 520 30.6 Emerging Trends .................................. 30.6.1 Decentralized and Agent-Based Error and Conflict Prognostics and Prevention ........................... 30.6.2 Intelligent Error and Conflict Prognostics and Prevention .......... 30.6.3 Graph and Network Theories ........ 30.6.4 Financial Models for Prognostics Economy ..............

520

520 521 521 521

30.7 Conclusion ........................................... 521 References .................................................. 522

30.1 Definitions All humans commit errors (“To err is human”) and encounter conflicts. In the context of automation, there are two main questions: (1) Does automation commit errors and encounter conflicts? (2) Can automation

help humans prevent errors and eliminate conflicts? All human-made automation includes human-committed errors and conflicts, for example, human programming errors, design errors, and conflicts between

Part C 30

Errors and conflicts exist in many systems. A fundamental question from industries is How can errors and conflicts in systems be eliminated by automation, or can we at least use automation to minimize their damage? The purpose of this chapter is to illustrate a theoretical background and applications of how to automatically prevent errors and conflicts with various devices, technologies, methods, and systems. Eight key functions to prevent errors and conflicts are identified and their theoretical background and applications in both production and service are explained with examples. As systems and networks become larger and more complex, such as global enterprises and the Internet, error and conflict prognostics and prevention become more important and challenging; the focus is shifting from passive response to proactive prognostics and prevention. Additional theoretical developments and implementation efforts are needed to advance the prognostics and prevention of errors and conflicts in many real-world applications.

504

Part C

Automation Design: Theory, Elements, and Methods

Table 30.1 Examples of errors and conflicts in production automation

Error

Conflict





A robot drops a circuit board while moving it between two locations • A machine punches two holes on a metal sheet while only one is needed, because the size of the metal sheet is recognized incorrectly by the vision system • A lathe stops processing a shaft due to power outage • The server of a computer-integrated manufacturing system crashes due to high temperature • A facility layout generated by a software program cannot be implemented due to irregular shapes

Part C 30.1

human planners. Two automation systems, designed separately by different human teams, will encounter conflicts when they are expected to collaborate, for instance, the need for communication protocol standards to enable computers to interact automatically. Some errors and conflicts are inherent to automation, similar to all human-made creations, for instance, a robot mechanical structure that collapses under weight overload. An error is any input, output or intermediate result that has occurred or will occur in a system and does not meet system specification, expectation or comparison objective. A conflict is an inconsistency between different units’ goals, plans, tasks or other activities in a system. A system usually has multiple units, some of which collaborate, cooperate, and/or coordinate to complete tasks. The most important difference between an error and a conflict is that an error can involve only one a)

b)

c)

Two numerically controlled machines request help from the same operator at the same time • Three different software packages are used to generate optimal schedule of jobs for a production facility; the schedules generated are totally different • Two automated guided vehicles collide • A DWG (drawing) file prepared by an engineer with AutoCAD cannot be opened by another engineer with the same software • Overlapping workspace defined by two cooperating robots

unit, whereas a conflict involves two or more units in a system. An error at a unit may cause other errors or conflicts, for instance, a workstation that cannot provide the required number of products to an assembly line (a conflict) because one machine at the workstation breaks down (an error). Similarly, a conflict may cause other errors and conflicts, for instance, a machine that did not receive required products (an error) because the automated guided vehicles that carry the products collided when they were moving toward each other on the same path (a conflict). These phenomena, errors leading to other errors or conflicts, and conflicts leading to other errors or conflicts, are called error and conflict propagation. Errors and conflicts are different but related. The definition of the two terms is often subject to the understanding and modeling of a system and its units. Mathematical equations can help define errors and cond)

e)

f)

Fig. 30.1a–f Errors and conflicts in a pin insertion task: (a) successful insertion; (b–f) are unsuccessful insertion with

(1) errors if the pin and the two other components are considered as one unit in a system, or (2) conflicts if the pin is a unit and the two other components are considered as another unit in a system [30.1]

Automating Errors and Conflicts Prognostics and Prevention

30.1 Definitions

505

Table 30.2 Examples of errors and conflicts in service automation

Error

Conflict





The engine of an airplane shuts down unexpectedly during the flight • A patient’s electronic medical records are accidently deleted during system recovery • A pacemaker stops working • Traffic lights go off due to lightening • A vending machine does not deliver drinks or snacks after the payment • Automatic doors do not open • An elevator stops between two floors • A cellphone automatically initiates phone calls due to a software glitch

flicts. An error is defined as Dissatisfy

if ϑi (t) −−−−−→ conr (t) .

(30.1)

  E u r,i (t) is an error, u i (t) is unit i in a system at time t, ϑi (t) is unit i’s state at time t that describes what has occurred with unit i by time t, conr (t) denotes constraint r Dissatisfy

in the system at time t, and −−−−−→ denotes that a constraint is not satisfied. Similarly, a conflict is defined as ∃C [nr (t)] ,

Dissatisfy

if θi (t) −−−−−→ conr (t) .

(30.2)

C [nr (t)] is a conflict and nr (t) is a network of units that need to satisfy conr (t) at time t. The use of constraints helps define errors and conflicts unambiguously. A constraint is the system specification, expectation, comparison objective or acceptable difference between different units’ goals, plans, tasks or other activities. Tables 30.1 and 30.2 illustrate errors and conflicts in automation with some typical examples. There are also human errors and conflicts that exist in automation systems. Figure 30.1 describes the difference between errors and conflicts in pin insertion. This Chapter provides a theoretical background and illustrates applications of how to prevent errors and conflicts automatically in production and service. Different terms have been used to describe the concept of errors and conflicts, for instance, failure (e.g., [30.2–5]), fault (e.g., [30.4, 6]), exception (e.g., [30.7]), and flaw (e.g., [30.8]). Error and conflict are the most popular terms appearing in literature (e.g., [30.3,4,6,9–15]). The

related terms listed here are also useful descriptions of errors and conflicts. Depending on the context, some of these terms are interchangeable with error; some are interchangeable with conflict; and the rest refer to both error and conflict. Eight key functions have been identified as useful to prevent errors and conflicts automatically as described below [30.16–19]. Functions 5–8 prevent errors and conflicts with the support of functions 1–4. Functions 6–8 prevent errors and conflicts by managing those that have already occurred. Function 5, prognostics, is the only function that actively determines which errors and conflicts will occur, and prevents them. All other seven functions are designed to manage errors and conflicts that have already occurred, although as a result they can prevent future errors and conflicts directly or indirectly. Figure 30.2 describes error and conflict propagation and their relationship with the eight functions: 1. Detection is a procedure to determine if an error or a conflict has occurred. 2. Identification is a procedure to identify the observation variables most relevant to diagnosing an error or conflict; it answers the question: Which of them has already occurred? 3. Isolation is a procedure to determine the exact location of an error or conflict. Isolation provides more information than identification function, in which only the observation variables associated with the error or conflict are determined. Isolation does not provide as much information as the diagnostics function, however, in which the type, magnitude,

Part C 30.1

  ∃E u r,i (t) ,

The time between two flights in an itinerary generated by an online booking system is too short for transition from one flight to the other • A ticket machine sells more tickets than the number of available seats • An ATM machine dispenses $ 250 when a customer withdraws $ 260 • A translation software incorrectly interprets text • Two surgeries are scheduled in the same room due to a glitch in a sensor that determines if the room is empty

506

Part C

Automation Design: Theory, Elements, and Methods

Prognostics

5. Propagation

6. Error conflicts

Propagation

Diagnostics Detection Identification Isolation

E [u(r2, i, t)] C[n(r1, t)]

Propagation

Error conflicts

Occurrence u(i, t) n(r1, t)

Error recovery Conflict resolution Exception handling

Fig. 30.2 Error and conflict propagation and eight functions to prevent errors and conflicts

Part C 30.2

and time of the error or conflict are determined. Isolation answers the question: Where has an error or conflict occurred? 4. Diagnostics is a procedure to determine which error or conflict has occurred, what their specific

7. 8.

characteristics are, or the cause of the observed outof-control status. Prognostics is a procedure to prevent errors and conflicts through analysis and prediction of error and conflict propagation. Error recovery is a procedure to remove or mitigate the effect of an error. Conflict resolution is a procedure to resolve a conflict. Exception handling is a procedure to manage exceptions. Exceptions are deviations from an ideal process that uses the available resources to achieve the task requirement (goal) in an optimal way.

There has been extensive research on the eight functions, except prognostics. Various models, methods, tools, and algorithms have been developed to automate the management of errors and conflicts in production and service. Their main limitation is that most of them are designed for a specific application area, or even a specific error or conflict. The main challenge of automating the management of errors and conflicts is how to prevent them through prognostics, which is supported by the other seven functions and requires substantial research and developments.

30.2 Error Prognostics and Prevention Applications 30.2.1 Error Detection in Assembly and Inspection As the first step to prevent errors, error detection has attracted much attention, especially in assembly and inspection; for instance, researchers [30.3] have studied an integrated sensor-based control system for a flexible assembly cell which includes error detection function. An error knowledge base has been developed to store information about previous errors that had occurred in assembly operations, and corresponding recovery programs which had been used to correct them. The knowledge base provides support for both error detection and recovery. In addition, a similar machinelearning approach to error detection and recovery in assembly has been discussed. To realize error recovery, failure diagnostics has been emphasized as a necessary step after the detection and before the recovery. It is noted that, in assembly, error detection and recovery are often integrated. Automatic inspection has been applied in various manufacturing processes to detect, identify, and isolate

errors or defects with computer vision. It is mostly used to detect defects on printed circuit board [30.20–22] and dirt in paper pulps [30.23, 24]. The use of robots has enabled automatic inspection of hazardous materials (e.g., [30.25]) and in environments that human operators cannot access, e.g., pipelines [30.26]. Automatic inspection has also been adopted to detect errors in many other products such as fuel pellets [30.27], printing the contents of soft drink cans [30.28], oranges [30.29], aircraft components [30.30], and microdrills [30.31]. The key technologies involved in automatic inspection include but are not limited to computer or machine vision, feature extraction, and pattern recognition [30.32–34].

30.2.2 Process Monitoring and Error Management Process monitoring, or fault detection and diagnostics in industrial systems, has become a new subdiscipline within the broad subject of control and signal processing [30.35]. Three approaches to manage faults for process monitoring are summarized in Fig. 30.3. The

Automating Errors and Conflicts Prognostics and Prevention

Parameter estimation Observers Parity relations Shewhart charts Univariate statistical Cumulative sum (CUSUM) charts monitoring Exponentially weighted moving average (EWMA) charts

Analytical approach

Data-driven approach

Principal component analysis (PCA) Multivariate statistical techniques

Fisher discriminant analysis (FDA) Partial least squares (PLS) Canonical variate analysis (CVA) Signed directed graph (SDG)

Causal analysis techniques Knowledgebased approach

Symptom tree model (STM)

Expert systems Artificial neural networks (ANN)

Pattern recognition techniques

Self-organizing map (SOM)

Fig. 30.3 Techniques of fault management in process monitoring

30.2.3 Hardware Testing Algorithms The three fault management approaches discussed in Sect. 30.2.2 can also be classified according to the way that a system is modeled. In the analytical approach, quantitative models are used which require the complete specification of system components, state variables, observed variables, and functional relationships among them for the purpose of fault management. The datadriven approach can be considered as the effort to develop qualitative models in which previous and current data obtained from a system are used. Qualitative models usually require less information about a system than do quantitative models. The knowledge-based approach uses qualitative models and other types of models; for instance, pattern recognition techniques use multivariate statistical tools and employ qualitative models, whereas the signed directed graph is a typical dependence model which represents the cause–effect relationships in the form of a directed graph [30.36]. Similar to algorithms used in quantitative and qualitative models, optimal and near-optimal test sequences have been developed to diagnose faults in hardware [30.36–45]. The goal of the test sequencing problem is to design a test algorithm that is able to unambiguously identify the occurrence of any system state (faulty or fault-free state) using the test in the test set and minimizes the expected testing cost [30.37].

507

S0, S1, S2, S3, S4 T3

p S0, S3

p f

T2 p S0

f

f

S1, S2, S4

Test passes Test fails OR node AND node

T1 p

S3

f

S1, S2

S4

T2 p S2

f S1

Fig. 30.4 Single-fault test strategy

The test sequencing problem belongs to the general class of binary identification problems. The problem to diagnose single fault is a perfectly observed Markov decision problem (MDP). The solution to the MDP is a deterministic AND/OR binary decision tree with OR nodes labeled by the suspect set of system states and AND nodes denoting tests (decisions) (Fig. 30.4). It is

Part C 30.2

analytical approach generates features using detailed mathematical models. Faults can be detected and diagnosed by comparing the observed features with the features associated with normal operating conditions directly or after some transformation [30.19]. The datadriven approach applies statistical tools on large amount of data obtained from complex systems. Many quality control methods are examples of the data-driven approach. The knowledge-based approach uses qualitative models to detect and analyze faults. It is especially suited for systems in which detailed mathematical models are not available. Among these three approaches, the data-driven approach is considered most promising because of its solid theoretical foundation compared with the knowledge-based approach and its ability to deal with large amount of data compared with the analytical approach. The knowledge-based approach, however, has gained much attention recently. Many errors and conflicts can be detected and diagnosed only by experts who have extensive knowledge and experience, which need to be modeled and captured to automate error and conflict prognostics and prevention.

30.2 Error Prognostics and Prevention Applications

508

Part C

Automation Design: Theory, Elements, and Methods

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

Component with test Component without test

Fig. 30.5 Digraph model of an example system

Part C 30.2

well known that the construction of the optimal decision tree is an NP-complete problem [30.37]. To subdue the computational explosion of the optimal test sequencing problem, algorithms that integrate concepts from information theory and heuristic search have been developed and were first used to diagnose faults in electronic and electromechanical systems with a single fault [30.37]. An X-Windows-based software tool, the testability engineering and maintenance system (TEAMS), has been developed for testability analysis of large systems containing as many as

50 000 faults and 45 000 test points [30.36]. TEAMS can be used to model individual systems and generate near-optimal diagnostic procedures. Research on test sequencing then expanded to diagnose multiple faults [30.41–45] in various real-world systems including the Space Shuttle’s main propulsion system. Test sequencing algorithms with unreliable tests [30.40] and multivalued tests [30.45] have also been studied. To diagnose a single fault in a system, the relationship between the faulty states and tests can be modeled by directed graph (digraph model) (Fig. 30.5). Once a system is described in a diagraph model, the full order dependences among failure states and tests can be captured by a binary test matrix, also called a dependency matrix (D-matrix, Table 30.3). Other researchers have used digraph model to diagnose faults in hypercube microprocessors [30.46]. The directed graph is a powerful tool to describe dependences among system components and tests. Three important issues have been brought to light by extensive research on test sequencing problem and should be considered when diagnosing faults for hardware: 1. The order of dependences. The first-order cause– effect dependence between two nodes, i. e., how a faulty node affects another node directly, is the simplest dependence relationship between two nodes. Earlier research did not consider the dependences among nodes [30.37, 38], whereas in

Table 30.3 D-matrix of the example system derived from Fig. 30.5 State/test

T1 (5)

T2 (6)

T3 (8)

T4 (11)

T5 (12)

T6 (13)

T7 (14)

T8 (15)

S1 (1)

0

1

0

1

1

0

0

0

S2 (2)

0

0

1

1

0

1

1

0

S3 (3)

0

0

0

0

0

0

0

1

S4 (4)

0

0

1

0

1

0

1

0

S5 (5)

1

0

0

0

0

0

1

0

S6 (6)

0

1

0

0

1

0

0

0

S7 (7)

0

0

0

1

0

0

0

0

S8 (8)

0

0

1

0

0

0

1

0

S9 (9)

0

0

0

0

1

0

0

0

S10 (10)

0

0

0

0

0

0

0

1

S11 (11)

0

0

0

1

0

0

0

0

S12 (12)

0

0

0

0

1

0

0

0

S13 (13)

0

0

0

0

0

1

0

0

S14 (14)

0

0

0

0

0

0

1

0

S15 (15)

0

0

0

0

0

0

0

1

Automating Errors and Conflicts Prognostics and Prevention

Another interesting aspect of the test sequencing problem is the list of assumptions that have been discussed in several articles, which are useful guidelines for the development of algorithms for hardware testing: 1. At most one faulty state (component or unit) in a system at any time [30.37]. This may be achieved if the system is tested frequently enough [30.42]. 2. All faults are permanent faults [30.37]. 3. Tests can identify system states unambiguously [30.37]. In other words, a faulty state is either identified or not identified. There is not a situation such as: There is a 60% probability that a faulty state has occurred. 4. Tests are 100% reliable [30.40, 45]. Both false positive and false negative rates are zero. 5. Tests do not have common setup operations [30.42]. This assumption has been proposed to simplify the cost comparison among tests. 6. Faults are independent [30.42]. 7. Failure states that are replaced/repaired are 100% functional [30.42]. 8. Systems are zero-time systems [30.45].

Note the critical difference between assumptions 3 and 4. Assumption 3 is related to diagnostics ability. When an unambiguous test detects a fault, the conclusion is that the fault has definitely occurred with 100% probability. Nevertheless, this conclusion could be wrong if the false positive rate is not zero. This is the test (diagnostics) reliability described in assumption 4. When an unambiguous test does not detect a fault, the conclusion is that the fault has not occurred with 100% probability. Similarly, this conclusion could be wrong if the false negative rate is not zero. Unambiguous tests have better diagnostics ability than ambiguous tests. If a fault has occurred, ambiguous tests conclude that the fault has occurred with a probability less than one. Similarly, if the fault has not occurred, ambiguous tests conclude that the fault has not occurred with a probability less than one. In summary, if assumption 3 is true, a test gives only two results: a fault has occurred or has not occurred, always with probability 1. If both assumptions 3 and 4 are true, (1) a fault must have occurred if the test concludes that it has occurred, and (2) a fault must have not occurred if the test concludes that it has not occurred.

30.2.4 Error Detection in Software Design The most prevalent method to detect errors in software is model checking. As Clarke et al. [30.47] state, model checking is a method to verify algorithmically if the model of software or hardware design satisfies given requirements and specifications through exhaustive enumeration of all the states reachable by the system and the behaviors that traverse them. Model checking has been successfully applied to identify incorrect hardware and protocol designs, and recently there has been a surge in work on applying it to reason about a wide variety of software artifacts; for example, model checking frameworks have been applied to reason about software process models, (e.g., [30.48]), different families of software requirements models (e.g., [30.49]), architectural frameworks (e.g., [30.50]), design models (e.g., [30.51]), and system implementations (e.g., [30.52–55]). The potential of model checking technology for (1) detecting coding errors that are hard to detect using existing quality assurance methods, e.g., bugs that arise from unanticipated interleavings in concurrent programs, and (2) verifying that system models and implementations satisfy crucial temporal properties and other lightweight specifications has led a number of international corporations and government research laboratories such as Microsoft,

509

Part C 30.2

most recent research different algorithms and test strategies have been developed with the consideration of not only the first-order, but also high-order dependences among nodes [30.43–45]. The highorder dependences describe relationships between nodes that are related to each other through other nodes. 2. Types of faults. Faults can be classified into two categories: functional faults and general faults. A component or unit in a complex system may have more than one function. Each function may become faulty. A component may therefore have one or more functional faults, each of which involves only one function of the component. General faults are those faults that cause faults in all functions of a component. If a component has a general fault, all its functions are faulty. Models that describe only general faults are often called worst-case models [30.36] because of their poor diagnosing ability; 3. Fault propagation time. Systems can be classified into two categories: zero-time and nonzero-time systems [30.45]. Fault propagation in zero-time systems is instantaneous to an observer, whereas in nonzero-time systems it is several orders of magnitude slower than the response time of the observer. Zero-time systems can be abstracted by taking the propagation times to be zero.

30.2 Error Prognostics and Prevention Applications

510

Part C

Automation Design: Theory, Elements, and Methods

Part C 30.2

IBM, Lucent, NEC, the National Aeronautics and Space Administration (NASA), and the Jet Propulsion Laboratory (JPL) to fund their own software model checking projects. A drawback of model checking is the stateexplosion problem. Software tends to be less structured than hardware and is considered as a concurrent but asynchronous system. In other words, two independent processes in software executing concurrently in either order result in the same global state [30.47]. Failing to execute checking because of too many states is a particularly serious problem for software. Several methods, including symbolic representation, partial order reduction, compositional reasoning, abstraction, symmetry, and induction, have been developed either to decrease the number of states in the model or to accommodate more states, although none of them has been able to solve the problem by allowing a general number of states in the system. Based on the observation that software model checking has been particularly successful when it can be optimized by taking into account properties of a specific application domain, Hatcliff and colleagues have developed Bogor [30.56], which is a highly modular model-checking framework that can be tailored to specific domains. Bogor’s extensible modeling language allows new modeling primitives that correspond to domain properties to be incorporated into the modeling language as first-class citizens. Bogor’s modular architecture enables its core model-checking algorithms to be replaced by optimized domain-specific algorithms. Bogor has been incorporated into Cadena and tailored to checking avionics designs in the common object request broker architecture (CORBA) component model (CCM), yielding orders of magnitude reduction in verification costs. Specifically, Bogor’s modeling language has been extended with primitives to capture CCM interfaces and a real-time CORBA (RT-CORBA) event channel interface, and Bogor’s scheduling and state-space exploration algorithms were replaced with a scheduling algorithm that captures the particular scheduling strategy of the RT-CORBA event channel and a customized state-space storage strategy that takes advantage of the periodic computation of avionics software. Despite this successful customizable strategy, there are additional issues that need to be addressed when incorporating model checking into an overall design/development methodology. A basic problem concerns incorrect or incomplete specifications: before verification, specifications in some logical formalism

(usually temporal logic) need to be extracted from design requirements (properties). Model checking can verify if a model of the design satisfies a given specification. It is impossible, however, to determine if the derived specifications are consistent with or cover all design properties that the system should satisfy. That is, it is unknown if the design satisfies any unspecified properties, which are often assumed by designers. Even if all necessary properties are verified through model checking, code generated to implement the design is not guaranteed to meet design specifications, or more importantly, design properties. Model-based software testing is being studied to connect the two ends in software design: requirements and code. The detection of design errors in software engineering has received much attention. In addition to model checking and software testing, for instance, Miceli et al. [30.8] has proposed a metric-based technique for design flaw detection and correction. In parallel computing, synchronization errors are major problems and a nonintrusive detection method for synchronization errors using execution replay has been developed [30.14]. Besides, concurrent error detection (CED) is well known for detecting errors in distributed computing systems and its use of duplications [30.9, 57], which is sometimes considered a drawback.

30.2.5 Error Detection and Diagnostics in Discrete-Event Systems Recently, Petri nets have been applied in fault detection and diagnostics [30.58–60] and fault analysis [30.61– 63]. Petri nets are formal modeling and analysis tool for discrete-event or asynchronous systems. For hybrid systems that have both event-driven and time-driven (synchronous) elements, Petri nets can be extended to global Petri nets to model both discrete-time and event elements. To detect and diagnose faults in discreteevent systems (DES), Petri nets can be used together with finite-state machines (FSM) [30.64, 65]. The notion of diagnosability and a construction procedure for the diagnoser have been developed to detect faults in diagnosable systems [30.64]. A summary of the use of Petri nets in error detection and recovery before the 1990s can be found in the work of Zhou and DiCesare [30.66]. To detect and diagnose faults with Petri nets, some of the places in a Petri net are assumed observable and others are not. All transitions in the Petri net are also unobservable. Unobservable places, i. e., faults, indicate that the number of tokens in those places is not

Automating Errors and Conflicts Prognostics and Prevention

30.2.6 Error Detection in Service and Healthcare Industries Errors tend to occur frequently in certain service industries that involve intensive human operations. As the use of computers and other automation devices, e.g., handwriting recognition and sorting machines in postal service, becomes increasingly popular, errors can be effectively and automatically prevented and reduced to minimum in many service industries including delivery, transportation, e-Business, and e-Commerce. In some other service industries, especially in healthcare systems, error detection is critical and limited research has been conducted to help develop systems that can automatically detect human errors and other types of errors [30.68–72]. Several systems and modeling tools have been studied and applied to detect errors in health industries with the help of automation devices (e.g., [30.73–76]). Much more research needs to be conducted to advance the development of automated error detection in service industries.

30.2.7 Error Detection and Prevention Algorithms for Production and Service Automation The fundamental work system has evolved from manual power, human–machine system, computer-

aided and computer-integrated systems, and then to e-Work [30.77], which enables distributed and decentralized operations where errors and conflicts propagate and affect not only the local workstation, but the entire production/service network. Agent-based algorithms, e.g., (30.3), have been developed to detect and prevent errors in the process of providing a single product/service in a sequential production/service line [30.78, 79]. Q i is the performance of unit i. Um and L m are the upper limit and lower limit, respectively, of the acceptable performance of unit m. Um and L m are the upper limit and lower limit, respectively, of the acceptable level of the quality of a product/service after the operation of unit m. Units 1 through m − 1 complete their operation on a product/service before unit m starts its operation on the same product/service. An agent deployed at unit m executes (30.3) to prevent errors 1 0 m−1

 Qi ∃E(u m ) , if Um − L m < i=1

0 ∪

L m − Um

>

m−1

1 Qi

.

(30.3)

i=1

In the process of providing multiple products/services, traditionally, the centralized algorithm (30.4) is used to predict errors in a sequential production/service line. Ii (0) is the quantity of available raw materials for unit i at time 0. ηi is the probability a product/service is within specifications after being operated by unit i, assuming the product/service is within specifications before being operated by unit i. ϕm (t) is the needed number of qualified products/services after the operation of unit m at time t. Equation (30.4) predicts at time 0 the potential errors that may occur at unit m at time t. Equation (30.4) is executed by a central control unit that is aware of Ii (0) and ηi of all units. Equation (30.4) often has low reliability, i. e., high false positive rates (errors are predicted but do not occur), or low preventability, i. e., high false negative rate (errors occur but are not predicted), because it is difficult to obtain accurate ηi when there are many units in the system. 0 1 m # m ηi < ϕm (t) ∃E[u m (t)] , if min Ii (0) × i=1

i

(30.4)

To improve reliability and preventability, agent-based error prevention algorithms, e.g., (30.5), have been de-

511

Part C 30.2

observable, whereas unobservable transitions indicate that their occurrences cannot be observed [30.58, 60]. The objective of the detection and diagnostics is to identify the occurrence and type of a fault based on observable places within finite steps of observation after the occurrence of the fault. It is clear that to detect and diagnose faults with Petri nets, system modeling is complex and time consuming because faulty transitions and places must be included in a model. Research on this subject has mainly involved the extension of previous work using FSM and has made limited progress. Faults in discrete-event systems can be diagnosed with the decentralized approach [30.67]. Distributed diagnostics can be performed by either diagnosers communicating with each other directly or through a coordinator. Alternatively, diagnostics decisions can be made completely locally without combining the information gathered [30.67]. The decentralized approach is a viable direction for error detection and diagnostics in large and complex systems.

30.2 Error Prognostics and Prevention Applications

512

Part C

Automation Design: Theory, Elements, and Methods

30.2.8 Error-Prevention Culture (EPC) Error

Circumstance

Known causes

Root causes after error analysis

Initial response

Contingent action

Preventive action

Unknown causes

Fig. 30.6 Incident mapping

Part C 30.3

veloped to prevent errors in the process of providing multiple products/services [30.80]. Cm (t  ) is the number of cumulative conformities produced by unit m by time t  . Nm (t  ) is the number of cumulative nonconformities produced by unit m by time t  . An agent deployed at unit m executes (30.5) by using information about unit m − 1, i. e., Im−1 (t  ), ηm−1 , and Cm−1 (t  ) to prevent errors that may occur at time t, t  < t. Multiple agents deployed at different units can execute (30.5) simultaneously to prevent errors. Each agent can have its own attitude, i. e., optimistic or pessimistic, toward the possible occurrence of errors. Additional details about agent-based error prevention algorithms can be found in the work by Chen and Nof [30.80]:  ∃E[u m (t)] if min Im (t  ), Im−1 (t  ) × ηm−1 + Cm−1 (t  ) − Nm (t  )  − Cm (t  ) × ηm + Cm (t  ) (30.5) < ϕm (t), t  < t .

To prevent errors effectively, an organization is expected to cultivate an enduring error-prevention culture (EPC) [30.81], i. e., the organization knows what to do to prevent errors when no one is telling it what to do. The EPC model has five components [30.81]: 1. Performance management: the human performance system helps manage valuable assets and involves five key areas: (a) an environment to minimize errors, (b) human resources that are capable of performing tasks, (c) task monitoring to audit work, (d) feedback provided by individuals or teams through collaboration, and (e) consequences provided to encourage or discourage people for their behaviors. 2. System alignment: an organization’s operating systems must be aligned to get work done with discipline, routines, and best practices. 3. Technical excellence: an organization must promote shared technical and operational understanding of how a process, system or asset should technically perform. 4. Standardization: standardization supports error prevention with a balanced combination of good manufacturing practices. 5. Problem-resolution skills: an organization needs people with effective statistical diagnostics and issue-resolution skills to address operational process challenges. Not all errors can be prevented manually and/or by automation systems. When an error does occur, incident mapping (Fig. 30.6) [30.81] as one of the exceptionhandling tools can be used to analyze the error and proactively prevent future errors.

30.3 Conflict Prognostics and Prevention Conflicts can be categorized into three classes [30.82]: goal conflicts, plan conflicts, and belief conflicts. Goals of an agent are modeled with an intended goal structure (IGS; e.g., Fig. 30.7), which is extended from a goal structure tree [30.83]. Plans of an agent are modeled with the extended project estimation and review technique (E-PERT) diagram (e.g., Fig. 30.8). An agent has (1) a set of goals which are represented by circles (Fig. 30.7), or circles containing a number (Fig. 30.8), (2) activities such as Act 1 and Act 2 to

achieve the goals, (3) the time needed to complete an activity, e.g., T1, and (4) resources, e.g., R1 and R2 (Fig. 30.8). Goal conflicts are detected by comparing goals by agents. Each agent has a PERT diagram and plan conflicts are detected if agents fail to merge PERT diagrams or the merged PERT diagrams violate certain rules [30.82]. The three classes of conflicts can also be modeled by Petri nets with the help of four basic modules [30.84]: sequence, parallel, decision, and

Automating Errors and Conflicts Prognostics and Prevention

Time Agent A's IGS A0

Agent A's IGS A0 A1

Agent A's IGS A0 A1 A4

A5

A6

Fig. 30.7 Development of agent A’s intended goal structure (IGS)

over time

Agent 1

5

Act5, T5 R1 Act1, T1

1

Act6, T6 R3 Dummy

2 R1

Act4, T4

R2 Act2, T2

3 Agent 2

R2 Act3, T3 4 R1

Agent 3 Act7, T7 6 R3

Act8, T8 7 R3, R4

Middleware was originally defined as software that connects two separate applications or separate products and serves as the glue between two applications; for example, in Fig. 30.9, middleware can link several different database systems to several different web servers. The middleware allows users to request data from any database system that is connected to the middleware using the form displayed on the web browser of one of the web servers. Active middleware is one of the four circles of the “e-” in e-Work as defined by the PRISM

8

Dummy

Fig. 30.8 Merged project estimation and review technique (PERT)

diagram

30.4 Integrated Error and Conflict Prognostics and Prevention 30.4.1 Active Middleware

513

Center (Production, Robotics, and Integration Software for Manufacturing & Management) at Purdue University [30.77]. Six major components in active middleware have been identified [30.89, 90]: modeling tool, workflows, task/activity database, decision support system (DSS), multiagent system (MAS), and collaborative work protocols. Active middleware has been developed to optimize the performance of interactions in heterogeneous, autonomous, and distributed (HAD) environments, and is able to provide an e-Work platform and enables a universal model for error and conflict prognostics and prevention in a distributed environment. Figure 30.10 shows the structure

Part C 30.4

decision-free, to detect conflicts in a multiagent system. Each agent’s goal and plan are modeled by separate Petri nets [30.85], and many Petri nets are integrated using a bottom-up approach [30.66, 84] with three types of operations [30.85]: AND, OR, and precedence. The synthesized Petri net is analyzed to detect conflicts. Only normal transitions and places are modeled in Petri nets for conflict detection. The Petri-net-based approach for conflict detection developed so far has been rather limited. It has emphasized more the modeling of a system and its agents than the analysis process through which conflicts are detected. The three common characteristics of available conflict detection approaches are: (1) they use the agent concept because a conflict involves at least two units in a system; (2) an agent is modeled for multiple times because each agent has at least two distinct attributes: goal and plan; and (3) they not only detect, but mainly prevent conflicts because goals and plans are determined before agents start any activities to achieve them. The main difference between the IGS and PERT approach, and the Petri net approach is that agents communicate with each other to detect conflicts in the former approach whereas a centralized control unit analyzes the integrated Petri net to detect conflicts in the latter approach [30.85]. The Petri net approach does not detect conflicts using agents, although systems are modeled with agent technology. Conflict detection has been mostly applied in collaborative design [30.86–88]. The ability to detect conflicts in distributed design activities is vital to their success because multiple designers tend to pursue individual (local) goals prior to considering common (global) goals.

30.4 Integrated Error and Conflict Prognostics and Prevention

514

Part C

Automation Design: Theory, Elements, and Methods

Database 1

Database 2

Database 3

Database n

Middleware

Server 1

Server 2

Server 3

Server m

Fig. 30.9 Middleware in a database server system

User: Human/machine

Modeling tool

Workflows

Task/activity database

MAS

Cooperative work protocols

Middleware

Part C 30.4

DSS

HAD information systems: Engineering systems, planning decision systems Distributed databases

Enterprises I

Enterprises II

Distributed enterprises

Fig. 30.10 Active middleware architecture (after [30.89])

CEDP Error knowledge base

Detection policy generation

CEDA

Conflict evaluation

Send

Error and conflict announcement

Error detection Receive CEDP Error and conflict announcement

Fig. 30.11 Conflict and error detection model (CEDM)

of the active middleware; each component is described below: 1. Modeling tool: The goal of a modeling tool is to create a representation model for a multiagent system. The model can be transformed to next-level models, which will be the base of the system implementation. 2. Workflows: Workflows describe the sequence and relations of tasks in a system. Workflows store the answer to two questions: (1) Which agent will benefit from the task when it is completed by one or more given agents? (2) Which task must be finished before other tasks can begin? The workflows are specific to the given system, and can be managed by a workflow management system (WFMS). 3. Task/activity database: This database is used to record and help allocate tasks. There are many tasks in a large system such as those applied in automotive industries. Certain tasks are performed by several agents, and others are performed by one agent. The database records all task information and the progress of tasks (activity) and helps allocate and reallocate tasks if required. 4. Decision support system (DSS): DSS for the active middleware is like the operating system for a computer. In addition, DSS already has programs running for monitoring, analysis, and optimization. It can allocate/delete/create tasks, bring in or take off agents, and change workflows. 5. Multiagent system (MAS): MAS includes all agents in a system. It stores information about each agent, for example, capacity and number of agents, functions of an agent, working time, and effective date and expiry date of the agent. 6. Cooperative work protocols: Cooperative work protocols define communication and interaction protocols between components of active middleware. It is noted that communication between agents also includes communication between components because active middleware includes all agents in a system.

30.4.2 Conflict and Error Detection Model A conflict and error detection model (CEDM; Fig. 30.11) that is supported by the conflict and error detection protocol (CEDP, part of collaborative

Automating Errors and Conflicts Prognostics and Prevention

1. Detection latency: The time between the instant that an error occurs and the instant that the error is detected [30.10, 91]. 2. Error coverage: The percentage of detected errors with respect to the total number of errors [30.10]. 3. Cost: The overhead caused by including error detection capability with respect to the system without the capability [30.10]. 4. Conflict severity: The severity of a conflict. It is the sum of the severity caused by the conflict at each involving unit [30.91]. 5. Detectability: The ability of a detection method. It is a function of detection accuracy, cost, and time [30.92]. 6. Preventability: The ratio of the number of errors prevented divided by the total number of errors [30.80]. 7. Reliability: The ratio of the number of errors prevented divided by the number of errors identified or predicted, or the ratio of the number of errors detected divided by the total number of errors [30.40, 45, 80].

30.4.3 Performance Measures Performance measures are necessary for the evaluation and comparison of various error and conflict prognostics and prevention methods. Several measures have already been defined and developed in previous research:

Other performance measures, e.g., total damage and cost–benefit ratio, can be developed to compare different methods. Appropriate performance measures help determine how a specific method performs in different situations and are often required when there are multiple methods available.

30.5 Error Recovery and Conflict Resolution When an error or a conflict occurs and is detected, identified, isolated or diagnosed, there are three possible consequences: (1) other errors or conflicts that are caused by the error or conflict have occurred; (2) other errors or conflicts that are caused by the error or conflict will (probably) occur; (3) other errors or conflicts, or the same error or conflict, will (probably) occur if the current error or conflict is not recovered or resolved, respectively. One of the objectives of error recovery and conflict resolution is to avoid the third consequence when an error or a conflict occurs. They are therefore part of error and conflict prognostics and prevention. There has been extensive research on automated error recovery and conflict resolution, which are often domain specific. Many methods have been developed and applied in various real-world applications in which the main objective of error recovery and conflict resolution is to keep the production or service flowing;

for instance, Fig. 30.12 shows a recovery tree for rheostat pick-up and insertion, which is programmed for automatic error recovery. Traditionally, error recovery and conflict resolution are not considered as an approach to prevent errors and conflicts. In the next two Sections, we describe two examples, error recovery in robotics [30.93] and conflict resolution in collaborative facility design [30.88, 94], to illustrate how to perform these two functions automatically.

30.5.1 Error Recovery Error recovery cannot be avoided when using robots because errors are an inherent characteristic of robotic applications [30.95] that are often not fault tolerant. Most error recovery applications implement preprogrammed nonintelligent corrective actions [30.95–98]. Due to the large number of possible errors and the

515

Part C 30.5

work protocols) and conflict and error detection agents (CEDAs, part of MAS) has been developed [30.91] to detect errors and conflicts in different network topologies. The CEDM integrates CEDP, CEDAs, and four error and conflict detection components (Fig. 30.11). A CEDA is deployed at each unit of a system to (1) detect errors and conflicts by three components (detection policy generation, error detection, and conflict evaluation), which interact with and are supported by error knowledge base, and (2) communicate with other CEDAs to send and receive error and conflict announcements with the support of CEDP. The CEDM has been applied to four different network topologies and the results show that the performance of CEDM is sometimes counterintuitive, i. e., it performs better on networks that seem more complex. To be able to detect both errors and conflicts is desired when they exist in the same system. Because errors are different from conflicts, the activities to detect them are often different and need to be integrated.

30.5 Error Recovery and Conflict Resolution

516

Part C

Automation Design: Theory, Elements, and Methods

Start Rheostat positioned correctly? Move to next rheostat

Recalibrate robot Return to start

Freeze; Call operator

Move to next rheostat Move to next rheostat

Return to start Rheostat available? Move to next rheostat

Go to next feeder Return to start

Freeze; Call operator Return to start

Move to next rheostat Move to next rheostat Rheostat not caught? Release rheostat

Part C 30.5

Is feeder aligned? Return to start

Rheostat inserted?

Return to start

Search for insertion End

Freeze; Call operator

Discard rheostat Increment failurecounter Counter < 3? Return to start

Recalibrate robot Set failurecounter to 0 Return to start

Fig. 30.12 Recovery tree for rheostat pick-up and insertion recovery. A branch may only be entered once; on success

branch downward; on failure branch to right if possible, otherwise branch left; when the end of a branch is reached, unless otherwise specified return to last sensing position; “?” signifies sensing position where sensors or variables are evaluated (after [30.1])

inherent complexity of recovery actions, to automate error recovery fully without human interventions is difficult. The emerging trend in error recovery is to equip

Table 30.4 Multiapproach conflict resolution in collaborative design (Mcr) structure [30.88, 94] (after [30.94], courtesy Elsevier, 2008)

Automating Errors and Conflicts Prognostics and Prevention

Stage Strategy Mcr(1) Direct negotiation

30.5 Error Recovery and Conflict Resolution

Steps to achieve conflict resolution 1. Agent prepares resolution proposal and sends to counterparts 2. Counterpart agents evaluate proposal. If they accept it, go to step 5; otherwise go to step 3 3. Counterpart agents prepare a counteroffer and send it back to originating agent 4. Agent evaluates the counteroffer. If accepted go to step 5; otherwise go to Mcr(2) 5. End of the conflict resolution process

517

Methodologies and tools Heuristics; Knowledge-based interactions; Multiagent systems

1. Third-party agent prepares resolution proposal and sends to counterparts 2. Counterpart agents evaluate the proposal. If accepted, go to step 5; otherwise go to step 3 3. Counterpart agents prepare a counteroffer and send it back to the third-party agent 4. Third-party agent evaluates counteroffer. If accepted go to step 5; otherwise go to Mcr(3) 5. End of the conflict resolution process

Heuristics; Knowledge-based interactions; Multiagent systems; PESUADER [30.99]

Mcr(3) Incorporation of additional parties

1. Specialized agent prepares resolution proposal and sends to counterparts 2. Counterpart agents evaluate the proposal. If accepted, go to step 5; otherwise go to step 3 3. Counterpart agents prepare a counteroffer and send it back to the specialized agent 4. Specialized agent evaluates counteroffer. If accepted go to step 5; otherwise go to Mcr(4) 5. End of the conflict resolution process

Heuristics; Knowledge-based interactions; Expert systems

Mcr(4) Persuasion

1. Third-party agent prepares persuasive arguments and sends to counterparts 2. Counterpart agents evaluate the arguments 3. If the arguments are effective, go to step 4; otherwise go to Mcr(5) 4. End of the conflict resolution process

PERSUADER [30.99]; Case-based reasoning

Mcr(5) Arbitration

1. If conflict management and analysis results in common proposals (X), conflict resolution is achieved through management and analysis 2. If conflict management and analysis results in mutually exclusive proposals (Y), conflict resolution is achieved though conflict confrontation 3. If conflict management and analysis results in no conflict resolution proposals (Z), conflict resolution must be used

Graph model for conflict resolution (GMCR) [30.100] for conflict management and analysis; Adaptive neural-fuzzy inference system (ANFIS) [30.101] for conflict confrontation; Dependency analysis [30.102] and product flow analysis for conflict resolution

Part C 30.5

Mcr(2) Third-party mediation

518

Part C

Automation Design: Theory, Elements, and Methods

Table 30.5 Summary of error and conflict prognostics and prevention theories, applications, and open challenges Applications

Assembly and inspection

Process monitoring

Methods/ technologies

Control theory; Knowledge base; Computer/machine vision; Robotics; Feature extraction; Pattern recognition

Analytical

Data-driven

× × × × ×

× × × ×

Part C 30.5

Functions Detection Diagnostics Identification Isolation Error recovery Conflict resolution Prognostics Exception handling Errors/conflicts Centralized/ decentralized Strengths

Weaknesses

Hardware testing

Software testing

Knowledgebased

Information theory; Heuristic search

Model checking; Bogor; Cadena; Concurrent error detection (CED)

× × × ×

× × × ×

× × ×

× × × ×

×

×

×

E C

E C

E C

E C

E C

E C

Integration of error detection and recovery

Accurate and reliable

Can process large amount of data

Does not require detailed system information

Accurate and reliable

Thorough verification with formal methods

Domain specific; Lack of general methods

Require mathematical models that are often not available

Rely on the quantity, quality, and timeliness of data

Results are subjective and may not be reliable

Difficult to derive optimal algorithms to minimize cost; Time consuming for large systems

[30.3, 20–34]

[30.17, 19, 35]

State explosion; Duplications needed in CED; Cannot deal with incorrect or incomplete specifications [30.8, 9, 14, 47–57]

×

systems with human intelligence so that they can correct errors through reasoning and high-level decision making. An example of an intelligent error recovery system is the neural-fuzzy system for error recovery (NEFUSER) [30.93]). The NEFUSER is both an intelligent system and a design tool of fuzzy logic and neural-fuzzy models for error detection and recovery. The NEFUSER has

[30.36–45]

been applied to a single robot working in an assembly cell. The NEFUSER enables interactions among the robot, the operator, and computer-supported applications. It interprets data and information collected by the robot and provided by the operator, analyzes data and information with fuzzy logic and/or neuralfuzzy models, and makes appropriate error recovery decisions. The NEFUSER has learning ability to im-

Automating Errors and Conflicts Prognostics and Prevention

30.5 Error Recovery and Conflict Resolution

519

Table 30.5 (cont.) Applications

Discrete event system

Collaborative design

Methods/ technologies

Petri net; Finite-state machine (FSM)

Intended goal structure (IGS); Project evaluation and review technique (PERT); Petri net; Conflict detection and management system (CDMS)

× × ×

Weaknesses

Facility description language (FDL); Mcr; CDMS

Detection and prevention algorithms; Reliability theory; Process modeling; Workflow

Conflict and error detection model (CEDM); Active middleware

×

×

×

× ×

× ×

× ×

Fuzzy logic; Artificial intelligence

× × ×

×

× ×

×

E C/D

C C/D

C C/D

E C/D

E/C D

E C/D

Formal method applicable to various systems

Modeling of systems with agent-based technology

Reliable; Easy to apply

Short detection time

Correct errors through reasoning and high-level decision making

State explosion for large systems; System modeling is complex and time-consuming [30.58–67]

An agent may be modeled for multiple times due to many conflicts it is involved

Integration of traditional human conflict resolutions and computer-based learning The adaptability of the methods to other design activities has not been validated

Limited to sequential production and service lines; Domain specific

Needs further development and validation

Needs further development for various applications

[30.77, 86, 88, 94] [30.104–114]

[30.68–80]

[30.77, 89–91]

[30.93, 95–98, 103]

[30.66, 82–88]

prove corrective actions and adapt to different errors. The NEFUSER therefore increases the level of automation by decreasing the number of times that the robot has to stop and the operator has to intervene due to errors. Figure 30.13 shows the interactions between the robot, the operator, and computer-supported applica-

tions. The NEFUSER is the error recovery brain and is programmed and run on MATLAB, which provides a friendly windows-oriented fuzzy inference system (FIS) that incorporates the graphical user interface tools of the fuzzy logic toolbox [30.103]. The example in Fig. 30.13 includes a robot and an operator in an assembly cell. In general, the NEFUSER design for error

Part C 30.5

Functions Detection Diagnostics Identification Isolation Error recovery Conflict resolution Prognostics Exception handling Errors/conflicts Centralized/ decentralized Strengths

Production and service

520

Part C

Automation Design: Theory, Elements, and Methods

NEFUSER

Request help Sensor information

Interact with the system Recovery strategy

Operator

Assist Recovery instructions

Part C 30.6

Controller

Robot

Sensor data

Sensing

Operations and recovery actions

Production process

Fig. 30.13 Interactions with NEFUSER (after [30.93])

recovery includes three main tasks: (1) design the FIS, (2) manage and evaluate information, and (3) train the FIS with real data and information.

30.5.2 Conflict Resolution There is a growing demand for knowledge-intensive collaboration in distributed design [30.94, 113, 114].

Conflict detection has been studied extensively in collaborative design, as has conflict resolution, which is often the next step after a conflict is detected. There has been extensive research on conflict resolution (e.g., [30.105–110]). Recently, a multiapproach method to conflict resolution in collaborative design has been introduced with the development of the facility description language–conflict resolution (FDL-CR) [30.88]. The critical role of computer-supported conflict resolution in distributed organizations has been discussed in great detail [30.77, 104, 111, 112]. In addition, Ceroni and Velasquez [30.86] have developed the conflict detection and management system (CDMS) and their work shows that both product complexity and number of participating designers have a statistically significant effect on the ratio of conflicts resolved to those detected, but that only complexity had a statistically significant effect on design duration. Based on the previous work, most recently, a new method, Mcr (Table 30.4), has been developed to automatically resolve conflict situations common in collaborative facility design using computer-support tools [30.88, 94]. The method uses both traditional human conflict-resolution approaches that have been used successfully by others and principles of conflict prevention to improve design performance and apply computer-based learning to improve usefulness. A graph model for conflict resolution is used to facilitate conflict modeling and analysis. The performance of the new method has been validated by implementing its conflict resolution capabilities in the FDL, a computer tool for collaborative facility design, and by applying FDL-CR, to resolve typical conflict situations. Table 30.4 describes the Mcr structure. Table 30.5 summarizes error and conflict prognostics and prevention methods and technologies in various production and service applications.

30.6 Emerging Trends 30.6.1 Decentralized and Agent-Based Error and Conflict Prognostics and Prevention Most error and conflict prognostics and prevention methods developed so far are centralized approaches (Table 30.5) in which a central control unit controls data and information and executes some or all eight functions to prevent errors and conflicts. The centralized approach often requires substantial time to execute

various functions and the central control unit often possesses incomplete or incorrect data and information [30.80]. These disadvantages become apparent when a system has many units that need to be examined for errors and conflicts. To overcome the disadvantages of the centralized approach, the decentralized approach that takes advantage of the parallel activities of multiple agents has been developed [30.16, 67, 79, 80, 91]. In the decentralized approach, distributed agents detect, identify or isolate

Automating Errors and Conflicts Prognostics and Prevention

errors and conflicts at individual units of a system, and communicate with each other to diagnose and prevent errors and conflicts. The main challenge of the decentralized approach is to develop robust protocols that can ensure effective communications between agents. Further research is needed to develop and improve decentralized approaches for implementation in various applications.

30.6.2 Intelligent Error and Conflict Prognostics and Prevention

30.6.3 Graph and Network Theories The performance of an error and conflict prognostics and prevention method is significantly influenced by the number of units in a system and their relationship. A system can be viewed as a graph or a network with many nodes, each of which represents a unit in the system. The relationship between units is represented by the link between nodes. The study of network topologies has a long history stretching back at least to the 1730s. The classic model of a network, the random network, was first discussed in the early 1950s [30.115] and was rediscovered and analyzed in a series of papers

published in the late 1950s and early 1960s [30.116– 118]. Most recently, several network models have been discovered and extensively studied, for instance, the small-world network (e.g., [30.119]), the scale-free network (e.g., [30.120–123]), and the Bose–Einstein condensation network [30.124]. Bioinspired network models for collaborative control have recently been studied by Nof [30.125] (see also Chap. 75 for more details). Because the same prognostics and prevention method may perform quite differently on networks with different topologies and attributes, or with the same network topology and attributes but with different parameters, it is imperative to study the performance of prognostics and prevention methods with respect to different networks for the best match between methods and networks. There is ample room for research, development, and implementation of error and conflict prognostics and prevention methods supported by graph and network theories.

30.6.4 Financial Models for Prognostics Economy Most errors and conflicts must be detected, isolated, identified, diagnosed or prevented. Certain errors and conflicts, however, may be tolerable in certain systems, i. e., fault-tolerant systems. Also, the cost of automating some or all eight functions of error and conflict prognostics and prevention may far exceed the damages caused by certain errors and conflicts. In both situations, cost– benefit analyses can be used to determine if an error or a conflict needs to be dealt with. In general, financial models are used to analyze the economy of prognostics and prevention methods for specific errors and conflicts, to help decide which of the eight functions will be executed and how they will be executed, e.g., the frequency. There has been limited research on how to use financial models to help justify the automation of error and conflict prognostics and prevention [30.92,126]. One of the challenges is how to appropriately evaluate or assess the damage of errors and conflicts, e.g., short-term damage, long-term damage, and intangible damage. Additional research is needed to address these economical decisions.

30.7 Conclusion In this Chapter we have discussed the eight functions that automate error and conflict prognostics and

prevention and their applications in various production and service areas. Prognostics and prevention

521

Part C 30.7

Compared with humans, automation systems perform better when they are used to prevent errors and conflicts through the violation of specifications or violation in comparisons [30.13]. Humans, however, have the ability to prevent errors and conflicts through the violation of expectations, i. e., with tacit knowledge and high-level decision making. To increase the effectiveness degree of automation of error and conflict prognostics and prevention, it is necessary to equip automation systems with human intelligence through appropriate modeling techniques such as fuzzy logic, pattern recognition, and artificial neural networks. There has been some preliminary work to incorporate high-level human intelligence in error detection and recovery (e.g., [30.3, 93]) and conflict resolution [30.88, 94]. Additional work is needed to develop self-learning, self-improving artificial intelligence systems for error and conflict prognostics and prevention.

30.7 Conclusion

522

Part C

Automation Design: Theory, Elements, and Methods

methods for errors and conflicts are developed based on extensive theoretical advancements in many science and engineering domains, and have been successfully applied to various real-world problems. As systems and networks become larger and more com-

plex, such as global enterprises and the Internet, error and conflict prognostics and prevention become more important and the focus is shifting from passive response to active prognostics and prevention.

References 30.1 30.2

30.3

30.4

Part C 30

30.5

30.6

30.7

30.8

30.9

30.10

30.11

30.12

30.13

S.Y. Nof, W.E. Wilhelm, H.-J. Warnecke: Industrial Assembly (Chapman Hall, New York 1997) L.S. Lopes, L.M. Camarinha-Matos: A machine learning approach to error detection and recovery in assembly, Proc. IEEE/RSJ Int. Conf. Intell. Robot. Syst. 95, ’Human Robot Interaction and Cooperative Robots’, Vol. 3 (1995) pp. 197–203 H. Najjari, S.J. Steiner: Integrated sensor-based control system for a flexible assembly, Mechatronics 7(3), 231–262 (1997) A. Steininger, C. Scherrer: On finding an optimal combination of error detection mechanisms based on results of fault injection experiments, Proc. 27th Annu. Int. Symp. Fault-Toler. Comput., FTCS-27, Digest of Papers (1997) pp. 238–247 K.A. Toguyeni, E. Craye, J.C. Gentina: Framework to design a distributed diagnosis in FMS, Proc. IEEE Int. Conf. Syst. Man. Cybern. 4, 2774–2779 (1996) J.F. Kao: Optimal recovery strategies for manufacturing systems, Eur. J. Oper. Res. 80(2), 252–263 (1995) M. Bruccoleri, Z.J. Pasek: Operational issues in reconfigurable manufacturing systems: exception handling, Proc. 5th Biannu. World Autom. Congr. (2002) T. Miceli, H.A. Sahraoui, R. Godin: A metric based technique for design flaws detection and correction, Proc. 14th IEEE Int. Conf. Autom. Softw. Eng. (1999) pp. 307–310 C. Bolchini, W. Fornaciari, F. Salice, D. Sciuto: Concurrent error detection at architectural level, Proc. 11th Int. Symp. Syst. Synth. (1998) pp. 72–75 C. Bolchini, L. Pomante, F. Salice, D. Sciuto: Reliability properties assessment at system level: a co-design framework, J. Electron. Test. 18(3), 351–356 (2002) M.D. Jeng: Petri nets for modeling automated manufacturing systems with error recovery, IEEE Trans. Robot. Autom. 13(5), 752–760 (1997) G.A. Kanawati, V.S.S. Nair, N. Krishnamurthy, J.A. Abraham: Evaluation of integrated systemlevel checks for on-line error detection, Proc. IEEE Int. Comput. Perform. Dependability Symp. (1996) pp. 292–301 B.D. Klein: How do actuaries use data containing errors?: models of error detection and error correction, Inf. Resour. Manag. J. 10(4), 27–36 (1997)

30.14

30.15

30.16

30.17 30.18

30.19

30.20

30.21 30.22

30.23

30.24

30.25

30.26

30.27

M. Ronsse, K. Bosschere: Non-intrusive detection of synchronization errors using execution replay, Autom. Softw. Eng. 9(1), 95–121 (2002) O. Svenson, I. Salo: Latency and mode of error detection in a process industry, Reliab. Eng. Syst. Saf. 73(1), 83–90 (2001) X.W. Chen, S.Y. Nof: Prognostics and diagnostics of conflicts and errors over e-Work networks, Proc. 19th Int. Conf. Production Research (2007) J. Gertler: Fault Detection and Diagnosis in Engineering Systems (Marcel Dekker, New York 1998) M. Klein, C. Dellarocas: A knowledge-based approach to handling exceptions in workflow systems, Comput. Support. Coop. Work 9, 399–412 (2000) A. Raich, A. Cinar: Statistical process monitoring and disturbance diagnosis in multivariable continuous processes, AIChE Journal 42(4), 995–1009 (1996) C.-Y. Chang, J.-W. Chang, M.D. Jeng: An unsupervised self-organizing neural network for automatic semiconductor wafer defect inspection, IEEE Int. Conf. Robot. Autom. ICRA (2005) pp. 3000–3005 M. Moganti, F. Ercal: Automatic PCB inspection systems, IEEE Potentials 14(3), 6–10 (1995) H. Rau, C.-H. Wu: Automatic optical inspection for detecting defects on printed circuit board inner layers, Int. J. Adv. Manuf. Technol. 25(9–10), 940–946 (2005) J.A. Calderon-Martinez, P. Campoy-Cervera: An application of convolutional neural networks for automatic inspection, IEEE Conf. Cybern. Intell. Syst. (2006) pp. 1–6 F. Duarte, H. Arauio, A. Dourado: Automatic system for dirt in pulp inspection using hierarchical image segmentation, Comput. Ind. Eng. 37(1–2), 343–346 (1999) J.C. Wilson, P.A. Berardo: Automatic inspection of hazardous materials by mobile robot, Proc. IEEE Int. Conf. Syst. Man. Cybern. 4, 3280–3285 (1995) J.Y. Choi, H. Lim, B.-J. Yi: Semi-automatic pipeline inspection robot systems, SICE-ICASE Int. Jt. Conf. (2006) pp. 2266–2269 L.V. Finogenoy, A.V. Beloborodov, V.I. Ladygin, Y.V. Chugui, N.G. Zagoruiko, S.Y. Gulvaevskii, Y.S. Shul’man, P.I. Lavrenyuk, Y.V. Pimenov: An optoelectronic system for automatic inspection of

Automating Errors and Conflicts Prognostics and Prevention

30.28

30.29

30.30

30.31

30.32

30.33

30.35

30.36

30.37

30.38

30.39

30.40

30.41

30.42

30.43

30.44

30.45

30.46

30.47 30.48

30.49

30.50

30.51

30.52

30.53

30.54

30.55

30.56

30.57

30.58

F. Tu, K. Pattipati, S. Deb, V.N. Malepati: Multiple Fault Diagnosis in Graph-Based Systems (International Society for Optical Engineering, Orlando 2002) F. Tu, K.R. Pattipati: Rollout strategies for sequential fault diagnosis, IEEE Trans. Syst. Man. Cybern. A 33(1), 86–99 (2003) F. Tu, K.R. Pattipati, S. Deb, V.N. Malepati: Computationally efficient algorithms for multiple fault diagnosis in large graph-based systems, IEEE Trans. Syst. Man. Cybern. A 33(1), 73–85 (2003) C. Feng, L.N. Bhuyan, F. Lombardi: Adaptive system-level diagnosis for hypercube multiprocessors, IEEE Trans. Comput. 45(10), 1157–1170 (1996) E.M. Clarke, O. Grumberg, D.A. Peled: Model Checking (MIT Press, Cambridge 2000) C. Karamanolis, D. Giannakopolou, J. Magee, S. Wheather: Model checking of workflow schemas, 4th Int. Enterp. Distrib. Object Comp. Conf. (2000) pp. 170–181 W. Chan, R.J. Anderson, P. Beame, D. Notkin, D.H. Jones, W.E. Warner: Optimizing symbolic model checking for state charts, IEEE Trans. Softw. Eng. 27(2), 170–190 (2001) D. Garlan, S. Khersonsky, J.S. Kim: Model checking publish-subscribe systems, Proc. 10th Int. SPIN Workshop Model Checking Softw. (2003) J. Hatcliff, W. Deng, M. Dwyer, G. Jung, V.P. Ranganath: Cadena: An integrated development, analysis, and verification environment for component-based systems, Proc. 2003 Int. Conf. Softw. Eng. (ICSE 2003) (Portland 2003) T. Ball, S. Rajamani: Bebop: a symbolic modelchecker for Boolean programs, Proc. 7th Int. SPIN Workshop, Lect. Notes Comput. Sci. 1885, 113–130 (2000) G. Brat, K. Havelund, S. Park, W. Visser: Java PathFinder – a second generation of a Java modelchecker, Proc. Workshop Adv. Verif. (2000) J.C. Corbett, M.B. Dwyer, J. Hatcliff, S. Laubach, C.S. Pasareanu, Robby, H. Zheng: Bandera: Extracting finite-state models from Java source code, Proc. 22nd Int. Conf. Softw. Eng. (2000) P. Godefroid: Model-checking for programming languages using VeriSoft, Proc. 24th ACM Symp. Princ. Program. Lang. (POPL’97) (1997) pp. 174–186 Robby, M.B. Dwyer, J. Hatcliff: Bogor: An extensible and highly-modular model checking framework, Proc. 9th European Softw. Eng. Conf. held jointly with the 11th ACM SIGSOFT Symp. Found. Softw. Eng. (2003) S. Mitra, E.J. McCluskey: Diversity techniques for concurrent error detection, Proc. IEEE 2nd Int. Symp. Qual. Electron. Des. IEEE Comput. Soc., 249– 250 (2001) S.-L. Chung, C.-C. Wu, M. Jeng: Failure Diagnosis: A Case Study on Modeling and Analysis by Petri Nets (IEEE, Washington 2003)

523

Part C 30

30.34

the external view of fuel pellets, Russ. J. Nondestr. Test. 43(10), 692–699 (2007) C.W. Ni: Automatic inspection of the printing contents of soft drink cans by image processing analysis, Proc. SPIE 3652, 86–93 (2004) J. Cai, G. Zhang, Z. Zhou: The application of area-reconstruction operator in automatic visual inspection of quality control, Proc. World Congr. Intell. Control Autom. (WCICA), Vol. 2 (2006) pp. 10111–10115 O. Erne, T. Walz, A. Ettemeyer: Automatic shearography inspection systems for aircraft components in production, Proc. SPIE 3824, 326–328 (1999) C.K. Huang, L.G. Wang, H.C. Tang, Y.S. Tarng: Automatic laser inspection of outer diameter, run-out taper of micro-drills, J. Mater. Process. Technol. 171(2), 306–313 (2006) L. Chen, X. Wang, M. Suzuki, N. Yoshimura: Optimizing the lighting in automatic inspection system using Monte Carlo method, Jpn. J. Appl. Phys., Part 1 38(10), 6123–6129 (1999) W.C. Godoi, R.R. da Silva, V. Swinka-Filho: Pattern recognition in the automatic inspection of flaws in polymeric insulators, Insight Nondestr. Test. Cond. Monit. 47(10), 608–614 (2005) U.S. Khan, J. Igbal, M.A. Khan: Automatic inspection system using machine vision, Proc. 34th Appl. Imag. Pattern Recognit. Workshop (2005) pp. 210– 215 L.H. Chiang, R.D. Braatz, E. Russell: Fault Detection and Diagnosis in Industrial Systems (Springer, London New York 2001) S. Deb, K.R. Pattipati, V. Raghavan, M. Shakeri, R. Shrestha: Multi-signal flow graphs: a novel approach for system testability analysis and fault diagnosis, IEEE Aerosp. Electron. Syst. Mag. 10(5), 14–25 (1995) K.R. Pattipati, M.G. Alexandridis: Application of heuristic search and information theory to sequential fault diagnosis, IEEE Trans. Syst. Man. Cybern. 20(4), 872–887 (1990) K.R. Pattipati, M. Dontamsetty: On a generalized test sequencing problem, IEEE Trans. Syst. Man. Cybern. 22(2), 392–396 (1992) V. Raghavan, M. Shakeri, K. Pattipati: Optimal and near-optimal test sequencing algorithms with realistic test models, IEEE Trans. Syst. Man. Cybern. A 29(1), 11–26 (1999) V. Raghavan, M. Shakeri, K. Pattipati: Test sequencing algorithms with unreliable tests, IEEE Trans. Syst. Man. Cybern. A 29(4), 347–357 (1999) M. Shakeri, K.R. Pattipati, V. Raghavan, A. Patterson-Hine, T. Kell: Sequential Test Strategies for Multiple Fault Isolation (IEEE, Atlanta 1995) M. Shakeri, V. Raghavan, K.R. Pattipati, A. Patterson-Hine: Sequential testing algorithms for multiple fault diagnosis, IEEE Trans. Syst. Man. Cybern. A 30(1), 1–14 (2000)

References

524

Part C

Automation Design: Theory, Elements, and Methods

30.59

30.60

30.61

30.62

30.63

30.64

Part C 30

30.65

30.66

30.67

30.68

30.69

30.70

30.71 30.72

30.73

30.74

P.S. Georgilakis, J.A. Katsigiannis, K.P. Valavanis, A.T. Souflaris: A systematic stochastic Petri net based methodology for transformer fault diagnosis and repair actions, J. Intell. Robot. Syst. Theory Appl. 45(2), 181–201 (2006) T. Ushio, I. Onishi, K. Okuda: Fault Detection Based on Petri Net Models with Faulty Behaviors (IEEE, San Diego 1998) M. Rezai, M.R. Ito, P.D. Lawrence: Modeling and Simulation of Hybrid Control Systems by Global Petri Nets (IEEE, Seattle 1995) M. Rezai, P.D. Lawrence, M.R. Ito: Analysis of Faults in Hybrid Systems by Global Petri Nets (IEEE, Vancouver 1995) M. Rezai, P.D. Lawrence, M.B. Ito: Hybrid Modeling and Simulation of Manufacturing Systems (IEEE, Los Angeles 1997) M. Sampath, R. Sengupta, S. Lafortune, K. Sinnamohideen, D. Teneketzis: Diagnosability of discrete-event systems, IEEE Trans. Autom. Control 40(9), 1555–1575 (1995) S.H. Zad, R.H. Kwong, W.M. Wonham: Fault diagnosis in discrete-event systems: framework and model reduction, IEEE Trans. Autom. Control 48(7), 1199–1212 (2003) M. Zhou, F. DiCesare: Petri Net Synthesis for Discrete Event Control of Manufacturing Systems (Kluwer, Boston 1993) Q. Wenbin, R. Kumar: Decentralized failure diagnosis of discrete event systems, IEEE Trans. Syst. Man. Cybern. A 36(2), 384–395 (2006) A. Brall: Human reliability issues in medical care a customer viewpoint, Proc. Annu. Reliab. Maint. Symp. (2006) pp. 46–50 H. Furukawa: Challenge for preventing medication errors-learn from errors-: what is the most effective label display to prevent medication error for injectable drug? Proc. 12th Int. Conf. Hum.Comput. Interact.: HCI Intell. Multimodal Interact. Environ., Lect. Notes Comput. Sci. 4553, 437–442 (2007) G. Huang, G. Medlam, J. Lee, S. Billingsley, J.P. Bissonnette, J. Ringash, G. Kane, D.C. Hodgson: Error in the delivery of radiation therapy: results of a quality assurance review, Int. J. Radiat. Oncol. Biol. Phys. 61(5), 1590–1595 (2005) A.-S. Nyssen, A. Blavier: A study in anesthesia, Ergonomics 49(5/6), 517–525 (2006) K.T. Unruh, W. Pratt: Patients as actors: the patient’s role in detecting, preventing, and recovering from medical errors, Int. J. Med. Inform. 76(1), 236–244 (2007) C.C. Chao, W.Y. Jen, M.C. Hung, Y.C. Li, Y.P. Chi: An innovative mobile approach for patient safety services: the case of a Taiwan health care provider, Technovation 27(6–7), 342–361 (2007) S. Malhotra, D. Jordan, E. Shortliffe, V.L. Patel: Workflow modeling in critical care: piecing to-

30.75

30.76

30.77

30.78

30.79

30.80

30.81

30.82

30.83

30.84

30.85

30.86

30.87

30.88

30.89

30.90

gether your own puzzle, J. Biomed. Inform. 40(2), 81–92 (2007) T.J. Morris, J. Pajak, F. Havlik, J. Kenyon, D. Calcagni: Battlefield medical information system-tactical (BMIST): The application of mobile computing technologies to support health surveillance in the Department of Defense, Telemed. J. e-Health 12(4), 409–416 (2006) M. Rajendran, B.S. Dhillon: Human error in health care systems: bibliography, Int. J. Reliab. Qual. Saf. Eng. 10(1), 99–117 (2003) S.Y. Nof: Design of effective e-Work: review of models, tools, and emerging challenges, Product. Plan. Control 14(8), 681–703 (2003) X. Chen: Error detection and prediction agents and their algorithms. M.S. Thesis (School of Industrial Engineering, Purdue University, West Lafayette 2005) X.W. Chen, S.Y. Nof: Error detection and prediction algorithms: application in robotics, J. Intell. Robot. Syst. 48(2), 225–252 (2007) X.W. Chen, S.Y. Nof: Agent-based error prevention algorithms, submitted to the IEEE Trans. Autom. Sci. Eng. (2008) K. Duffy: Safety for profit: Building an errorprevention culture, Ind. Eng. Mag. 9, 41–45 (2008) K.S. Barber, T.H. Liu, S. Ramaswamy: Conflict detection during plan integration for multi-agent systems, IEEE Trans. Syst. Man. Cybern. B 31(4), 616–628 (2001) G.M.P. O’Hare, N. Jennings: Foundations of Distributed Artificial Intelligence (Wiley, New York 1996) M. Zhou, F. DiCesare, A.A. Desrochers: A hybrid methodology for synthesis of Petri net models for manufacturing systems, IEEE Trans. Robot. Autom. 8(3), 350–361 (1992) J.-Y. Shiau: A formalism for conflict detection and resolution in a multi-agent system. Ph.D. Thesis (Arizona State University, Arizona 2002) J.A. Ceroni, A.A. Velásquez: Conflict detection and resolution in distributed design, Prod. Plan. Control 14(8), 734–742 (2003) T. Jiang, G.E. Nevill Jr: Conflict cause identification in web-based concurrent engineering design system, Concurr. Eng. Res. Appl. 10(1), 15–26 (2002) M.A. Lara, S.Y. Nof: Computer-supported conflict resolution for collaborative facility designers, Int. J. Prod. Res. 41(2), 207–233 (2003) P. Anussornnitisarn, S.Y. Nof: The design of active middleware for e-Work interactions, PRISM Res. Memorandum (School of Industrial Engineering, Purdue University, West Lafayette 2001) P. Anussornnitisarn, S.Y. Nof: e-Work: the challenge of the next generation ERP systems, Prod. Plan. Control 14(8), 753–765 (2003)

Automating Errors and Conflicts Prognostics and Prevention

30.91

30.92

30.93

30.94

30.95

30.96

30.98

30.99 30.100 30.101

30.102

30.103 30.104

30.105 30.106

30.107

30.108

30.109 X. Li, X.H. Zhou, X.Y. Ruan: Study on conflict management for collaborative design system, J. Shanghai Jiaotong University (English ed.) 5(2), 88– 93 (2000) 30.110 X. Li, X.H. Zhou, X.Y. Ruan: Conflict management in closely coupled collaborative design system, Int. J. Comput. Integr. Manuf. 15(4), 345–352 (2000) 30.111 S.Y. Nof: Tools and models of e-Work, Proc. 5th Int. Conf. Simul. AI (Mexico City 2000) pp. 249–258 30.112 S.Y. Nof: Collaborative e-Work and e-Manufacturing: challenges for production and logistics managers, J. Intell. Manuf. 17(6), 689–701 (2006) 30.113 X.F. Zha, H. Du: Knowledge-intensive collaborative design modeling and support part I: review, distributed models and framework, Comput. Ind. 57, 39–55 (2006) 30.114 X.F. Zha, H. Du: Knowledge-intensive collaborative design modeling and support part II: system implementation and application, Comput. Ind. 57, 56–71 (2006) 30.115 R. Solomonoff, A. Rapoport: Connectivity of random nets, Bull. Mater. Biophys. 13, 107–117 (1951) 30.116 P. Erdos, A. Renyi: On random graphs, Publ. Math. Debr. 6, 290–291 (1959) 30.117 P. Erdos, A. Renyi: On the evolution of random graphs, Magy. Tud. Akad. Mat. Kutato Int. Kozl. 5, 17–61 (1960) 30.118 P. Erdos, A. Renyi: On the strenth of connectedness of a random graph, Acta Mater. Acad. Sci. Hung. 12, 261–267 (1961) 30.119 D.J. Watts, S.H. Strogatz: Collective dynamics of ‘small-world’ networks, Nature 393(6684), 440– 442 (1998) 30.120 R. Albert, H. Jeong, A.L. Barabasi: Internet: Diameter of the World-Wide Web, Nature 401(6749), 130–131 (1999) 30.121 A.L. Barabasi, R. Albert: Emergence of scaling in random networks, Science 286(5439), 509–512 (1999) 30.122 A. Broder, R. Kumar, F. Maghoul, P. Raghavan, S. Rajagopalan, R. Stata, A. Tomkins, J. Wiener: Graph structure in the Web, Comput. Netw. 33(1), 309–320 (2000) 30.123 D.J. de Solla Price: Networks of scientific papers, Science 149, 510–515 (1965) 30.124 G. Bianconi, A.L. Barabasi: Bose-Einstein condensation in complex networks, Phys. Rev. Lett. 86(24), 5632–5635 (2001) 30.125 S.Y. Nof: Collaborative control theory for e-Work, e-Production, and e-Service, Annu. Rev. Control 31(2), 281–292 (2007) 30.126 C.L. Yang, X. Chen, S.Y. Nof: Design of a production conflict and error detection model with active protocols and agents, Proc. 18th Int. Conf. Prod. Res. (2005)

525

Part C 30

30.97

X.W. Chen, S.Y. Nof: An agent-based conflict and error detection model, submitted to Int. J. Prod. Res. (2008) C.L. Yang, S.Y. Nof: Analysis, detection policy, and performance measures of detection task planning errors and conflicts, PRISM Res. Memorandum, 2004-P2 (School of Industrial Engineering, Purdue University, West Lafayette 2004) J. Avila-Soria: Interactive Error Recovery for Robotic Assembly Using a Neural-Fuzzy Approach. Master Thesis (School of Industrial Engineering, Purdue University, West Lafayette 1999) J.D. Velásquez, M.A. Lara, S.Y. Nof: Systematic resolution of conflict situation in collaborative facility design, Int. J. Prod. Econ. 116(1), 139–153 (2008), (2008) S.Y. Nof, O.Z. Maimon, R.G. Wilhelm: Experiments for Planning Error-Recovery Programs in Robotic Work, Proc. Int. Comput. Eng. Conf. Exhib. 2, 253– 264 (1987) M. Imai, K. Hiraki, Y. Anzai: Human-robot interface with attention, Syst. Comput. Jpn. 26(12), 83–95 (1995) T.C. Lueth, U.M. Nassal, U. Rembold: Reliability and integrated capabilities of locomotion and manipulation for autonomous robot assembly, Robot. Auton. Syst. 14, 185–198 (1995) H.-J. Wu, S.B. Joshi: Error recovery in MPSG-based controllers for shop floor control, Proc. IEEE Int. Conf. Robot. Autom. ICRA 2, 1374–1379 (1994) K. Sycara: Negotiation planning: An AI approach, Eur. J. Oper. Res. 46(2), 216–234 (1990) L. Fang, K.W. Hipel, D.M. Kilgour: Interactive Decision Making (Wiley, New York 1993) J.-S.R. Jang: ANFIS: Adaptive-network-based fuzzy inference systems, IEEE Trans. Syst. Man. Cybern. 23, 665–685 (1993) A. Kusiak, J. Wang: Dependency analysis in constraint negotiation, IEEE Trans. Syst. Man. Cybern. 25(9), 1301–1313 (1995) J.-S.R. Jang, N. Gulley: Fuzzy Systems Toolbox for Use with MATLAB (The Math Works Inc., 1997) C.Y. Huang, J.A. Ceroni, S.Y. Nof: Agility of networked enterprises: parallelism, error recovery and conflict resolution, Comput. Ind. 42, 73–78 (2000) M. Klein, S.C.-Y. Lu: Conflict resolution in cooperative design, Artif. Intell. Eng. 4(4), 168–180 (1989) M. Klein: Supporting conflict resolution in cooperative design systems, IEEE Trans. Syst. Man. Cybern. 21(6), 1379–1390 (1991) M. Klein: Capturing design rationale in concurrent engineering teams, IEEE Computer 26(1), 39–47 (1993) M. Klein: Conflict management as part of an integrated exception handling approach, Artif. Intell. Eng. Des. Anal. Manuf. 9, 259–267 (1995)

References

“This page left intentionally blank.”

527

Part D

Automati Part D Automation Design: Theory and Methods for Integration

31 Process Automation Thomas F. Edgar, Austin, USA Juergen Hahn, College Station, USA

36 Large-Scale Complex Systems Florin-Gheorghe Filip, Bucharest, Romania Kauko Leiviskä, Oulun Yliopisto, Finland

32 Product Automation Friedrich Pinnekamp, Zurich, Switzerland

37 Computer-Aided Design, Computer-Aided Engineering, and Visualization Gary R. Bertoline, West Lafayette, USA Nathan Hartman, West Lafayette, USA Nicoletta Adamo-Villani, West Lafayette, USA

33 Service Automation Friedrich Pinnekamp, Zurich, Switzerland 34 Integrated Human and Automation Systems Dieter Spath, Stuttgart, Germany Martin Braun, Stuttgart, Germany Wilhelm Bauer, Stuttgart, Germany 35 Machining Lines Automation Xavier Delorme, Saint-Etienne, France Alexandre Dolgui, Saint-Etienne, France Mohamed Essafi, Saint-Etienne, France Laurent Linxe, Hagondang, France Damien Poyard, Saint-Etienne, France

38 Design Automation for Microelectronics Deming Chen, Urbana, USA 39 Safety Warnings for Automation Mark R. Lehto, West Lafayette, USA Mary F. Lesch, Hopkinton, USA William J. Horrey, Hopkinton, USA

528

Automation Design: Theory and Methods for Integration. Part D After focusing in the previous part on the details, principles and practices of the methodologies for automation design, the chapters in this part cover the basic design requirements for the automation and illustrate examples of how the challenging issues can be solved for the deign and integration of automation with respect to its main purpose: Continuous and discrete processes and industries, such as chemicals, refineries, machinery, and instruments; process automation safety; automation products such as circuit breakers, motors, drives, robots, and other components for consumer products; and services, such as maintenance, logistics, upgrade and repair, remote support operations, and tools for service personnel; design issues and criteria when integrating humans with the automation; design techniques, criteria and algorithms for flow lines, such as assembly lines, transfer lines, machining lines; and the design of complex, large-scale, integrated automation. Another view of automation integration is with computer-aided design (CAD) and computer-aided engineering (CAE), which are themselves fine examples of integrated automation, and are required for the design of any automation and non-automation components, products, microelectronics, and services. Concluding this part is the design for safety of automation, and of automation for safety, as they have become an obligatory and mandatory concern of automation integrators.

529

Thomas F. Edgar, Juergen Hahn

The field of process automation is concerned with the analysis of dynamic behavior of chemical processes, design of automatic controllers, and associated instrumentations. Process automation as practised in the process industries has undergone significant changes since it was first introduced in the 1940s. Perhaps the most significant influence on the changes in process control technology has been the introduction of inexpensive digital computers and instruments with greater capabilities than their analog predecessors. During the past 20 years automatic control has assumed increased importance in the process industries, which has led to the application of more sophisticated techniques.

31.1.2 Safety and Environmental/ Equipment Protection (Level 2) ...... 31.1.3 Regulatory Control (Level 3a).......... 31.1.4 Multivariable and Constraint Control (Level 3b) ......................... 31.1.5 Real-Time Optimization (Level 4).... 31.1.6 Planning and Scheduling (Level 5)..

529 530 530 530 531

31.2 Process Dynamics and Mathematical Models ..................... 531 31.3 Regulatory Control ................................ 533 31.4 Control System Design ........................... 534 31.4.1 Multivariable Control .................... 535 31.5 Batch Process Automation ..................... 538 31.6 Automation and Process Safety .............. 541 31.7 Emerging Trends .................................. 543

31.1 Enterprise View of Process Automation ... 529 31.1.1 Measurement and Actuation (Level 1) ...................................... 529

31.8 Further Reading ................................... 543 References .................................................. 543

31.1 Enterprise View of Process Automation Process automation is used in order to maximize production while maintaining a desired level of product quality and safety and making the process more economical. Because these goals apply to a variety of industries, process control systems are used in facilities for the production of chemicals, pulp and paper, metals, food, and pharmaceuticals. While the methods of production vary from industry to industry, the principles of automatic control are generic in nature and can be universally applied, regardless of the size of the plant. In Fig. 31.1 the process automation activities are organized in the form of a hierarchy with required functions at the lower levels and desirable functions at the higher levels. The time scale for each activity is shown on the left side of Fig. 31.1. Note that the frequency of execution is much lower for the higher-level functions.

31.1.1 Measurement and Actuation (Level 1) Measurement devices (sensors and transmitters) and actuation equipment (for example, control valves) are used to measure process variables and implement the calculated control actions. These devices are interfaced to the control system, usually digital control equipment such as a digital computer. Clearly, the measurement and actuation functions are an indispensable part of any control system.

31.1.2 Safety and Environmental/ Equipment Protection (Level 2) The level 2 functions play a critical role by ensuring that the process is operating safely and satisfies environ-

Part D 31

Process Auto 31. Process Automation

530

Part D

Automation Design: Theory and Methods for Integration

Part D 31.1

(Days–months)

(Hours–days)

5. Planning and scheduling

4. Real-time optimization

Demand forecasting, supply chain management, raw materials and product planning/scheduling Plant-wide and individual unit real-time optimization, parameter estimation, supervisory control, data reconcilation

3b. Multivariable and constraint control

Multivariable control, model predictive control

(Seconds–minutes)

3a. Regulatory control

PID control, advanced control techniques, control loop performance monitoring

(< 1 second)

2. Safety, environmental/ equipment protection

Alarm management, emergency shutdown

(< 1 second)

1. Measurement and actuation

Sensor and actuator validation, limit checking

(Minutes–hours)

Process

Fig. 31.1 The five levels of process control and optimization in manufacturing. Time scales are shown for each level [31.1]

mental regulations. Process safety relies on the principle of multiple protection layers that involve groupings of equipment and human actions. One layer includes process control functions, such as alarm management during abnormal situations, and safety instrumented systems for emergency shutdowns. The safety equipment (including sensors and control valves) operates independently of the regular instrumentation used for regulatory control in level 3a. Sensor validation techniques can be employed to confirm that the sensors are functioning properly.

has been increased interest in monitoring control system performance.

31.1.4 Multivariable and Constraint Control (Level 3b) Many difficult process control problems have two distinguishing characteristics: (1) significant interactions occur among key process variables, and (2) inequality constraints exist for manipulated and controlled variables. The inequality constraints include upper and lower limits; for example, each manipulated flow rate has an upper limit determined by the pump and control valve characteristics. The lower limit may be zero or a small positive value based on safety considerations. Limits on controlled variables reflect equipment constraints (for example, metallurgical limits) and the operating objectives for the process; for example, a reactor temperature may have an upper limit to avoid undesired side reactions or catalyst degradation, and a lower limit to ensure that the reaction(s) proceed. The ability to operate a process close to a limiting constraint is an important objective for advanced process control. For many industrial processes, the optimum operating condition occurs at a constraint limit, for example, the maximum allowed impurity level in a product stream. For these situations, the set point should not be the constraint value because a process disturbance could force the controlled variable beyond the limit. Thus, the set point should be set conservatively, based on the ability of the control system to reduce the effects of disturbances. The standard process control techniques of level 3a may not be adequate for difficult control problems that have serious process interactions and inequality constraints. For these situations, the advanced control techniques of level 3b, multivariable control and constraint control, should be considered. In particular, the model predictive control (MPC) strategy was developed to deal with both process interactions and inequality constraints.

31.1.3 Regulatory Control (Level 3a) 31.1.5 Real-Time Optimization (Level 4) Successful operation of a process requires that key process variables such as flow rates, temperatures, pressures, and compositions be operated at, or close to, their set points. This level 3a activity, regulatory control, is achieved by applying standard feedback and feedforward control techniques. If the standard control techniques are not satisfactory, a variety of advanced control techniques are available. In recent years, there

The optimum operating conditions for a plant are determined as part of the process design, but during plant operations, the optimum conditions can change frequently owing to changes in equipment availability, process disturbances, and economic conditions (for example, raw materials costs and product prices). Consequently, it can be very profitable to recalculate the

Process Automation

31.1.6 Planning and Scheduling (Level 5) The highest level of the process control hierarchy is concerned with planning and scheduling operations for the entire plant. For continuous processes, the production rates of all products and intermediates must be planned and coordinated, based on equipment constraints, stor-

age capacity, sales projections, and the operation of other plants, sometimes on a global basis. For the intermittent operation of batch and semibatch processes, the production control problem becomes a batch scheduling problem based on similar considerations. Thus, planning and scheduling activities pose large-scale optimization problems that are based on both engineering considerations and business projections. The activities of levels 1–3a in Fig. 31.1 are required for all manufacturing plants, while the activities in levels 3b–5 are optional but can be very profitable. The decision to implement one or more of these higher-level activities depends very much on the application and the company. The decision hinges strongly on economic considerations (for example, a cost–benefit analysis), and company priorities for their limited resources, both human and financial. The immediacy of the activity decreases from level 1 to level 5 in the hierarchy. However, the amount of analysis and the computational requirements increase from the lowest to the highest level. The process control activities at different levels should be carefully coordinated and require information transfer from one level to the next. The successful implementation of these process control activities is a critical factor in making plant operation as profitable as possible.

31.2 Process Dynamics and Mathematical Models Development of dynamic models forms a key component for process automation, as controller design and tuning is often performed by using a mathematical representation of the process. A model can be derived either from first-principles knowledge about the system or from past plant data. Once a dynamic model has been developed, it can be solved for a variety of conditions that include changes in the input variables or variations in the model parameters. The transient responses of the output variables are calculated by numerical integration after specifying both the initial conditions and the inputs as functions of time. A large number of numerical integration techniques are available, ranging from simple techniques (e.g., the Euler and Runge–Kutta methods) to more complicated ones (e.g., the implicit Euler and Gear methods). All of these techniques represent some compromise between computational effort (computing time) and accuracy. Although a dynamic model can always be solved in principle, for some situations it may be difficult to generate useful numerical solutions. Dynamic models that exhibit a wide range of time scales (stiff equations)

are quite difficult to solve accurately in a reasonable amount of computation time. Software for integrating ordinary and partial differential equations is readily available. Popular software packages include MATLAB, Mathematica, ACSL, IMSL, Mathcad, and GNU Octave. For dynamic models that contain large numbers of algebraic and ordinary differential equations, generation of solutions using standard programs has been developed to assist in this task. A graphical user interface (GUI) allows the user to enter the algebraic and ordinary differential equations and related information such as the total integration period, error tolerances, the variables to be plotted, and so on. The simulation program then assumes responsibility for: 1. Checking to ensure that the set of equations is exactly specified 2. Sorting the equations into an appropriate sequence for iterative solution 3. Integrating the equations 4. Providing numerical and graphical output.

531

Part D 31.2

optimum operating conditions on a regular basis. The new optimum conditions are then implemented as set points for controlled variables. Real-time optimization (RTO) calculations are based on a steady-state model of the plant and economic data such as costs and product values. A typical objective for the optimization is to minimize operating cost or maximize the operating profit. The RTO calculations can be performed for a single process unit and/or on a plant-wide basis. The level 4 activities also include data analysis to ensure that the process model used in the RTO calculations is accurate for the current conditions. Thus, data reconciliation techniques can be used to ensure that steady-state mass and energy balances are satisfied. Also, the process model can be updated using parameter estimation techniques and recent plant data.

31.2 Process Dynamics and Mathematical Models

532

Part D

Automation Design: Theory and Methods for Integration

Part D 31.2

Examples of equation-oriented simulators used in the process industries include DASSL, ACSL, gPROMS, and Aspen Custom Modeler. One disadvantage of equation-oriented packages is the amount of time and effort required to develop all of the equations for a complex process. An alternative approach is to use modular simulation where prewritten subroutines provide models of individual process units such as distillation columns or chemical reactors. Consequently, this type of simulator has a direct correspondence to the process flowsheet. The modular approach has the significant advantage that plant-scale simulations only require the user to identify the appropriate modules and to supply the numerical values of model parameters and initial conditions. This activity requires much less effort than writing all of the equations. Furthermore, the software is responsible for all aspects of the solution. Because each module is rather general in form, the user can simulate alternative flowsheets for a complex process, for example, different configurations of distillation towers and heat exchangers, or different types of chemical reactors. Similarly, alternative process control strategies can be quickly evaluated. Some software packages allow the user to add custom modules for novel applications. Modular dynamic simulators have been available since the early 1970s. Several commercial products are available from Aspen Technology and Honeywell. Modelica is an example of a collaborative effort that provides modeling capability for a number of application areas. These packages also offer equation-oriented capabilities. Modular dynamic simulators are achieving a high degree of acceptance in process engineering and control studies because they allow plant dynamics, real-time optimization, and alternative control configurations to be evaluated for an existing or a new plant. They also can be used for operator training. This feature allows dynamic simulators to be integrated with software for other applications such as control system design and optimization. While most processes can be accurately represented by a set of nonlinear differential equations, a process is usually operated within a certain neighborhood of its normal operating point (steady state), thus the process model can be closely approximated by a linearized version of the model. A linear model is beneficial because it permits the use of more convenient and compact methods for representing process dynamics, namely Laplace transforms. The main advantage of Laplace transforms is that they provide a compact representation of a dynamic system that is especially useful for the analysis

of feedback control systems. The Laplace transform of a set of linear ordinary differential equations is a set of algebraic equations in the new variable s, called the Laplace variable. The Laplace transform is given by ∞ F(s) = L[ f (t)] =

f (t) e−st dt ,

(31.1)

0

where F(s) is the symbol for the Laplace transform, f (t) is some function of time, and L is the Laplace operator, defined by the integral. Tables of Laplace transforms are well documented for common functions [31.1]. A linear differential equation with a single input u and single output y can be converted into a transfer function using Laplace transforms as follows Y (s) = G(s)U(s) ,

(31.2)

where U(s) is the Laplace transform of the input variable u(t), Y (s) is the Laplace transform of the output variable y(t), and G(s) is the transfer function, obtained from transforming the differential equation. The transfer function G(s) describes the dynamic characteristic of the process. For linear systems it is independent of the input variable and so it can readily be applied to any time-dependent input signal. As an example, the first-order differential equation τ

dy(t) + y(t) = Ku(t) dt

(31.3)

can be Laplace-transformed to Y (s) =

K U(s) . τs + 1

(31.4)

Note that the parameters K and τ, known as the process gain and time constant, respectively, map into the transfer function as unspecified parameters. Numerical values for parameters such as K and τ have to be determined for controller design or for simulation purposes. Several different methods for the identification of model parameters in transfer functions are available. The most common approach is to perform a step test on the process and collect the data along the trajectory until it reaches steady state. In order to identify the parameters, the form of the transfer function model needs to be postulated and the parameters of the transfer function can be estimated by using nonlinear regression. For more details on the development of various transfer functions, see [31.1].

Process Automation

31.3 Regulatory Control

When the components of a control system are connected, their overall dynamic behavior can be described by combining the transfer functions for each component. Each block describes how changes in the input variables of the block will affect the output variables of the block. One example is the feedback/feedforward control block diagram shown in Fig. 31.2, which contains the important components of a typical control system, namely process, controller, sensor, and final control element. Regulatory control deals with treatment of disturbances that enter the system, as shown in Fig. 31.2. These components are discussed in more detail below. Most modern control equipment require a digital signal for displays and control algorithms, thus the analog-to-digital converter (ADC) transforms the transmitter analog signal to a digital format. Because ADCs may be relatively expensive if adequate digital resolution is required, incoming digital signals are usually multiplexed. Prior to sending the desired control action, which is often in a digital format, to the final control element in the field, the desired control action is usually transformed by a digital-to-analog (DAC) converter to an analog signal for transmission. DACs are relatively inexpensive and are not normally multiplexed. Widespread use of digital control technologies has made ADCs and DACs standard parts of the control system. Sensors The hardware components of a typical modern digital control loop shown in Fig. 31.2 are discussed next. The function of the process measurement device is to

sense the values, or changes in values, of process variables. The actual sensing device may generate, e.g., a physical movement, a pressure signal, or a millivolt signal. A transducer transforms the measurement signal from one physical or chemical quantity to another, e.g., pressure to milliamps. The transduced signal is then transmitted to a control room through the transmission line. The transmitter is therefore a signal generator and a line driver. Often the transducer and the transmitter are contained in the same device. The most commonly measured process variables are temperature, flow, pressure, level, and composition. When appropriate, other physical properties are also measured. The selection of the proper instrumentation for a particular application is dependent on factors such as: the type and nature of the fluid or solid involved; relevant process conditions; range, accuracy, and repeatability required; response time; installed cost; and maintainability and reliability. Various handbooks are available that can assist in selecting sensors for particular applications (e.g., [31.2]). Sensors are discussed in detail on Chap. 20. Control Valves Material and energy flow rates are the most commonly selected manipulated variables for control schemes. Thus, good control valve performance is an essential ingredient for achieving good control performance. A control valve consists of two principal assemblies: a valve body and an actuator. Good control valve performance requires consideration of the process characteristics and requirements such as fluid characteristics, range, shut-off, and safety, as well as control

Feedforward controller

Supervisory control

Set point, YSP

Error, E

Feedback controller Manipulated variable, U

Final control element

Measurement device

Fig. 31.2 Block diagram of a process

Disturbance, D

Controlled variable, Y

Process

Part D 31.3

31.3 Regulatory Control

533

534

Part D

Automation Design: Theory and Methods for Integration

Part D 31.4

requirements, e.g., installed control valve characteristics and response time. The proper selection and sizing of control valves and actuators is an extensive topic in its own right [31.2].

be utilized, described by the following expression ⎛ ⎞ t de(t) 1 ⎠. e(t  ) dt  + τD u(t) = u¯ + K C ⎝e(t) + τI dt 0

Controllers The most commonly employed feedback controller in the process industry is the proportional–integral (PI) controller, which can be described by the following equation ⎛ ⎞ t 1 e(t  ) dt  ⎠ . (31.5) u(t) = u¯ + K C ⎝e(t) + τI 0

Note that the controller includes proportional as well as integrating action. The controller has two tuning parameters: the proportional constant K C and the integral time constant τI . The integral action will eliminate offset for constant load disturbances but it can potentially lead to a phenomenon known as reset windup. When there is a sustained error, the large integral term in (31.5) causes the controller output to saturate. This can occur during start-up of batch processes, or after large set point changes or large sustained disturbances. PI controllers make up the vast majority of controllers that are currently used in the chemical process industries. If it is important to achieve a faster response that is offset-free, a PID (D = derivative) controller can

(31.6)

The PID controller of (31.6) contains three tuning parameters because the derivative mode adds a third adjustable parameter τD . However, if the process measurement is noisy, the value of the derivative of the error may change rapidly and derivative action will amplify the noise, as a filter on the error signal can be employed. In the 21st century, digital control systems are ubiquitous in process plants, mostly employing a discrete (finite-difference) form of the PID controller equation given by k ek − ek−1 Δt

k ei + τD , u k = u¯ + K C ek + τI Δt i=0

(31.7)

where Δt is the sampling period for the control calculations and k represents the current sampling time. If the process and the measurements permit to chose the sampling period Δt to be small then the behavior of the digital PID controller will essentially be the same as for an analog PID controller.

31.4 Control System Design Traditionally, process design and control system design have been separate engineering activities. Thus, in the traditional approach, control system design is not initiated until after plant design is well underway and major pieces of equipment may even have been ordered. This approach has serious limitations because the plant design determines the process dynamics as well as the operability of the plant. In extreme situations, the process may be uncontrollable, even though the design appears satisfactory from a steady-state point of view. A more desirable approach is to consider process dynamics and control issues early in the process design. The two general approaches to control system design are:

knowledge of the process, experience, and insight. After the control system is installed in the plant, the controller settings (such as in a PID controller) are adjusted. This activity is referred to as controller tuning. 2. Model-based approach. A dynamic model of the process is first developed that can be helpful in at least three ways: (a) it can be used as the basis for model-based controller design methods, (b) the dynamic model can be incorporated directly in the control law (for example, model predictive control), and (c) the model can be used in a computer simulation to evaluate alternative control strategies and to determine preliminary values of the controller settings.

1. Traditional approach. The control strategy and control system hardware are selected based on

For many simple process control problems controller specification is relatively straightforward and

Process Automation

31.4.1 Multivariable Control In most industrial processes, there are a number of variables that must be controlled, and a number of variables can be manipulated. These problems are referred to as multiple-input multiple-output (MIMO) control problems. For almost all important processes, at least two variables must be controlled: product quality and throughput. Several examples of processes with two controlled variables and two manipulated variables are shown in Fig. 31.4. These examples illustrate a characteristic feature of MIMO control problems, namely, the presence of process interactions; that is,

Information from existing plants (if available)

Formulate control objectives

Management objectives

Computer simulation Physical and chemical principles

Develop process model Plant data (if available)

Process control theory Device control strategy

Computer simulation

Select control hardware and software

Vendor information

Experience with existing plants (if available)

Install control system

Adjust controller settings

Engineering activity

Final control system

Information base

Fig. 31.3 Major steps in control system development [31.1]

each manipulated variable can affect both controlled variables. Consider the inline blending system shown in Fig. 31.4a. Two streams containing species A and B, respectively, are to be blended to produce a produce stream with mass flow rate w and composition x, the mass fraction of A. Adjusting either manipulated flow rate, wA or wB , affects both w and x. Similarly, for the distillation column in Fig. 31.4b, adjusting either reflux flow rate R or steam flow S will affect both distillate composition xD and bottoms composition xB . For the gas–liquid separator in Fig. 31.4c, adjusting the gas flow rate G will have a direct effect on pressure P and a slower, indirect effect on liquid level h because changing the pressure in the vessel will tend to change the liquid flow rate L and thus affect h. In contrast, adjusting the other manipulated variable L directly affects h but has only a relatively small and indirect effect on P.

535

Part D 31.4

a detailed analysis or an explicit model is not required. However, for complex processes, a process model is invaluable both for control system design and for an improved understanding of the process. The major steps involved in designing and installing a control system using the model-based approach are shown in the flowchart of Fig. 31.3. The first step, formulation of the control objectives, is a critical decision. The formulation is based on the operating objectives for the plants and the process constraints; for example, in the distillation column control problem, the objective might be to regulate a key component in the distillate stream, the bottoms stream, or key components in both streams. An alternative would be to minimize energy consumption (e.g., heat input to the reboiler) while meeting product quality specifications on one or both product streams. The inequality constraints should include upper and lower limits on manipulated variables, conditions that lead to flooding or weeping in the column, and product impurity levels. After the control objectives have been formulated, a dynamic model of the process is developed. The dynamic model can have a theoretical basis, for example, physical and chemical principles such as conservation laws and rates of reactions, or the model can be developed empirically from experimental data. If experimental data are available, the dynamic model should be validated, with the data and the model accuracy characterized. This latter information is useful for control system design and tuning. The next step in the control system design is to devise an appropriate control strategy that will meet the control objectives while satisfying process constraints. As indicated in Fig. 31.3, this design activity is based on models and plant data. Finally the control system can be installed, with final adjustments performed once the plant is operating.

31.4 Control System Design

536

Part D

Automation Design: Theory and Methods for Integration

Part D 31.4

a) Inline blending system wA w x wB

b) Destillation column Coolant AT

C o l u m n

Feed

Steam S

xD R

D

AT xB B

c) Gas–liquid separator Gas G

P

PT

h

LT

Feed

Liquid L

Fig. 31.4a–c Physical examples of multivariable control prob-

lems [31.1]

Pairing of a single controlled variable and a single manipulated variable via a PID feedback controller is possible, if the number of manipulated variables is equal to the number of controlled variables. On the other hand, more general multivariable control strategies do not make such restrictions. MIMO control problems are inherently more complex than single-input single-output (SISO) control problems because process interactions occur between controlled and manipulated variables. In general, a change in a manipulated variable, say u 1 , will affect all of the controlled variables y1 , y2 , . . . , yn . Because of process interactions, selection of the best pairing of controlled and manipulated variables for a multiloop control scheme can be a difficult task. In particular, for a control problem with n controlled variables and n manipulated variables, there are n! possible multiloop control configurations. Hence there is a growing trend to use multivariable control, in particular an approach called model predictive control (MPC).

Model predictive control offers several important advantages: (1) the process model captures the dynamic and static interactions between input, output, and disturbance variables, (2) constraints on inputs and outputs are considered in a systematic manner, (3) the control calculations can be coordinated with the calculation of optimum set points, and (4) accurate model predictions can provide early warnings of potential problems. Clearly, the success of MPC (or any other modelbased approach) depends on the accuracy of the process model. Inaccurate predictions can make matters worse, instead of better. First-generation MPC systems were developed independently in the 1970s by two pioneering industrial research groups. Dynamic matrix control (DMC) was devised by Shell Oil [31.3], and a related approach was developed by ADERSA [31.4]. Model predictive control has had a major impact on industrial practice; for example, an MPC survey by Qin and Badgwell [31.5] reported that there were over 4500 applications worldwide by the end of 1999, primarily in oil refineries and petrochemical plants. In these industries, MPC has become the method of choice for difficult multivariable control problems that include inequality constraints. The overall objectives of an MPC controller are as follows: 1. Prevent violations of input and output constraints 2. Drive some output variables to their optimal set points, while maintaining other outputs within specified ranges 3. Prevent excessive movement of the manipulated variables 4. Control as many process variables as possible when a sensor or actuator is not available. A block diagram of a model predictive control system is shown in Fig. 31.5. A process model is used to predict the current values of the output variables. The residuals (the differences between the actual and predicted outputs) serve as the feedback signal to a prediction block. The predictions are used in two types of MPC calculations that are performed at each sampling instant: set-point calculations and control calculations. Inequality constraints on the input and output variables, such as upper and lower limits, can be included in either type of calculation. The model acts in parallel with the process and the residual serves as a feedback signal, however, it should be noted that the coordination of the control and set-point calculation is a unique feature of MPC.

Process Automation

Set-point calculations Set points (targets)

Prediction

Predicted outputs

Inputs Control calculations

Inputs

Process

Process outputs

Model

Model outputs

Residuals

Fig. 31.5 Block diagram for model predictive control [31.1]

measurements become available; again, only the first input move is implemented. This procedure is repeated at each sampling instant. In MPC applications, the calculated input moves are usually implemented as set points for regulatory control loops at the distributed control system (DCS) level, such as flow control loops. If a DCS control loop has been disabled or placed in manual mode, the input variable is no longer available for control. In this situation, the control degrees of freedom are reduced by one. Even though an input variable is unavailable for control, it can serve as a disturbance variable if it is still measured. Before each control execution, it is necessary to determine which outputs (controlled variables (CV)), inputs (manipulated variables (MV)), and disturbance variables (DVs) are currently available for the MPC Past Past output Predicted future output Past control action Future control action

Future Set point (target)



y Control horizon, M

u u Prediction horizon, P

k–1 k k+1 k+2

537

Part D 31.4

Furthermore, MPC has had a significant impact on industrial practice because it is more suitable for constrained MIMO control problems. The set points for the control calculations, also called targets, are calculated from an economic optimization based on a steady-state model of the process, traditionally, a linear steady-state model. Typical optimization objectives include maximizing a profit function, minimizing a cost function, or maximizing a production rate. The optimum values of set points are changed frequently owing to varying process conditions, especially changes in the inequality constraints. The constraint changes are due to variations in process conditions, equipment, and instrumentation, as well as economic data such as prices and costs. In MPC the set points are typically calculated each time the control calculations are performed. The control calculations are based on current measurements and predictions of the future values of the outputs. The predictions are made using a dynamic model, typically a linear empirical model such as a multivariable version of the step response models that were discussed in Sect. 31.2. Alternatively, transfer function or state-space models can be employed. For very nonlinear processes, it can be advantageous to predict future output values using a nonlinear dynamic model. Both physical models and empirical models, such as neural networks, have been used in nonlinear MPC [31.5]. The objective of the MPC control calculations is to determine a sequence of control moves (that is, manipulated input changes) so that the predicted response moves to the set point in an optimal manner. The actual output y, predicted output y, ˆ and manipulated input u are shown in Fig. 31.6. At the current sampling instant, denoted by k, the MPC strategy calculates a set of M values of the input {u{k + i − 1), i = 1, 2, . . . , M}. The set consists of the current input u(k) and M − 1 future inputs. The input is held constant after the M control moves. The inputs are calculated so that a set of P predicted outputs { y(k ˆ + i), i = 1, 2, . . . , P} reaches the set point in an optimal manner. The control calculations are based on optimizing an objective function. The number of predictions P is referred to as the prediction horizon while the number of control moves M is called the control horizon. A distinguishing feature of MPC is its receding horizon approach. Although a sequence of M control moves is calculated at each sampling instant, only the first move is actually implemented. Then a new sequence is calculated at the next sampling instant, after new

31.4 Control System Design

k+M–1 k+P Sampling instant

Fig. 31.6 Basic concept for model predictive control

538

Part D

Automation Design: Theory and Methods for Integration

Part D 31.5

calculations. The variables available for the control calculations can change from one control execution time to the next for a variety of reasons; for example, a sensor may not be available owing to routine maintenance or recalibration. Inequality constraints on input and output variables are important characteristics for MPC applications. In fact, inequality constraints were a primary motivation for the early development of MPC. Input constraints occur as a result of physical limitations on plant equipment such as pumps, control valves, and heat exchangers; for example, a manipulated flow rate might have a lower limit of zero and an upper limit determined by the pump, control valve, and piping characteristics. The dynamics associated with large con-

trol valves impose rate-of-change limits on manipulated flow rates. Constraints on output variables are a key component of the plant operating strategy; for example, a common distillation column control objective is to maximize the production rate while satisfying constraints on product quality and avoiding undesirable operating regimes such as flooding or weeping. It is convenient to make a distinction between hard and soft constraints. As the name implies, a hard constraint cannot be violated at any time. By contrast, a soft constraint can be violated, but the amount of violation is penalized by a modification of the cost function. This approach allows small constraint violations to be tolerated for short periods of time [31.1].

31.5 Batch Process Automation Batch processing is an alternative to continuous processing. In batch processing, a sequence of one or more steps, either in a single vessel or in multiple vessels, is performed in a defined order, yielding a specific quantity of a finished product. Because the volume of product is normally small, large production runs are achieved by repeating the process steps on a predetermined schedule. In batch processing, the production amounts are usually smaller than for continuous processing; hence, it is usually not economically feasible to dedicate processing equipment to the manufacture of a single product. Instead, batch processing units are organized so that a range of products (from a few to possibly hundreds) can be manufactured with a given set of process equipment. Batch processing can be complicated by having multiple stages, multiple products made from the same equipment, or parallel processing lines. The key challenge for batch plants is to consistently manufacture each product in accordance with its speci-

Control during the batch

Production management

Run-to-run control

Equipment control

Sequential control

Safety interlocks

Fig. 31.7 Overview of a batch control system

Logic control

fications while maximizing the utilization of available equipment. Benefits include reduced inventories and shortened response times to make a specialty product compared with continuous processing plants. Typically, it is not possible to use blending of multiple batches in order to obtain the desired product quality, so product quality specifications must be satisfied by each batch. Batch processing is widely used to manufacture specialty chemicals, metals, electronic materials, ceramics, polymers, food and agricultural materials, biochemicals and pharmaceuticals, multiphase materials/blends, coatings, and composites – an extremely broad range of processes and products. The unit operations in batch processing are also quite diverse, and some are analogous to operations for continuous processing. In analogy with the different levels of plant control depicted in Fig. 31.1, batch control systems operate at various levels:

• • • •

Batch sequencing and logic controls (levels 1 and 2) Control during the batch (level 3) Run-to-run control (levels 4 and 5) Batch production management (level 5).

Figure 31.7 shows the interconnections of the different types of control used in a typical batch process. Run-to-run control is a type of supervisory control that resides principally in the production management block. In contrast to continuous processing, the focus of control shifts from regulation to set-point changes, and sequencing of batches and equipment takes on a much greater role.

Process Automation

1. Batch sequencing and logic control: Sequencing of control steps that follow a recipe involves, for example, mixing of ingredients, heating, waiting for a reaction to complete, cooling, and discharging the resulting products. Transfer of materials to and from batch tanks or reactors includes metering of materials as they are charged (as specified by the recipe), as well as transfer of materials at the completion of the process operation. In addition to discrete logic for the control steps, logic is needed for safety interlocks to protect personnel, equipment, and the environment from unsafe conditions. Process interlocks ensure that process operations can only occur in the correct time sequence. 2. Control during the batch: Feedback control of flow rate, temperature, pressure, composition, and level, including advanced control strategies, falls in this category, which is also called within-thebatch control [31.6]. In sophisticated applications, this requires specification of an operating trajectory for the batch (that is, temperature or flow rate as a function of time). In simpler cases, it involves tracking of set points of the controlled variables, which includes ramping the controlled variables up and down and/or holding them constant for a prescribed period of time. Detection of when the batch operations should be terminated (end point) may be performed by inferential measurements of product quality, if direct measurement is not feasible. 3. Run-to-run control: Also called batch-to-batch control, this supervisory function is based on offline product quality measurements at the end of a run. Operating conditions and profiles for the batch are adjusted between runs to improve the product quality using tools such as optimization. 4. Batch production management: This activity entails advising the plant operator of process status and how to interact with the recipes and the sequential, regulatory, and discrete controls. Complete information (recipes) is maintained for manufacturing each product grade, including the names and amounts of ingredients, process variable set points, ramp rates, processing times, and sampling procedures. Other database information includes batches produced on a shift, daily, or weekly basis, as well as material and energy balances. Scheduling of process units

is based on availability of raw materials and equipment and customer demand. Recipe modifications from one run to the next are common in many batch processes. Typical examples are modifying the reaction time, feed stoichiometry, or reactor temperature. When such modifications are done at the beginning of a run (rather than during a run), the control strategy is called run-to-run control. Run-torun control is frequently motivated by the lack of online measurements of the product quality during a batch run. In batch chemical production, online measurements are often not available during the run, but the product can be analyzed by laboratory samples at the end of the run. The process engineer must specify a recipe that contains the values of the inputs (which may be time-varying) that will meet the product requirements. The task of the run-to-run controller is to adjust the recipe after each run to reduce variability in the output product from the stated specifications. Batch run-to-run control is particularly useful to compensate for processes where the controlled variable drifts over time; for example, in a chemical vapor deposition process the reactor walls may become fouled owing to byproduct deposition. This slow drift in the reactor chamber condition requires occasional changes to the batch recipe in order to ensure that the controlled variables remain on target. Eventually, the reactor chamber must be cleaned to remove the wall deposits, effectively causing a step disturbance to the process outputs when the inputs are held constant. Just as the run-to-run controller compensates for the drifting process, it can also return the process to target after a step disturbance change [31.7, 8]. The Instrument Society of America (ISP) SP-88 standard deals with the terminology involved in batch control [31.9]. There are a hierarchy of activities that take place in a batch processing system [31.10]. At the highest level, procedures identify how the products are made, that is, the actions to be performed (and their order) as well as the associated control requirements for these actions. Operations are equivalent to unit operations in continuous processing and include such steps as charging, reacting, separating, and discharging. Within each operation are logical points called phases, where processing can be interrupted by operator or computer interaction. Examples of different phases include the sequential addition of ingredients, heating a batch to a prescribed temperature, mixing, and so on. Control steps involve direct commands to final control elements, specified by individual control instructions in software.

539

Part D 31.5

Batch control systems must be very versatile to be able to handle pulse inputs and discrete input/output (I/O) as well as analog signals for sensors and actuators. Functional control activities are summarized as follows:

31.5 Batch Process Automation

540

Part D

Automation Design: Theory and Methods for Integration

Part D 31.5

As an example, for {operation = charge reactant} and {phase = add ingredient B}, the control steps would be: (1) open the B supply valve, (2) total the flow of B over a period of time until the prescribed amount has been added, and (3) close the B supply valve. The term recipe has a range of definitions in batch processing, but in general a recipe is a procedure with the set of data, operations, and control steps required to manufacture a particular grade of product. A formula is the list of recipe parameters, which includes the raw materials, processing parameters, and product outputs. A recipe procedure has operations for both normal and abnormal conditions. Each operation contains resource requests for certain ingredients (and their amounts). The operations in the recipe can adjust set points and turn equipment on and off. The complete production run for a specific recipe is called a campaign (multiple batches). In multigrade batch processing, the instructions remain the same from batch to batch, but the formula can be changed to yield modest variations in the product; for example, in emulsion polymerization, different grades of polymers are manufactured by changing the formula. In flexible batch processing, both the formula (recipe parameters) and the processing instructions can change from batch to batch. The recipe for each product must specify both the raw materials required and how conditions within the reactor are to be sequenced in order to make the desired product. Many batch plants, especially those used to manufacture pharmaceuticals, are certified by the International Standards Organization (ISO). ISO 9000 (and the related ISO standards 9001–9004) state that every manufactured product should have an established, documented procedure, and the manufacturer should be able to document that the procedure was followed. Companies must pass periodic audits to main ISO 9000 status. Both ISO 9000 and the US Food and Drug Administration (FDA) require that only a certified recipe be used. Thus, if the operation of a batch becomes abnormal, performing any unusual corrective action to bring it back within the normal limits is not an option. In addition, if a slight change in the recipe apparently produces superior batches, the improvement cannot be implemented unless the entire recipe is recertified. The FDA typically requires product and raw materials tracking, so that product abnormalities can be traced back to their sources. Recently, in an effort to increase the safety, efficiency, and affordability of medicines, the FDA has proposed a new framework for the regulation of pharmaceutical development, manufacturing, and quality

assurance. The primary focus of the initiative is to reduce variability through a better understanding of processes than can be obtained by the traditional approach. Process analytical technology (PAT) has become an acronym in the pharmaceutical industry for designing, analyzing, and controlling manufacturing through timely measurements (i. e., during processing) of critical quality and performance attributes of raw and in-process materials and processes, with the goal of ensuring final product quality. Process variations that could possibly contribute to patient risk are determined through modeling and timely measurements of critical quality attributes, which are then addressed by process control. In this manner processes can be developed and controlled in such a way that quality of product is guaranteed. Semiconductor manufacturing is an example of a large-volume batch process [31.7]. In semiconductor manufacturing an integrated circuit consists of several layers of carefully patterned thin films, each chemically altered to achieve desired electrical characteristics. These devices are manufactured through a series of physical and/or chemical batch unit operations similar to the way in which speciality chemicals are made. From 30 to 300 process steps are typically required to construct a set of circuits on a single-crystalline substrate called a wafer. The wafers are 4 to 4–12 inch (100–300 mm) in diameter, 400–700 μm thick, and serve as the substrate upon which microelectronic circuits (devices) are built. Circuits are constructed by depositing the thin films (0.01–10 μm) of material of carefully controlled composition in specific patterns and then etching these films to exacting geometries (0.35–10 μm). The main unit operations in semiconductor manufacturing are crystal growth, oxidation, deposition (dielectrics, silicon, metals), physical vapor deposition, dopant diffusion, dopant-ion implantation, photolithography, etch, and chemical–mechanical polishing. Most processes in semiconductor manufacturing are semibatch; for example, in a single-wafer processing tool the following steps are carried out: 1. A robotic arm loads the boat of wafers 2. The machine transfers a single wafer into the processing chamber 3. Gases flow continuously and reaction occurs 4. The machine removes the wafer 5. The next wafer is processed. When all wafers are finished processing, the operator takes the boat of wafers to the next machine. All of

Process Automation

yield [31.8]. The major functions provided by the automation system include: 1. Planning of factory operation from order entry through wafer production 2. Scheduling of factory resources to meet the production plan 3. Modeling and simulation of factory operation 4. Generation and maintenance of process and product specification and recipes 5. Tracking of work-in-progress (WIP) 6. Monitoring of factory performance 7. Machine monitoring, control, and diagnosis 8. Process monitoring, control, and diagnosis. Automation of semiconductor manufacturing in the future will consist of meeting a range of technological challenges. These include the need for faster yield ramp, increasing cost pressures that compel productivity improvements, environmental safety and health concerns, and shrinking device dimensions and chip size. The development of 300 mm platforms in the last few years has spawned equipment with new software systems and capabilities. These systems will allow smart data collection, storage, and processing on the equipment, and transfer of data and information in a more efficient manner. Smart data management implies that data are collected as needed and based upon events and metrology results. As a result of immediate and automatic processing of data, a larger fraction of data can be analyzed, and more decisions are data driven. New software platforms provide the biggest opportunity for a control paradigm shift seen in the industry since the introduction of statistical process control.

31.6 Automation and Process Safety In modern chemical plants, process safety relies on the principle of multiple protection layers. A typical configuration is shown in Fig. 31.8. Each layer of protection consists of a grouping of equipment and/or human actions. The protection layers are shown in the order of activation that occurs as a plant incident develops. In the inner layer, the process design itself provides the first level of protection. The next two layers consist of the basic process control system (BPCS) augmented with two levels of alarms and operator supervision or intervention. An alarm indicates that a measurement has exceeded its specified limits and may require operator action.

The fourth layer consists of a safety interlock system (SIS), which is also referred to as a safety instrumented system or as an emergency shutdown (ESD) system. The SIS automatically takes corrective action when the process and BPCS layers are unable to handle an emergency; for example, the SIS could automatically turn off the reactant pumps after a hightemperature alarm occurs for a chemical reactor. Relief devices such as rupture discs and relief valves provide physical protection by venting a gas or vapor if overpressurization occurs. As a last resort, dikes are located around process units and storage tanks to contain liquid spills. Emergency response plans are used

541

Part D 31.6

these steps are carried out in a clean room designed to minimize device damage by particulate matter. For a given tool or unit operation a specified number of wafers are processed together in a lot, which is carried in a boat. There is usually an extra slot in the boat for a pilot wafer, which is used for metrology reasons. A cluster tool refers to equipment which has several single-wafer processing chambers. The chambers may carry out the same process or different processes; some vendors base their chamber designs on series operation, while others utilize parallel processing schemes. The recipe for the batch consists of the regulatory set points and parameters for the real-time controllers on the equipment. The equipment controllers are normally not capable of receiving a continuous set-point trajectory. Only furnaces and rapid thermal processing tools are able to ramp up, hold, and ramp down their temperature or power supply. A recipe can consist of several steps; each step processes a different film based on specific chemistry. The same recipe on the same type of chamber may produce different results, due to different processes used in the chamber previously. This lack of repeatability across chambers is a big problem with cluster tools or when a fabrication plant (fab) has multiple machines of the same type, because it requires that a fab keep track of different recipes for each chamber. The controller translates the desired specs into a machine recipe. Thus, the fab supervisory controller only keeps track of the product specifications. Factory automation in semiconductor manufacturing integrates the individual equipment into higher levels of automation, in order to reduce the total cycle time, increase fab productivity, and increase product

31.6 Automation and Process Safety

542

Part D

Automation Design: Theory and Methods for Integration

Part D 31.6

Community emergency response

a) Low-level interlock

Plant emergency response LSL = Level switch low

Physical protection (dikes) Physical protection (relief devices)

S

= Solenoid switch

Automatic action SIS or ESD Liquid storage tank

Critical alarms, operator supervision, and manual intervention Basic controls process alarms, and operator supervision

S

LSL

Process design

b) High-pressure interlock S Gas out

To flare stack

PSH Gas in

Note: Protection layers for a typical process are shown in the order of activation expected as a hazardous condition is approached ESD = Emergency shutdown; SIS = Safety interlock system

Fig. 31.8 Typical layers of protection in a modern chemical plant [31.11]

to address emergency situations and to inform the community. The functioning of the multiple layer protection system can be summarized as follows [31.11]: Most failures in well-designed and operated chemical processes are contained by the first one or two protection layers. The middle levels guard against major releases and the outermost layers provide mitigation response to very unlikely major events. For major hazard potential, even more layers may be necessary. It is evident from Fig. 31.8 that automation plays an important role in ensuring process safety. In particular, many of the protection layers in Fig. 31.8 involve instrumentation and control equipment. The SIS operation is designed to provide automatic responses after alarms indicate potentially hazardous situations. The objective is to have the process reach a safe condition. The automatic responses are implemented via interlocks and automatic shutdown and start-up systems. Distinc-

Gas storage tank

PSH = Pressure switch high

Fig. 31.9a,b Two interlock configurations [31.1]

tions are sometimes made between safety interlocks and process interlocks; process interlocks are used for less critical situations to provide protection against minor equipment damage and undesirable process conditions such as the production of off-specification product. Two simple interlock systems are shown in Fig. 31.9. For the liquid storage system, the liquid level must stay above a minimum value in order to avoid pump damage such as cavitation. If the level drops below the specified limit, the low-level switch (LSL) triggers both an alarm and a solenoid (S), which acts as a relay and turns the pump off. For the gas storage system in Fig. 31.9b, the solenoid-operated valve is normally closed. However, if the pressure of the hydrocarbon gas in the storage tank exceeds a specified limit, the high-pressure switch (PSH) activates an alarm and causes the valve to open fully, thus reducing the pressure in the tank. For interlock and other safety systems, a switch can be replaced by a transmitter if the measurement is required. Also, transmitters tend to be more reliable. The SIS in Fig. 31.9 serves as an emergency backup system for the BPCS. The SIS automatically starts when a critical process variable exceeds specified alarm limits that define the allowable operating region. Its initiation

Process Automation

actuators. Sometimes redundant sensors and actuators are utilized; for example, triply redundant sensors are used for critical measurements, with SIS actions based on the median of the three measurements. This strategy prevents a single sensor failure from crippling SIS operation. The SIS also has a separate set of alarms so that the operator can be notified when the SIS initiates an action (e.g., turning on an emergency cooling pump), even if the BPCS is not operational.

31.7 Emerging Trends Main emerging trends in process automation have to do with process integration, information integration, and engineering integration. The theme across all of these is the need for a greater degree of integration of all

components of the process. A thorough discussion on the implications of these trends and their challenges on process automation can be found in this Handbook in Chap. 8.

31.8 Further Reading • •

A. Cichocki, H.A. Ansari, M. Rusinkiewicz, D. Woelk: Workflow and Process Automation: Concepts and Technology, 1st edn. (Springer, London 1997) P. Cleveland: Process automation systems Control Eng. 55(2), 65–74 (2008)

• •

S.-L. Jämsä-Jounela: Future trends in process automation Annu. Rev. Control, 31(2), 211–220 (2007) J. Love: Process Automation Handbook: A Guide to Theory and Practice, 1st edn. (Springer, London 2007)

References 31.1

31.2

31.3

31.4

31.5

D.E. Seborg, T.F. Edgar, D.A. Mellichamp: Process Dynamics and Control, 2nd edn. (Wiley, New York 2004) T.F. Edgar, C.L. Smith, F.G. Shinskey, G.W. Gassman, P.J. Schafbuch, T.J. McAvoy, D.E. Seborg: Process control. In: Perry’s Chemical Engineering Handbook, ed. by R.H. Perry, D.W. Green, J.O. Maloney (McGraw-Hill, New York 2008) C.R. Cutler, B.L. Ramaker: Dynamic matrix control – a computer control algorithm, Proc. Jt. Auto. Control Conf., paper WP5-B (San Francisco 1980) J. Richalet, A. Rault, J.L. Testud, J. Papon: Model predictive heuristic control: applications to industrial processes, Automatica 14, 413–428 (1978) S.J. Qin, T.A. Badgwell: A survey of industrial model predictive control technology, Control Eng. Pract. 11, 733–764 (2003)

31.6

31.7

31.8

31.9

31.10

31.11

D. Bonvin: Optimal operation of batch reactors – a personal view, J. Process Control 8, 355–368 (1998) T.G. Fisher: Batch Control Systems: Design, Application, and Implementation (ISA, Research Triangle Park 1990) J. Parshall, L. Lamb: Applying S88: Batch Control from a User’s Perspective (ISA, Research Triangle Park 2000) T.F. Edgar, S.W. Butler, W.J. Campbell, C. Pfeiffer, C. Bode, S.B. Hwang, K.S. Balakrishnan, J. Hahn: Automatic control in microelectronics manufacturing: practices, challenges and possibilities, Automatica 36, 1567–1603 (2000) J. Moyne, E. del Castillo, A.M. Hurwitz (Eds.): Run to Run Control in Semiconductor Manufacturing (CRC, Boca Raton 2001) AIChE Center for Chemical Process Safety: Guidelines for Safe Automation of Chemical Processes (AIChE, New York 1993)

543

Part D 31

results in a drastic action such as starting or stopping a pump or shutting down a process unit. Consequently, it is used only as a last resort to prevent injury to people or equipment. It is very important that the SIS function independently of the BPCS; otherwise emergency protection will be unavailable during periods when the BPCS is not operating (e.g., due to a malfunction or power failure). Thus, the SIS should be physically separated from the BPCS and have its own sensors and

References

“This page left intentionally blank.”

545

Product Auto 32. Product Automation

The combined effects of rapidly growing computational power, and the shrinking of the associated hardware in recent decades, mean that almost all products used in industry have acquired some form of intelligence, and can perform at least part of their functions automatically. The influence of this development on global society is breathtaking. Today, only 50 years after the first indication of automation, the life of individuals and the way industries work has been transformed fundamentally. The automation of a product requires the ability to achieve unsupervised interaction between the device’s various sensors and actuators, and ultimately the ability to communicate and interact with other units. This chapter gives an overview of the requirements to be fulfilled in the automation of products, and gives a flavor of today’s state of the art by presenting typical examples of automated products from a wide range of industrial applications. These examples cover automation in instrumentation, motors, circuit breakers, drives, robots, and embedded systems.

32.1 Historical Background ........................... 545 32.2 Definition of Product Automation .......... 546 32.3 The Functions of Product Automation..... 546 32.4 Sensors ................................................ 547 32.5 Control Systems .................................... 547 32.6 Actuators ............................................. 548 32.7 Energy Supply ...................................... 548 32.8 Information Exchange with Other Systems ............................... 548 32.9 Elements for Product Automation .......... 32.9.1 Sensors and Instrumentation......... 32.9.2 Circuit Breakers ............................ 32.9.3 Motors ........................................ 32.9.4 Drives ......................................... 32.9.5 Robots ........................................

548 548 550 551 552 553

32.10 Embedded Systems ............................... 554 32.11 Summary and Emerging Trends ............. 557 References .................................................. 558

32.1 Historical Background Some 50 years ago the term product automation was not even known. The door opener to the automation of individual devices, components or products was a tiny electronic circuit, commercially introduced by Fairchild Semiconductors and Texas Instruments in 1961: the microprocessor. Already 5 years later the trend towards progressive miniaturization, known as Moore’s law, was initiated and is still ongoing. In the 1970s the development of the microprocessors geared up and led to the RISC (reduced instruction

set computer) processors and very-large-scale integration (VLSI) in the 1980s. In the 1980s the step from 32 to 64 bit processors was taken. In 1992, DEC introduced the Alpha 21064 at a speed of 200 MHz. The superscalar, superpipelined 64 bit processor design was pure RISC, but it outperformed the other chips and was referred to by DEC as the world’s fastest processor. Today in 2007 the flagships of microprocessors are built in 65 nm technology, have about 170 million transistors, and tact frequencies of 2000 MHz.

Part D 32

Friedrich Pinnekamp

546

Part D

Automation Design: Theory and Methods for Integration

Part D 32.3

With such a powerful brain embedded in a device, automation has almost unlimited potential. The enormous impact of this revolutionary technical development on society is obvious. It changed the daily life of almost all people in the world. The personal computer (PC) is based on microprocessors, transforming the way of working in offices and factories fundamentally. Microprocessors crept

into almost all devices and made the use of machines much more convenient (at least after all the manuals themselves have developed into embedded systems). Production of goods boosted to new productivity levels with microprocessors and there is hardly a single niche in our life that is not covered by automation devices today.

32.2 Definition of Product Automation Product automation is a notation that can easily be confused with production automation or automation products, all names used in industry. To add to the confusion, the individual terms product and automation have a wide range of meanings themselves. Before we discuss product automation it seems to be appropriate to clearly describe what we understand by this. Production automation is the automation of individual steps or the whole chain of steps necessary to produce. The otherwise manual part of the manufacturing is therefore carried out or supported by tools, machines or other devices. Industries that produce such tools or machines call their devices products. Products used to automate production can be called automation products. Examples are numerical controlled machines, robots or sorting devices. These automation products serve individual steps or support the infrastructure of an automated production line. To be able to do this, these products or devices must possess a certain degree of automation themselves. A motor that drives the arm of a robot, for example, must be able to receive signals for its operation and

must have some mechanism to start its operation on request. Thus a motor, to use this example, must itself be automated. When we talk about product automation we have in mind the automation of devices that fulfill various tasks in industry, not necessarily only tasks in production processes. A device with automation capabilities can also be used as a stand-alone unit to serve individual functions. Thus product automation is the attempt to equip products with functionality so that they can fulfill their tasks fully or partly in an automated way. In this chapter we want to describe the state of the art and the trends in automating products. The functions required to transform a simple tool, say a hammer, into an automated product – in this case it may be a robot executing the same movement with the hammer as we would do with our arm – are very different, both in nature and complexity. From the large variety of combinations of these functions we select a dozen typical applications or product examples to give a feeling of the status of implementation of automation on the product level. Further examples can be found in [32.1]

32.3 The Functions of Product Automation The hammer mentioned above is a good example of a whole class of products (or devices) with the task of providing a mechanical impulse to another object (in most cases a nail). This hammer is useless if no one is taking it and using it as a tool. To make a simple hammer an automated hammer, we need an additional system that provides at least the

functions a person would apply to the hammer in order to make it useful. We have to give the hammer a target (hit that specific nail), we need a force (an actuator) to move the hammer, and we have to control the movement in various aspects: acceleration, direction, speed, angle of impact, precision of the path. We have to inform the

Product Automation

32.5 Control Systems

547

Fig. 32.1 The functional blocks required for automating

a device

Sensors

Energy

Control system

Information exchange with other systems

Actuators

Primary task of the device

32.4 Sensors To automate a device, sensors are required to inspect the environment and provide information about the subsequent reaction of the device. It depends very much on the task to be carried out with the product which type of sensor is

adequate (see Chap. 20 in this handbook and [32.2, 3]). Table 32.1 gives an overview on the physical parameters to be measured by the sensor when specific properties are applicable.

Table 32.1 Physical parameters to be measured by a sensor

Mechanical properties Thermodynamic properties Electrical properties Magnetic properties Electromagnetic properties Other properties

Distance, speed, acceleration, position, angle, mass flow, level, tension, movement, vibration Temperature, pressure, composition, density, energy content Voltage, current, phase, frequency, phase angle, conductivity Magnetic field Radiation intensity, light fluctuations, parameters of light propagation Radioactivity

32.5 Control Systems The signals from the sensors, in either analog or digital form, have to be interpreted and compared with a model of the device task in order to initiate the actuators. The control system therefore contains all algorithms to provide a proper operation of the device. Simple logical controllers are used for on–off functions. Sequence controllers are used for time-dependent operations of the devices (Chap. 9 on control theory and [32.4–6]).

Proportional–integral–derivative (PID) controllers are widely used for parameter control, and the even more sophisticated model-based control systems see use in device control. For example, the starter of a motor to close a valve may need to adjust its current ramp-up curve according to the behavior of the overall system in which this valve and its automated motor are embedded.

Part D 32.5

control system (the brain) that the task is executed and we have to put the hammer back into a default position after the hit. In addition, we need an energy source to provide the power for the operation and we need some sensors (eyes, ears, fingers) to inform the control system about the status of the hammer and its position during the action. In a more schematic way the requirements for automation look like the following.

548

Part D

Automation Design: Theory and Methods for Integration

32.6 Actuators

Part D 32.9

Actuators are the devices through which a controller acts on the system. As in the case of sensors, the specific type of the actuator depends very much on the application [32.7, 8]. Mechanical movements are introduced by spring mechanisms, hydraulic and/or pneumatic devices, mag-

netic forces, valves or thermal energy. Changes of thermodynamic properties are introduced through heating or cooling or pressure variations, for example. Electrical properties are modified by charging or discharging capacitors or application of voltage and current, just to mention a few.

32.7 Energy Supply Energy is required to perform the primary function of the device. It can be supplied in various forms such as mechanical, electrical or thermal. Energy is also required to operate the sensors, actuators, and control systems as well as the communication channels within or to the outside of the device. Energy (in most cases electrical energy) can be supplied from remote sources, either via cables or without

a wired connection (for example, transmitted through electromagnetic fields), or taken from device internal storage systems, mostly batteries (in rare cases also fuel cells). In the long term, the energy supply for systems that must operate in remote locations for long periods without intervention will develop into a design issue – for example, through suitable storage mechanisms.

32.8 Information Exchange with Other Systems To operate in an automatic way, a device often has to communicate with its environment. For stand-alone devices, this information exchange is provided through sensors that observe the external parameters. In the majority of cases, however, the automated device must communicate with peer devices or with a superordinate control system. Basic information for the function of the device has to be transferred and, if necessary, updated. Such updates can affect anything from operating data to the master program for the operation.

It may be necessary for an operator to communicate with the device, thus a man–machine interface is required. Other devices or higher control systems may require information exchange in both directions, to activate the device, get a status report or synchronize with other devices in a larger system. A language for the communication has to be defined and here several standards have been developed over the years as automation was spreading across industry.

32.9 Elements for Product Automation The overview above covers the broad spectrum of aspects and applications in product automation. In the following, some prominent examples of state-of-the-art implementation of the related technologies are given.

32.9.1 Sensors and Instrumentation Instrumentation is a crucial element in product automation. The information required to effectively automate

a product has to be gathered in an adequate way. The state of the art in sensor technology shall be demonstrated with a few examples only, characterizing the level of technology required in modern sensors. Figure 32.1 shows both a pressure and a temperature sensor. In both cases the probe itself is the crucial but is by far a minor part of the whole system. Most of the technology is located in the electronic part that serves as data evaluation and signal transmission.

Product Automation

a

b

d

e

Pressure transmitter 261 from the 2600T series of ABB

The high-performance TTF300 temperature transmitter of ABB

Fig. 32.1 Examples of pressure and temperature sensors (after [32.9, 10])

Signal transmission takes place via a communication protocol. A further critical element is the human–machine interface, which is becoming more intuitive in its use. The user is provided only a few simple buttons to check or modify the settings of the sensors. A high degree of automation is put into the peripheral aspects of the sensors after the primary measurement system of the physical parameters have been developed to perfection.

x

Optoelectronics module Profibus 4 –20 mA 0–1 V

Orthogonal linear light waves

Retarder

Fiber Reflector

Left and right circular light waves

Current conductor

Interface Power link

Other sensors are built on new sensing technology, perfecting physical effects such as the influence of a magnetic field on the polarization of light waves propagating in this field. Figure 32.3 shows such a sensor for measuring the direct-current (DC) in a foundry. The sensor as such consists of an optical fiber wound around the current-carrying bar. The magnetic field generated by the current influences the light propagation in the fiber, from which the amplitude of the current can be derived.

Δφ

Sensing fiber cell

AC 800 PEC controller

Schematic illustration of ABB's fiber-optic sensor for high DC currents

Fig. 32.3 Fiber-optic current sensor (after [32.11])

Sensor head housing mounted around current carrying bus bars

Part D 32.9

a Output and auxiliary power supply b Zero/span adjustment c Microprocessor-supported electronics d Measuring mechanism e O-ring

Temperature transmitters TTH300 of ABB with HART communication

y

549

Pressure transmitter for absolute pressure c

Temperature probes

32.9 Elements for Product Automation

550

Part D

Automation Design: Theory and Methods for Integration

Magnetic field concentrator

Displacement body

Part D 32.9

Mirror Copensation coil

S

Magnetic field concentrator

Photodetectors

Light source

Arrangement of the displacement body in a paramagnetic oxygen sensor

Planar micro-electromechanical system (MEMS) sensor chip (inner volume approximately 100 mm3)

Fig. 32.4 Micro-electromechanical oxygen sensor (after [32.12])

The electronic processing of the transformed light signal occupies the central part of the sensor. Making use of micro-electromechanical systems (MEMS) technology, the sensors themselves become more accurate and easier to manufacture [32.13, 14]. An example of this development towards siliconbased systems is the oxygen sensor head shown in Fig. 32.4. Compared with other gases, oxygen shows a high magnetic susceptibility, and this is used to detect it in a gas flow. The sensor consists of a displacement body located in a strong magnetic field gradient. The torque of this body is a measure of the concentration of the magnetic oxygen gas. With silicon manufacturing technology these delicate mechanical devices can be cut out on chips and integrated into the overall measuring system.

32.9.2 Circuit Breakers Circuit breakers are devices that connect or disconnect electrical energy to a user and, in addition, interrupt a short-circuit current in case of emergency (Fig. 32.5) [32.15]. While the primary mechanism for this function is the mechanical movement of metal contacts, modern versions of this product show a very high degree of automation. State-of-the-art circuit breakers therefore have a quick and user-friendly way of setting trip parameters – preferably a method that can be instantiated offline and before installation of the circuit breaker. In addition, they offer a complete indication of why a trip occurs, and a data-logger function to record all electrical quantities surrounding the tripping event. Accessing

Fig. 32.5 Automated circuit breakers for different power levels

Product Automation

Fig. 32.6 HMI of a circuit breaker

use electric network dimensioning support programs to ensure optimal adjustment of the protection functions. In addition, users can print reports, save data on different media, or send data by e-Mail to other parties from the comfort of their own desk. The use of fieldbus plug devices allows a choice of fieldbus (e.g., DeviceNet or Profibus DP) that best suits the user’s specific needs when connecting the circuit breakers to an overall system.

32.9.3 Motors Motors are the most common devices in production automation, being part of production lines or of robots [32.16]. The need to automate the motors themselves is therefore very high, but also motors in stand-alone application have automation features built in for various reasons. To avoid negative influence on the electrical supply system when motors are switched on, the most common automation device is the soft starter: when a certain motor power output is required, the optimal solution (depending on the power network conditions and requirements) becomes a frequency converter start, also called a soft start. This allows the motor to be started at high torque without causing any voltage drop on the power network. The converter brings the motor up to speed. Upon reaching nominal speed and after being synchronized to the network, the circuit breaker between the converter and the power network is opened. The breaker between the motor and the network is then closed. Finally, the breaker between the motor and the converter is opened. The control systems to manage the motor come in two basic varieties. Closed-loop control systems have encoders in the motor to report its status. This is used as feedback information for the control algorithm. Openloop systems are simpler because these encoders are omitted, but at the price of a lower control accuracy. With model-based control, however, the accuracy of a closed-loop is achieved without encoders. ABB’s direct torque control technology is an example for this modern approach: it uses mathematical functions to predict the motor status. The accuracy and repeatability delivered is comparable to closed-loop systems, but with the added bonus of a higher responsiveness (up to ten times as fast). Direct torque control (DTC) is a control method that gives electronic variable-speed motor controllers [alternating-current (AC) drives] an excellent torque response time. For AC induction machines, it deliv-

551

Part D 32.9

this information quickly and from anywhere, without the need to plug a direct physical connections between the trip unit and the PC or personal digital assistant (PDA) are also features of modern products. An important element in any circuit breaker is a current sensor. In low-voltage circuit breakers, which are fitted with electronic trip units, these sensors are not only used for current measurement, but they must also provide sufficient energy to power the electronics. A commonly used sensor is a Rogowsky coil that provides a signal proportional to the derivative of the current – this signal needs to be integrated. This is done digitally with a powerful digital signal processor (DSP), which is part of the overall multiprocessor architecture, and essentially the heart of the trip unit. In fact, this DSP is used to carry out other functions, for example, communications, that in previous designs required separate hardware components. The elimination of these hardware components combined with a simplified trip unit input stage means that a single printed circuit board (PCB) is all that is required for the unit’s electronics. This is a vast improvement on previous designs where four PCBs were needed to provide the same functionality. The circuit breaker shown in Fig. 32.6 also has an integrated human–machine interface (HMI) [32.15]. A high-definition, low-power-consuming graphical display makes data easier to read. And, because of an energy-storing capacitor, a description of the alarms can be displayed for up to 48 h without the need for an auxiliary power supply. Nevertheless, these alarm descriptions are saved and can be viewed long after this 48 h period has elapsed by simply powering the trip unit. A wireless link, based on Bluetooth technology, connects the trip unit to a portable PC, PDA or laptop. This enables users to operate in a desktop environment familiar to them. From this environment operators can

32.9 Elements for Product Automation

552

Part D

Automation Design: Theory and Methods for Integration

Mains

DTC core

Rectifier

Torque status Speed controller + acceleration compensator

Torque reference controller

Part D 32.9

Torque reference

Speed reference

+ –

Switch position commands

Internal torque reference Torque comparator

Control signals Optimum pulse selector

Flux comparator

DC bus

PID Actual torque Flux reference controller U t

Flux optimizing on/off Flux braking on/off

U Actual speed

Actual flux

Flux status

ASIC

Inverter

Switch positions

Adaptive motor model

t

Internal flux reference Motor current

DC bus voltage

M 3~

Fig. 32.7 Block diagram of DTC (after [32.17])

ers levels of performance and responsiveness reaching the machine’s theoretical limits in terms of torque and speed control (Fig. 32.7). DTC uses a control algorithm that is implemented on a microcontroller embedded in the drive. The technology was first used commercially in 1995, and rapidly became the preferred control scheme for AC drives, especially for demanding or critical applications, where the quality of the control system could not be compromised.

32.9.4 Drives In the above example of motor automation, soft starters for smaller motors are often integrated into the motor itself. The control systems to manage larger motors become large in themselves – like their smaller counterparts, they are equipped with power electronic components as well as control processors and peripheral systems, but the size of these rises with their power capability. Such units are called drives. Today drive units can power motors of up to 50 MW. The continuing decrease in size and increase in power in such units is driven by improvements in power electronics and microelectronics.

When we look at a drive device as a product in itself, the automation of this product is mainly by two growing requirements: a simplified man–machine interface and communication issues within a system of which the drive is part. Intelligent drives are certain to benefit from the growth of Ethernet communications by becoming an integral part of control, maintenance, and monitoring systems. Decentralized control systems will be created in which multiple drives share control functions, with one taking over in the event of a fault or error in another drive. The advantage of this is that reliance on costly

Fig. 32.8 Assistant control panels allow easy program-

ming of standard drives (after [32.18])

Product Automation

Fig. 32.9 The FlexPicker robot achieves accelerations of 10 g and handles up to 120 item/min

The gripper can pick items with picking rates exceeding 120 items per minute. The products can be picked and placed one by one. Since all the motors and gears are fixed on the base of the robot, the mass of the moving arms is limited to a few kilograms. This means that accelerations above 10 g can be achieved. Automation of this fast movement puts different requirements on the automation system of the device than, for example, the slow welding of a car roof. While the primary automation of the robot movement is fully developed (the world’s first electrically driven robot was introduced as early as 1974), the

32.9.5 Robots Robots are the prototype of product automation as they present the impression of a self-determined machine in the most visible way. The classical application of robots is found in car manufacturing, where hundreds of devices perform movements, grinding, welding, painting, assembly, and other operations in the flow production (see Chap. 21 and [32.19, 20]). Other applications of robotic support are less well known but are entering the market on a broad base. The FlexPicker from ABB (Fig. 32.9) is one such example: It is a parallel kinematics robot that offers a great combination of speed and flexibility [32.21].

553

Part D 32.9

programmable logic controllers (PLC) is greatly reduced and automation reliability improves dramatically. The modern drive is programmed via a control panel that is similar in look, feel, and functionality to a mobile phone. A large graphical display and soft keys make it extremely easy to navigate. This detachable, multilingual alphanumeric control panel, as shown in Fig. 32.8, allows access to various assistants and a built-in help function to guide the user during start-up, maintenance, and diagnostics. For example, a real-time clock assists in rapid fault diagnostics. When a fault is detected, a diagnostic assistant will suggest ways to fix the problem. Drive setup and configuration data can be copied from one motor controller to another to ensure that, in the event of a drive failure, there is no need to start the setup process from the beginning. Today, mid-range drives can store five times the amount of information compared with typical drives from the 1980s. In addition, drives with increased processing power and memory enable configurations that are better suited to an application. In industry today, software modifications are the most useful and cost-effective way of modifying a drive. This is because developments in software have given drives increased capability with less hardware; for example, a drive controlling a conveyor belt in a biscuit factory can be programmed to operate in many different ways, such as starting and stopping at certain intervals or advancing a certain distance. The same drive used in a ventilation system can be programmed to maintain constant air pressure in a ventilation duct. Software developments are also leading to drives with adaptive programming. Adaptive programming enables the user to freely program the drive with a set of predefined software blocks with predefined functions.

32.9 Elements for Product Automation

Fig. 32.10 The MultiMove function, which is embedded

into the IRC5 software, allows up to four robots and their work-positioners or other devices to work in full coordination

554

Part D

Automation Design: Theory and Methods for Integration

Part D 32.10

further improvements come, such as in the drives examples, from better coordination of several robots, a simplified man–machine interface, and easier programming methods for the robot systems. The recently launched robot controller IRC5 from ABB, presenting the fifth generation of robot controllers, introduces MultiMove (Fig. 32.10). MultiMove is a function embedded into the IRC5 software that allows up to four robots and their work-positioners or other devices to work in full coordination. This advanced functionality has been made possible by the processing power and modularity of the IRC5 control module, which is capable of calculating the paths of up to 36 servo axes [32.22]. The FlexPendant (Fig. 32.11) [32.22] is a handheld robot–user interface for use with the IRC5 controller. It is used for manually controlling or programming a robot, or for making modifications or changing settings during operation. The FlexPendant can jog a robot through its program, or jog any of its axes to drive the robot to a desired position, and save and recall learnt positions and actions. The ergonomically designed unit weighs less than 1.3 kg. It has a large color touchscreen, eight keys, a joystick, and an emergency stop button. The user-friendly interface is based on Microsoft’s CE.NET system. It can display information in 12 languages of which 3 can be made active simultaneously, meaning languages can be changed during operation. This is useful when staff from different countries work in the same fac-

Fig. 32.11 Handheld programming

tory. The display can be flipped by 180◦ to make the FlexPendant suitable for left- or right-handed operators. The options can be customized to suit the robot application. Programming of robots to fulfill their sometimes quite complicated task requires a lot of time and can in most cases be done by specialists only. However, considerable progress has been made by virtual robot programming that allows offline programming with models. Recently these systems can also be directly connected to the robots, which is especially useful to set up a new robot installation. Background software programs and the use of templates significantly facilitate this programming work.

32.10 Embedded Systems Most of the examples given in Sect. 32.9 have the automation function embedded in the product, making embedded system a common feature for product automation. Embedded systems are special-purpose computer systems that are totally integrated and enclosed by the devices that they serve or control – hence the term embedded systems. While this is a generally accepted definition of embedded systems, it does not give many clues as to the special characteristics the systems possess. The use of general-purpose computers, such as PCs, would be far too costly for the majority of products that incorporate some form of embedded system technology. A general-purpose solution might also fail to meet a number of functional or performance requirements such as constraints in power consumption, size limitations, reliability or real-time performance.

Most present product automation could not have been conceived without embedded system technology. Examples are distributed control systems (DCS) that can safely automate and control large and complex industrial plants, such as oil refineries, power plants, and paper mills. In the early days of industrial automation, relay logic was used to perform simple control functions. With the advent of integrated circuits and the first commercial microcontrollers in the 1970s and 1980s, programmable industrial controllers were introduced to perform more complex control logic. Industrial requirements vary enormously from application to application, but special industrial requirements typically include:

• •

Availability and reliability Safety

Product Automation

• • •

Real-time, deterministic response Power consumption Lifetime

Asset condition Asset document manager Automation system

The key issues in developing embedded systems are complexity, connectivity, and usability. While the steadily increasing transistor density and speeds of integrated circuits offer tremendous opportunities, these improvements also present huge challenges to handle the complexity: a modern embedded system can consist of hundreds of thousands of lines of software code. Before the widespread deployment of digital communication, most embedded systems operated in a stand-alone mode (Fig. 32.12). They may have had some capabilities for remote supervision and control, but, by and large, most functions were performed autonomously. This is changing rapidly. Embedded systems are now often part of sophisticated distributed networks. Simple sensors with basic transmitter electronics have been replaced by complex, intelligent field devices. As a consequence, individual products can no longer be automated in isolation; they must have common components. Communication has gone from being a small part of a system to being a significant function. Where serial peer-to-peer communication was once the only way to connect a device to a control system, fieldbuses are now able to integrate large numbers of complex devices. The need to connect different applications within a system to information and services in field devices drives the introduction of standard information and communication technologies (ICT) such as Ethernet and web services. Complex field devices are often programmable or configurable. Today’s pressure transmitters can contain several hundred parameters. The interaction with a device – either from a built-in panel or from a software

CMMS Messenger

PC tool

Drives, switchgear etc.

Fig. 32.12 Automated products like °C kPa Ω l/min ppb %

°C kPa Ω l/min ppb %

°C kPa Ω l/min ppb %

instruments as part of a control network (after [32.23])

555

Part D 32.10

Automated products as well as automation and power systems must have very high availability and be extremely reliable. Economic security and personal safety depend on high-integrity systems. Embedded systems play a critical role in such mission-critical configurations. Real time is a term often associated with embedded systems. Because these systems are used to control or monitor real-time processes, they must be able to perform certain tasks reliably within a given time. The response time associated with this real time varies with the application and can range from seconds to microseconds. Embedded systems must operate with as little power consumption as possible. For this reason various power-harvesting technologies are often included in the design. Yet another requirement that is frequently imposed on industrial embedded systems is a long lifetime of the product itself and the lifecycle of the product family. While modern consumer electronics may be expected to last for less than 5 years, most industrial devices are expected to work in the field for 20 years or more. This imposes challenges not only on the robustness of the electronics, but also on how the product should be handled throughout its lifecycle: Hardware components, operating systems, and development tools are constantly evolving and individual products eventually become obsolete.

32.10 Embedded Systems

556

Part D

Automation Design: Theory and Methods for Integration

Part D 32.10

application in the system – has become more complex. The task of hiding this complexity from the user through the creation of a user-friendly device has sometimes been underestimated. Most other requirements are easily quantifiable or absolute, but usability is somewhat harder to define. The emergence of system-on-chip (SoC) technology has enabled extremely powerful systems to run on configurable platforms that contain all the building blocks of an embedded system: microprocessors, digital signal processors (DSP), programmable hardware logic, memory, communication processors, and display drivers, to give but a few examples. A further important aspect of the evolution of embedded systems is the trend towards networking of embedded nodes using specialized network technologies, frequently referred to as networked embedded systems (NES). SoC can be defined as a complex integrated circuit, or integrated chipset, that combines the main functional elements or subsystems of a complete end product in a single entity (Fig. 32.13). Nowadays, the

most challenging SoC designs include at least one programmable processor, and very often a combination of at least one RISC (reduced instruction set computing) control processor and one DSP. They also include on-chip communications structures – processor bus(es), peripheral bus(es), and sometimes a high-speed system bus. A hierarchy of on-chip memory units, as well as links to off-chip memory, is especially important for SoC processors. For most signal-processing applications, some degree of hardware-based accelerating functional unit is provided, offering higher performance and lower energy consumption. For interfacing to the external world, SoC design includes a number of peripheral processing blocks consisting of analogue components as well as digital interfaces (for example, to system buses at board or backplane level). Future SoC may incorporate MEMS-based (microelectromechanical system) sensors and actuators or chemical processing (lab-on-a-chip). Recently, the scope of SoC has broadened. From implementations using custom integrated circuits (ICs),

External memory access

Flash

RAM

ICache

DCache

DMA System bus

Microprocessor

RAM

Flash

DCache

ICache

DSP

Peripheral bus PLL MPEG decode

Test

PCl

Video I/F

USB

Fig. 32.13 Typical SoC system for Audio CODEC

Disk controller

100 base-T

Bus bridge

consumer applications (after [32.24]). USB – universal serial bus, PLL – phase locked loop, PCI – peripherical component interconnect, DMA – direct memory access, I/F – interface

Product Automation

c b h

i

e

d

g

f

Fig. 32.14 Fully fledged board for the automation of a substation in an electric grid: (a) EPROM, (b) signal preprocessing FPGA, (c) device internal 100 bit/s serial communication, (d) power supply, (e) multiport Ethernet switch with optical and electrical 100 Mbit/s Ethernet media access, (f) 18–300 V binary inputs, (g) binary input processing ASIC, (h) RAM, (i) PowerPC microcontroller (after [32.25])

application-specific IC (ASIC) or application-specific standard part (ASSP), the approach now includes the design and use of complex reconfigurable logic parts with embedded processors. In addition other application-oriented blocks of intellectual property, such as processors, memories or special-purpose functions from third parties are incorporated into unique designs. These complex field-programmable gate arrays (FPGAs) are offered by several vendors. The guiding principle behind this approach to SoC is to combine large amounts of reconfigurable logic with embedded RISC processors, in order to enable highly flexible and tailorable combinations of hardware and software processing to be applied to a design problem. Algorithms that contain significant amounts of control logic, plus large quantities of dataflow processing, can be

partitioned into the control RISC processor with reconfigurable logic for hardware acceleration. Another important facet of the evolution of embedded systems is the emergence of distributed embedded systems, frequently termed networked embedded systems, where the word networked signifies the importance of the networking infrastructure and communication protocol. A networked embedded system is a collection of spatially and functionally distributed embedded nodes, interconnected by means of wired and/or wireless communication infrastructure and protocols, and interacting with the environment (via sensor/actuator elements) and with each other. Within the system, a master node can also be included to coordinate computing and communication, in order to achieve specific objectives. Early implementation of numerical power system protection and control devices used specialized digital signal processing (DSP) units. Today’s implementations are leveraging the vast computing power available in general-purpose central processing units (CPU). As such, PowerPC microcontrollers deliver high computing power at low power consumption and, therefore, low power dissipation. Random-access memory (RAM) is utilized for the program execution memory and erasable read-only memory (EPROM) stores program and configuration information. A typical configuration can include a 400 MHz PowerPC, 64 MB of EPROM, and 64 MB of RAM. The CPU can be complemented with field-programmable gate arrays (FPGAs) that integrate logic and signal preprocessing functionality. An automation device usually includes a number of printed circuit board assemblies (PCBA), accommodating requirements for the diversity and number of different input and output circuitry. High-speed serial communication is built in for intermodule communication that enables the CPU to send and acquire data from the input and output modules. Application-specific circuits are designed to optimize overall technical and economical objectives. Figure 32.14 shows a sample of a high-performance CPU module, connected to a binary input and Ethernet communication module.

32.11 Summary and Emerging Trends Product automation is a highly dynamic and interdisciplinary field of technology.

The attempt to automate almost all individual devices from consumer products to large machines and systems is an ongoing trend.

557

Part D 32.11

a

32.11 Summary and Emerging Trends

558

Part D

Automation Design: Theory and Methods for Integration

Part D 32

It is driven by the advances in miniaturization, cost, and performance of electronic components and the standardization of cross-device functions such as communication. The broad application range of product automation requires both general understanding of the development of electronics and software as well as specific knowledge of the tasks of the products to be automated. With a higher degree of standardization and modularization of embedded systems the implementation of product automation will continue with high speed. To facilitate an efficient implementation of embedded systems as the heart of product automation future research will focus on three areas:

• • •

Reference designs and architecture Seamless connectivity and middleware System design methods and tools

The target will be a generic platform of abstract components with high reusability for the applications. This platform shall facilitate a standardized interface to the environment and allow for the addition of applicationspecific modules. An overriding feature here is the lowest possible power consumption of the embedded systems. Further steps will deal with the self-configuration and self-organization of components that form the product automation system. There is a clear trend towards ubiquitous connectivity schemes and networks for those systems. Last not least, design methods and tools must be further developed addressing the various levels of the complex systems. This set of methods will include open interface standards, automatic validation and testing as well as simulation. We will also see progress in the rapid design and prototyping of complex systems.

References 32.1 32.2

32.3 32.4

32.5 32.6 32.7 32.8 32.9 32.10 32.11 32.12

V.L. Trevathan (Ed.): A Guide to the Automation Body of Knowledge, 2nd edn. (ISA, Durham 2006) J. Fraden: Handbook of Modern Sensors: Physics, Designs, and Applications (Springer, New York 2003) J.S. Wilson: Sensor Technology Handbook (Elsevier, Amsterdam 2005) G.K. McMillan, D.M. Considine: Process/Instruments and Controls Handbook (McGraw Hill, New York 1999) N.S. Nise: Control Systems Engineering (Wiley, New York 2007) R.C. Dorf, R.H. Bishop: Modern Control Systems (Prentice Hall, Upper Saddle River 2007) H. Janocha: Actuators: Basics and Applications (Springer, New York 2004) B. Nesbitt: Handbook of Valves and Actuators (Butterworth–Heinemann, Oxford 2007) R. Huck: Feeling the heat, ABB Rev. Spec. Rep. Instrum. Anal. (2006) pp. 17–19 W. Scholz: Performing under pressure, ABB Rev. Spec. Rep. Instrum. Anal. (2006) pp. 14–16 K. Bohnert, P. Guggenbach: A revolution in DC high current measurement, ABB Rev. 1, 6–10 (2005) P. Krippner, B. Andres, P. Szasz, T. Bauer, M. Wetzko: Microsystems at work, ABB Rev. 4, 68–73 (2006)

32.13 32.14

32.15 32.16 32.17 32.18 32.19 32.20

32.21 32.22 32.23 32.24 32.25

C. Liu: Foundations of MEMS (Prentice Hall, Upper Saddle River 2005) J.W. Gardner, V. Varadan, O.O. Awadelkarim: Microsensors, MEMS and Smart Devices (Wiley, New York 2001) F. Viaro: Breaking news, ABB Rev. 3, 27–31 (2004) A. Hughes: Electric Motors and Drives (Elsevier, Amsterdam 2005) I. Ruohonen: Drivers of change, ABB Rev. 2, 23–25 (2006) M. Paakkonen: Simplicity at your fingertips, ABB Rev. Spec. Rep. Motors Drives (2004) pp. 55–57 S.Y. Nof: Handbook of Industrial Robotics (Wiley, New York 1999) F.L. Lewis, D.M. Dawson, C.T. Abdallah: Robot Manipulator Control: Theory and Practice (Marcel Dekker, New York 2004) H.J. Andersson: Picking pizza picker, ABB Rev. Spec. Rep. Robot. (2005) pp. 31–34 C. Bredin: Team-mates, ABB Rev. Spec. Rep. Robot. (2005) pp. 53–56 S. Keeping: The future of instrumentation, ABB Rev. Spec. Rep. Instrum. Anal. (2006) pp. 40–43 G. Martin, R. Zurawski: Trends in embedded systems, ABB Rev. 2, 9–13 (2006) K. Scherrer: Embedded power protection, ABB Rev. 2, 18–22 (2006)

559

Service Autom 33. Service Automation

Friedrich Pinnekamp

33.1 Definition of Service Automation ........... 33.2 Life Cycle of a Plant .............................. 33.3 Key Tasks and Features of Industrial Service .............................. 33.4 Real-Time Performance Monitoring........ 33.5 Analysis of Performance ........................ 33.6 Information Required for Effective and Efficient Service ............................. 33.7 Logistics Support .................................. 33.8 Remote Service ..................................... 33.9 Tools for Service Personnel .................... 33.10 Emerging Trends: Towards a Fully Automated Service ........ References ..................................................

559 559 560 562 563 563 566 567 568 568 569

33.1 Definition of Service Automation To be able to describe the automation of service, we must first define what we mean by service. While it is obvious that in the context of this Handbook we are not talking about public religious worship or the act of putting a ball into play in a tennis match, the wide application of the word service still requires a stricter definition. Service in general is an act of helpful activity, but in the context of this chapter we shall restrict our-

selves to considering the provision of the activities that industry requires. In particular, we want to address organized systems of apparatus, appliances, employees, etc. for providing supportive activities to industrial operations. In this narrower sense we will discuss how industrial service is automated. Other types of service automation are discussed in more detail in Chaps. 62, 65, 66, 68 and 71–74 of this Handbook.

33.2 Life Cycle of a Plant Every physical object in use shows signs of wear once in operation. This is true for cars, airplanes, ships, computers, and combining many individual devices, for plants. Operators of an industrial plant are well aware of this natural behavior of equipment and react accordingly to avoid deterioration of plant performance.

The value of the installation is decreasing, the reason for the depreciation, and there are different strategies for countermeasures. Figure 33.1 shows an overview of the main strategies to extend the useful life cycle of an industrial plant.

Part D 33

A fast and effective industrial service, supporting plants with a broad spectrum of assistance from preventive maintenance to emergency repair, rests on two legs: the physical transport of people and equipment, and the provision of the vast variety of information required by service personnel. While the automation of physical movement is limited, data management for efficient servicing, including optimized logistics for transport, is increasingly expanding throughout the service industry. This chapter discusses the basic requirements for the automation of service and gives examples of how the challenging issues involved can be solved.

560

Part D

Automation Design: Theory and Methods for Integration

Values to customer through maintenance Optimized maintenance line

Upgrade retrofit or replace Maintenance Overhaul

Aging Repair

Time

Part D 33.3

Fig. 33.1 Various approaches to maintenance during the lifecycle of

an industrial plant [33.5]

Continuous maintenance is a way to keep performance at a high level, while overhaul is done at regular intervals and repair in case of need (see Chap. 42 on Reliability, Maintenance and Safety, and [33.1–4]). When retrofit and replacement is combined with a performance upgrade, the value of the plant can even be increased.

Performance of maintenance, overhaul, repair or upgrade has an associated cost and which strategy is most beneficial depends very much on the plant concerned. A car manufacturer with a robotized workflow and high production rate cannot afford the outage of a line due to a malfunctioning robot, and the cost of a standing line can easily be calculated. However, a factory in batch operation with long delays in production may be less sensitive to an outage. In any case the cost of service shall be as low as possible to provide a positive balance to the economic equation. Cost of service has three main elements: the parts that have to be replaced, the man-hours for performing the service, and last but not least, the cost of interrupted production, the latter being proportional to time of outage. Thus time and cost efficiency are the critical factors of any service and are the main driving forces to automate the task to the highest possible extent. In this sense service is following the trend of implementing information technology (IT) to speed up and rationalize its performance, as is done in office work or production.

33.3 Key Tasks and Features of Industrial Service Before we discuss the automation of industrial services, we must describe the aspects and tasks of industrial service in order to understand the different approaches to automation in this area. Service comes onto the stage after an industrial plant or subsystems of plants or even individual products in an industrial operation have been installed and placed into operation.

For the operator of an industrial plant, it is of utmost important that the equipment is available with optimum performance at any time that it is needed. For some systems in a plant this means 24 h a day and 365 days a year, whereas for others this may apply for only a few weeks spread over the year.

No immediate service required Order spare parts and tools

Measure the actual performance Decide Forecast the future performance

Provide all information to service personnel Logistics of persons and material

Fig. 33.2 Major steps in industrial service

Carry out service

Service Automation

Even though industrial plants prefer to have equipment that does not require any service, maintenance or repair, planned outage for overhaul is generally accepted and sometimes unavoidable. A large rotating kiln in a cement plant, for example, must usually be fitted with a new liner every year, stopping production for a short time. Mostly unacceptable, however, are unplanned outages due to malfunction of equipment. The better prepared a supplier of this equipment is to react to these unplanned events, the more appreciated this service will be. Taking this into account, industrial service must strive to:

• •

Keep equipment at maximum performance all the time Provide well-planned service at times of planned outage Restore optimum performance as quickly and effectively as possible after unplanned outages.

Figure 33.2 shows the major steps to be taken for any service action. As we can see in this figure, there is a layer of information gathering, analyzing, and exchange and a logistic element, including the physical transport. Both elements can be automated and it is obField engineer

vious that the information-related aspect has attracted the highest degree of automation to date. In a more detailed view, the following aspects have to be considered:

• • • • •

The actual performance of the equipment must be known. A forecast of possible changes in the performance must be available. Detailed knowledge of the scheduled use of the equipment is necessary. Efficient, real-time communication about disturbances must exist. Optimum logistics must enable fast and effective service action.

In more technical terms, an optimum service is based on:

• • •

Real-time performance monitoring of the equipment Knowledge-based extrapolation of future performance Knowledge about use of the equipment in the industrial environment Call center

Analysis & feedback layer

Internet, modem, direct line, etc.

Communication layer

Connection layer Propulsion predictive maintenance

Asset optimization

Web-based maintenance management softwae

Marine

IndustrialIT enabled products and systems

Control systems

561

On-line parts

Instruments and low-voltage products

Fig. 33.3 Tasks and communication channels of a service organization [33.6]

Remote drive monitoring

Drives and motors

Remote robot services

Robotics

Part D 33.3



33.3 Key Tasks and Features of Industrial Service

562

Part D

Automation Design: Theory and Methods for Integration

• • •

Adequate communication channels between equipment and service provider Access to relevant equipment data and metadata for the service personnel Access to hardware and tools to restore equipment performance efficiently.

Figure 33.3 shows the tasks and communication channels for a service organization that takes care of a variety of products. Each of these tasks can be automated. The following sections describe in more detail the critical aspects of service and how they can be automated.

33.4 Real-Time Performance Monitoring Part D 33.4 Fig. 33.4 Real-time measurement of the energy efficiency of five motors in a plant [33.7]

Fig. 33.5 Structured view of devices in a plant with some aspects

related to them [33.7]

In order to keep a plant in optimum operation, all devices must work properly; this means that their performance must be monitored. This can be done by regular inspection by service personnel, or in an automated way, i. e., by equipping each device with adequate sensors [33.8–10]. In Chap. 20 the sensors suitable for the automation of the functions of a product are described in more detail. As an illustrative example of the automation of service, we have chosen a motor, which is a typical example in industry with motors accounting for more than 60% of the electrical energy consumed by industry. Figure 33.4 shows a real-time measurement of five motors in a plant. Here the specific aspect of efficiency is displayed, composed from sensors that measure current, voltage, heat, and torque. Information of this type is a useful indicator of the motor’s performance and, together with other motor data, for example, the vibration level and its frequency spectrum, can be used to judge whether intervention is required. With an efficient data structure (Sect. 33.6) similar real-time performance can be displayed for all the devices in a plant, provided that they are equipped with suitable sensors. Figure 33.5 shows a display in which the operator can easily view relevant information from all aspects and systems in his plant. In this view, the functional structure of the plant is displayed and, for each physical object, a number of aspects, shown in the right menu, are listed. In this example, the plant operator can obtain information about the product data of the motor or energy losses. All these data are valuable for the service task, based on which predictions about future performance of the parts can be made.

Service Automation

33.6 Information Required for Effective and Efficient Service

563

33.5 Analysis of Performance service, and finances, are increasingly being combined to provide a consistent view of the whole industrial operation. This accumulated knowledge and experience helps engineers to decide what equipment needs to be inspected and when, as well as to establish where failure would be least acceptable and cause the most problems. This makes it easier to see where effort has to be focused in order to maximize return. It facilitates the optimization of examination intervals whilst at the same time identifying equipment for which noninvasive examinations would be equally effective. More innovative automation in this direction can be expected in the future.

Fig. 33.6 Result of online real-time analysis of motor performance based on evaluation of various parameters [33.7]

33.6 Information Required for Effective and Efficient Service The amount of data and background information that a service engineer requires to enable a fast reaction is enormous; the list below describes the major aspects:

• •

Location of the equipment and description of how to reach it, including local access procedures Technical data, including drawings and part lists

• • • •

Purpose of the equipment and expected performance Connection of equipment to other parts of the installation Security issues in handling the equipment Historical data for the equipment, including previous service actions

Part D 33.6

An experienced plant operator or service engineer can use device performance information measured in real time to determine further actions. Modern IT systems support this task. The various data are analyzed and knowledge-based systems propose further actions, which may for example include the replacement of a component, a scheduled service within a certain interval or some other preventive intervention. When more background knowledge is considered in the programming, these systems can also include the financial and environmental consequences of a replacement, as shown in Fig. 33.6. The example given in Fig. 33.6 also shows that information from different functions, here engineering,

564

Part D

Automation Design: Theory and Methods for Integration

Part D 33.6 Fig. 33.7 Display of geographical information in relation to a fault in a transmission grid [33.11]

Real object

Each device type object includes aspects for Product documentation

Configuration Commissioning Operation Maintenance management Parameterization Asset monitoring Diagnosis

Object type, delivered with the device type library

Fig. 33.8 Multidimensional structure connecting objects with their various aspects [33.12]

Service Automation

33.6 Information Required for Effective and Efficient Service

General purpose workstations

Enterprise management software for:

PCs/workstations Windows/UNIX

Human systems interface Engineering tools Historical data collection Asset optimization Batch control

Control software for:

Recording & control

Process control SCADA Discrete robotics Transmission network protection Safety

MCC

Link device-coupler I/O

Drives Flow

Actuator

Intelligent field devices H1 Hazardous area I/O S900-ex

Safe area

Multi barrier MB204

Industry standard fieldbus H1

MB204 cascade

Fig. 33.9 Architecture of a plant with control and information flow down to the field device level [33.13] (MCC: motor control

center; I/O: input/output; SCADA: supervisory control and data acquisition)

• • • • • •

Failure reports Proposed service actions Detailed advice of how to carry out these actions, including required tools Information about spare parts, and their availability and location Information about urgency and time constraints Data for administrative procedures.

Most of this information can be supplied automatically to service personnel if it is available in a form that allows digital data transfer. This availability is the major bottleneck for the automation of service. Drawings of equipment may be difficult to come by and/or the original supplier of this equipment may no longer be available.

Drawings, for example, may be available but not scanned, so that only physical copies can be used by service personnel. Gradually, suppliers of industrial equipment are transferring their data to platforms allowing various forms of access, a trend already established for many consumer goods such as cars or washing machines, for example. Figure 33.7 shows the example of a data set used in the service of transmission systems, where a failure in the grid has to be located and identified, and the service crew has to be sent to the correct location for repair. In this multilayer view, geographical data, taken from global position system (GPS) systems are combined with detailed technical information, failure reports, and historical aspects of the devices to be serviced.

Part D 33.6

Industry standard high speed fieldbus Linking devices–gateways High speed fieldbus devices

565

566

Part D

Automation Design: Theory and Methods for Integration

The way in which these different data are stored and prepared for fast and easy retrieval is important for an efficient service. Figure 33.8 shows a multidimensional structure, in which, for each physical object of an installation – in this example a flow meter – a large number of views are available: so called aspects of the equipment. These aspects cover the items in the long list above. The service engineer can either look at the aspects of interest by opening the corresponding view or can be supported by automated systems that collect and prepare the relevant data for the task.

Obviously, for full use to be made of the data, it is necessary that the data are available in the first place. Service automation yields the highest benefit when the automation architecture in the plant is adequate and communication capabilities and products for all assetrelated information are possible. Figure 33.9 shows an example of such an architecture that provides this information from the field instrument level to the highest control level of a plant. The data are recorded and analyzed in several distributed data management systems.

Part D 33.7

33.7 Logistics Support Logistics is a support function whose objective is guaranteeing the availability of required hardware when service is performed. Such hardware is primarily spare parts, but also includes maintenance parts and tools [33.14–17]. The logistics function includes inventory management and warehousing (stocking), transportation planning and execution, including both transportation to the customer site (or site where service is performed), and the return of material (reverse logistics). The challenge of this function is to optimize the use of inventory and associated investment, while providing the service level required by service operations. Automating the logistics support function is enabled through information technology, integrating: information about spare parts for products and systems through America

Europe Factories and suppliers PSC PSC PSC

PSC

PSC

Asia

RC

RC

SPC

RC

RC

DC SPC

SPC

DC

DC

SPC

SPC

CSU

an online catalogue with inventory status, availability information through warehouse management systems (WMS) ordering, order status information through order managements systems (OMS) [33.18], and specific delivery and shipment status information through trackand-trace systems. In the environment of a large corporation with many different products and systems serving a global market, it is possible to establish an integrated global logistics network enabling support of servicing all products and systems to all customers worldwide. Such a network could be organized as shown in Fig. 33.10. The product services center (PSC) is responsible for product support, and supply of spare parts (and other service related products). The DC (distribution center) represents

CSU

SPC

CSU

SPC

CSU

CSU

CSU

Customers..., consumer ... OEM's, integrators, and other partners PSC

Product service center

RC

Repair center

CSU

Customer service unit

DC

Distribution center

SPC

Strategic parts center

Information flow Material flow

Fig. 33.10 A network to deliver fast and effective service to a widely distributed customer base. OEM, original equipment manufacturer

Service Automation

Customer support units (CSUs) are close to the sites at which service may be required. The CSU works with customers to ensure they have access to the right spare parts. Proactive support might enable customers to directly access the CSU to order parts online, for example, or ask for technical and order information. The repair center (RC) is another important function in the service network. Customers are not only interested in replacement of faulty parts but could require repair or reconditioning as an alternative. The suppliers of this service are the RCs, providing the repair function itself, and the reverse logistics. Administration of product warranty often requires return of faulty parts, which is an element of the reverse logistics flow.

33.8 Remote Service Remote service [33.19] is an umbrella term for a variety of technologies that have one concept in common: bringing the problem to the expert rather than bringing the expert to the problem. Remote services use existing and cutting-edge technologies to support field engineers, irrespective of location, in ways only dreamt of as little as 5 years ago. The Internet, together with advances in communications and encryption techniques, has contributed enormously to this end. Remote service developments are a direct result of the changing needs of plant operators expecting more support at lower costs. Remote services are designed to maximize knowledge bases in the most cost-effective manner. The result ensures that the best knowledge is in the right place, at the right time. With a large number of different types of devices in a plant, this can be a complex undertaking. Generally equipment (control systems, sensors, motors etc.) is accessible from a remote location primarily via the Internet or a telephone line. Several advantages are obvious:

• • •

Support can be given in a shorter period of time. The right experts support the local service personnel. Cost may be reduced by avoiding travel.

One of many examples where remote service is introduced is robots. Robots play a crucial role in the high productivity and availability of a production line. Any problem or reduced performance of a robot has a direct

negative influence on the output of the line. The operator’s expectation is to avoid delays and disturbances during production. Recently, Asea Brown Boveri (ABB) developed a communication module that can easily be plugged into the robot controller for both old and new robot generations [33.20]. This module reads the data from the controller and sends them directly to a remote service center, where the data are automatically analyzed. This is another example of the ever-growing application of machine-to-machine technology, which has now been applied to the world of robots. By accessing all relevant information on the conditions, the support expert can remotely identify the cause of a failure and provide fast support to the end user to restart the system. Many issues can hence be solved without a field intervention. In a case where a field intervention is necessary, the resolution at the site will be rapid and minimal, supported by the preceding remote diagnostics. This automatic analysis not only provides an alert when a failure with the robot occurs but also predicts a difficulty that may present itself in the future. To achieve this, performance of the robot is regularly analyzed and the support team is automatically notified of any condition deviation. The degree of automation of a remote service is again limited by the fact that personnel have to be present at the location where the service is required. Even though the replacement of people by service robots is possible in principle, practical implementation of this approach is hardly feasible.

567

Part D 33.8

cost-based operations focused on distribution, providing logistics services to different units. The DCs provide all logistics services, including warehousing and shipping, and are strategically located. In an efficient network most spare part orders are directly shipped to end customers from the central DCs. The DC will handle delivery of parts in a specified time window depending on where the customer is located in relation to the DC. Other approaches to efficient logistics may have critical parts stocked at a customer site, with all movements of parts tracked and automatic replenishment done based on parameter settings. When a part has to be removed, the integrated network will generate the replacement order automatically.

33.8 Remote Service

568

Part D

Automation Design: Theory and Methods for Integration

33.9 Tools for Service Personnel

Part D 33.10

The service task is, like almost all industrial operations, an administrative process with many steps that need to be organized, executed, and monitored. To support this administrative work, a number of software solutions are available on the market. These tools help to oversee and order the steps to be taken by analyzing the situation down to execution of the service on site. Besides this administrative element of service there is another level of organization, which is more difficult to manage. When service personnel arrive at the location where the service is needed, they should already have all necessary information about the repair or maintenance. If this is not possible (because the automation level was too low) the information has to be collected on site – a costly and time-consuming process. Devices with a data port and a self-analysis system can be connected and may help service personnel to find the optimum approach for the task. In connection to the back office, the service person is supplied with documentation such as handbooks, drawings, guidelines for maintenance etc. via various communication channels. While the availability of communication channels and portable devices to handle the data are

not a major bottleneck today, the access to all the information, preferably in digital form, is the limiting factor. To alleviate this, increasing amounts of data related to the specific device are stored together with the hardware, for example, in form of radiofrequency identification (RFID) [33.21, 22] or built-in direct Internet connection. Future tools could integrate technologies of augmented reality. These tools combine the real picture of a device with displayed information about the object inspected. Looking at a valve in a plant, for example, the system would display the data of last inspection, the present performance status, analyzed by a background system, the scheduled maintenance interval, etc. Drawings of the part can be displayed and maintenance instructions can be given. In a number of very specific applications such as surgery, the defense industry or aircraft, for example, head-up devices are in use. Due to the need for integrated data from many different sources in the service application, it will take some time until this technology will get wider use in service.

33.10 Emerging Trends: Towards a Fully Automated Service While industrial service has various branches, from preventive maintenance to scheduled repair, we take emergency repair as an example to paint the future of service automation. What is currently state of the art in many consumer products and some industrial devices will spread through the whole industry in the form of products and systems with self-monitoring capability and selfdiagnostic features to detect a performance problem and analyze the probable cause. These devices will be equipped with communication functions to report their status to a higher-level data management system, which will then automatically initiate the necessary steps: further analysis of the case and comparison with previous events, thereby implementing a knowledge-based repair strategy. It will collect the necessary documents for efficient repair according to the chosen strategy and provide these to the service personnel, who will have been alerted automatically. It will provide access information to the service staff and

inform the personnel at the location about the details of the subsequent repair. The system will furthermore automatically order the spare parts or any special tools needed for the service and initiate their delivery to the site. Once the service personnel is on site the system will give automated advice related to the service and, if needed, connect to a back-up engineer who will have full access to all data and the actual situation on site. In the far future robots installed or transported to the site of service may take over the manual work of service engineers: as a first step with direct remote control, but in the future as independent service robots. While the automated functions can be carried out with almost no time delay, the transport of people and hardware required to execute the repair will be the time-limiting factor. In this regard improvements in warehousing and transportation logistics, for example, with the help of GPS systems, will drive future development.

Service Automation

Service automation is one of the fastest-growing disciplines in industry. Ideally, it makes utmost use of information and communication technology. It will however take some time for the valuable tools already installed in some parts of industry to penetrate fully into industrial service. Service automation requires close

References

569

cooperation of many experts working in the areas of embedded systems, sensors, knowledge management, logistics, communication, data architecture, control systems, etc. We can expect major steps in the future towards more effective and faster industrial service.

References 33.1

33.2

33.4 33.5

33.6

33.7

33.8

33.9

33.10

33.11

33.12

33.13 33.14

33.15

33.16 33.17

33.18

33.19

33.20 33.21 33.22

A. Kahn, S. Bollmeyer, F. Harbach: The challenge of device integration, ABB Rev. Special Rep. Autom. Syst., 79–81 (2007) H. Wuttig: Asset optimization solutions, ABB Rev. Spec. Rep. Ind. Serv., 19–22 (2004) M.S. Stroh: A Practical Guide to Transportation and Logistics (Logistics Network Dumont, New Jersey 2006) M. Christopher: Logistics and Supply Chain Management: Creating Value-Adding Networks (FT, London 2005) J.V. Jones: Integrated Logistics Support Handbook (McGraw Hill, New York 2006) A. Rushton, P. Croucher, P. Baker: The Handbook of Logistics and Distribution Management (Kogan Page, Philadelphia 2006) E.H. Sabri, A. Gupta, M. Beitler: Purchase Order Management Best Practices: Process, Technology and Change (J. Ross Pub., Fort Lauderdale 2006) O. Zimmermann, M.R. Tomlinson, S. Peuser: Perspectives on Web Services: Applying SOAP, WSDL and UDDI to Real-World Projects (Springer, New York 2005) D. Blanc, J. Schroeder: Wellness for your profit line, ABB Rev. 4, 42–44 (2007) B. Glover, H. Bhatt: RFID Essentials (O’Reilly Media, Sebastopol 2006) D. Brown: RFID Implementation (McGraw Hill, New York 2006)

Part D 33

33.3

J. Levitt: Complete Guide to Predictive and Preventive Maintenance (Industrial Press, New York 2003) L.R. Higgins, K. Mobley: Maintenance Engineering Handbook (McGraw Hill, New York 2001) B.W. Niebel: Engineering Maintenance Management (Marcel Dekker, New York 1994) R.K. Mobley: Maintenance Fundamentals (Butterworth–Heinemann, Burlington 2004) K. Ola: Lifecycle management for improved product and system availability, ABB Rev. Spec. Rep. Ind. Serv. 29, 36–37 (2004) G. Cheever: High tech means high service performance, ABB Rev. Spec. Rep. Ind. Serv., 26–28 (2004) T. Haugen, J. Wiik, E. Jellum, V. Hegre, O.J. Sørdalen, G. Bennstam: Real time energy performance management of industrial plants, ABB Rev. Spec. Rep. Ind. Serv., 10–15 (2004) J.J.P. Tsai, Y. Bi, S.J.H. Yang, R.A.W. Smith: Distributed Real-Time Systems: Monitoring, Visualization, Debugging and Analysis (Wiley, New York 1996) I. Lee, J.Y.-T. Leung, S.H. Son: Handbook of RealTime and Embedded Systems (Taylor & Francis, Boca Raton 2007) S.A. Reveliotis: Real-Time Management of Resource Allocation Systems: A Discrete Event Systems Approach (Springer, New York 2004) J. Bugge, D. Julian, L. Gundersen, M. Garnett: Map of the future, ABB Rev. 2, 30–33 (2004)

“This page left intentionally blank.”

571

Integrated Hu

34. Integrated Human and Automation Systems

Dieter Spath, Martin Braun, Wilhelm Bauer

34.1 Basics and Definitions........................... 572 34.1.1 Work Design ............................... 572 34.1.2 Technical and Technological Work Design ..... 573

Within the last decades automation has become a central technological strategy. Automation technologies have penetrated into several fields of application, for example, industrial production of goods, selling of tickets in the field of public transport, and light control in the domestic environment, where it has shaped our daily life and work situation.

34.1.3 34.1.4 34.1.5 34.1.6 34.1.7 34.1.8 34.1.9

Work System ............................... Man–Machine System .................. Man–Machine Interaction ............ Automation ................................ Automation Technology ............... Assisting Systems ........................ The Working Man ........................

574 574 576 576 576 577 577

34.2 Use of Automation Technology............... 34.2.1 Production Automation................ 34.2.2 Process Automation ..................... 34.2.3 Automation of Office Work............ 34.2.4 Building Automation ................... 34.2.5 Traffic Control ............................. 34.2.6 Vehicle Automation .....................

579 579 581 581 582 582 583

34.3 Design Rules for Automation ................. 34.3.1 Goal System for Work Design......... 34.3.2 Approach to the Development and Design of Automated Systems. 34.3.3 Function Division and Work Structuring................... 34.3.4 Designing a Man–Machine Interface ............ 34.3.5 Increase of Occupational Safety.....

585 585 585 587 587 592

34.4 Emerging Trends and Prospects for Automation ............... 594 34.4.1 Innovative Systems and Their Application .................. 594 34.4.2 Change of Human Life and Work Conditions ................... 595 References .................................................. 596

Work automatons have liberated man from processing dangerous or inadequate work, on the one hand. Since automatons continue to accomplish more demanding functions, which had previously been accomplished by man himself, the meaning of human work is challenged, on the other. This question has already been elaborated on at the beginning of the en-

Part D 34

Over the last few decades, automation has developed into a central technological strategy. Automation technologies augment human life in many different fields. However, after having an unrealistic vision of fully automated production, we came to the realization that automation would never be able to replace man completely, but rather support him in his work. A contemporary model is the human-oriented design of an automated man–machine system. Here, the technology helps man to accomplish his tasks and enables him at the same time to expand his capacities. In addition to traditional usage in industrial process automation nowadays automation technology supports man through the help of smarter, so to speak, better linked, efficient, miniaturized systems. In order to facilitate the interaction taking place between man and machine, functionality and usability are stressed. In addition to basic knowledge, examples of use, and development prospects, this chapter will present strategies, procedures, methods, and rules regarding human-oriented and integrative design of automated man–machine systems.

572

Part D

Automation Design: Theory and Methods for Integration

Part D 34.1

deavor of industrial automation. Nowadays we hardly discuss the necessity of the application of automated systems anymore. We rather discuss their humanoriented design. Progress within the field of information technology is one reason for increased automation. A characteristic of modern automation technologies is the miniaturization of components and the decentralization of systems. In addition to applications of industrial process automation, which are strongly influenced by mechanical engineering, information and automation technology allows for the design of smarter, more efficient assisting systems – such as personal digital assisting systems or ambient intelligent systems – whose technical components the user often does not see anymore. In the past, technical progress became a synonym for replacement of man by a technical system. When working systems were developed rationally, the role of human work and its contribution to the overall result often only found partial consideration. Following the rationalization and automation of work with the aim of increasing production, we risk increasing dissolution of the relation between individual and work. However, we have to realize that the human contribution will always influence the performance and quality of any work system. After visionary illusions of an entirely automated and deserted factory based on mass production, the notion that automation technologies could not entirely replace man became increasingly dominant. An increasing number of individualized products demand a high degree of production flexibility and dependability, a requirement that an entirely automated system cannot fulfill. These demands can be accomplished by hybrid automation, which appropriately integrates the specific strengths of man and technology.

The contemporary approach is the human-oriented design of a man–machine system. Instead of subordinating man to the technical-organizational conditions of any work process, this approach contributes to humane conditions, which helps man to accomplish his tasks and supports the expansion of his capacities. The special meaning of a human-oriented work environment results from the fact that man is the agent of his own manpower. Consequently, individual, work process, and work result are closely linked with each other. Only if the process of automation – in addition to every necessary rationalization – also serves the humanization of the working conditions can it fulfill functional and economical expectations. The interaction of man and technology is the focus when developing a human-oriented automated man– machine system. In order to apply human resources in an optimal way and to use them synergetically, we need an integrative approach for the development of a man–machine system. According to an integrated procedure regarding the development of (partly) automated man–machine systems, the present chapter will first elaborate some basics and definitions (Sect. 34.1). In Sect. 34.2 we present practical knowledge of the technology of automation regarding its usage in different life circumstances and fields of work and establish the relation between automation and man. In Sect. 34.3 we present successful rules of development and processes of automated systems. The presentation will end with an outlook of future development and its implication for man (Sect. 34.4). The main focus will be on the interaction of man and technology. Specific methods and instruments for the development of technical and technological aspects of automated work systems will be presented in this Handbook in the corresponding chapters.

34.1 Basics and Definitions The development of an automated man–machine system demands relevant basic knowledge as well as wellchosen definitions of some individual terms.

34.1.1 Work Design The goal of work design is adjustment of work and man so that functional organization with regard to hu-

man effectiveness and needs can be realized. Thus, the goal is to achieve good interaction between the working man, the technical object, and the work tools (see Sect. 34.1.8). Goals of the work design are:



Humanization, which means human-friendly design of a work system regarding its demands and effects on the working human being.

Integrated Human and Automation Systems



34.1 Basics and Definitions

Rationalization, which means the increase of effectiveness or efficiency of the human or technical work in relation to the work product, for example, the amount, quality, dependability, and security, or avoiding of failure. The goal is to gain the same effect with less means, or a better effect with the same means. Cost effectiveness, expressing the relation between cost and gain; it will be codetermined by the two other target areas.

technical application and user is supposed to increase productivity, flexibility, and quality within the work system. Usability aims at the optimization of the different procedures, which enables the user to accomplish a certain task with the help of a technical product. The main goals are: easy handling, learning ability, and optimal usage. Usability is not only a characteristic of a product, but rather an attribute of the interaction between a group of users and a product within a certain context [34.3].

The work design encompasses ergonomic, organizational, and technical conditions of work systems and work processes in order to achieve the main design requirements.

Organizational Work Design Organizational work design aims at the coordination of division of labor, meaning the appropriate segmentation of a task into subtasks and their goal-oriented adjustment. Organizational work can pursue different goals, for example:



• • •

Harmless, accomplishable, tolerable, and undisturbed working conditions Standards of social adequacy according to work content, work task, work environment as well as salary and cooperation Capacities in order to fulfill learning tasks that can support and develop the worker’s personality [34.2].

The basis of ergonomic design of the workplace is the anthropometry, which defines the doctrine of dimensions, proportions, and measurements in relation to the human body. The goal of the anthropometrical design of the workplace is the adjustment of the workplace according to human dimensions. This can be realized by including spatial dimensions and functions of the human body (Sect. 34.3.4). This physiological design of the workplace takes into consideration human factors engineering as well as the work plan and work process, which are adapted to the physiological demand of the worker (Sect. 34.1.8). Software usability engineering aims for optimization of the various elements of the man–machine interface and communication between man and machine. The term usability engineering implies the development, analyses, and evaluation of information systems, so that man with his demands and capacities is the center of interest. The adjustment of software–

• • •

Addressing economic problems (deficient flexibility, poor capacity utilization, inappropriate quality) Addressing personal problems (for example, dissatisfaction, high fluctuation) Reshaping of the technical system.

Regarding the notion of humanization, organizational development contributes to good matching of work content and conditions to the capacities and interests of each individual worker [34.4]. From an economical point of view, organizational development aims for efficient application of scant resources, so that the final goal can be achieved. When competing for scant resources, the form of organization that provides smooth handling of the division of labor prevails.

34.1.2 Technical and Technological Work Design The technological design of a work system is based on the selection of a certain class of technologies. It refers to the work procedure, that is to say, the basic decision of how to achieve a change of the work object [34.5]. From a technological point of view we have to increase the reliability and efficiency of the work system. The tasks of the technological work design are the constructive design of the technical tangible means (for example, equipment and facility) and the design of the man–machine interface (see Sect. 34.1.3). Further technical development will modify the technological work design. The technical work design will define the functional separation of man and technical

Part D 34.1

Ergonomic Work Design The object of ergonomic design is adjustment of work to the characteristics and capacities of man [34.1]. With the help of human-oriented design of the workplace and working conditions we want to achieve the following for the worker to enable productive and efficient working processes:

573

574

Part D

Automation Design: Theory and Methods for Integration

Table 34.1 Level of technologies and functional division between man and technical system [34.5] Technical level

Energy supply

Process control

Manual realization

Man

Man

Mechanical realization

Technical system

Man

Automated realization

Technical system

Technical system

tangible means, as shown in the level of technology of the particular work system [34.1]. Table 34.1 presents schematically the relation between the different levels of technology and the functional separation between man and technical system.

34.1.4 Man–Machine System

34.1.3 Work System

Part D 34.1

We understand human work within the realm of a work system, in which the worker functions with a goal in mind. A work system consists of the three elements: man, technical tangible means (for example, machines), and the environment, and is characterized by a task. Tasks are understood as either a change in the configuration of the work object (for example, to process material, to change energy, to inform men) or in place (for example, transportation of goods, energy, information or men). These elements of the work system are all connected by the time of activity. Man does not always have a direct influence on the work object; very often man exercises the influence indirectly through a means he uses at work, such as a tool, machine, vehicle, and computer. The influence of man on the object is then characterized by the mechanization of this applied means [34.6]. Motor placement

Environment

Man

Machine

Sensors, actuating elements

Technical process

Knowledge

Display

Motivation

Dialogue system

Goal orientation

Assisting system

Operating element

Sensory placement

Fig. 34.1 General structure of a man–machine system

A man–machine system (MMS) is one specific form of a work system. It is understood as a functional abstraction when analyzing, designing, and evaluating the many forms of goal-oriented exchange of information between man and the technical system in order to fulfill the work task. All man–machine systems comprise man, interface, and the according technical system. The term machine is used for all technical shapes. Important components of a machine are display and control units, automated subunits, and computerized assistant systems [34.7]. Processes of human or physical-technical data processing characterize the conduct of a man–machine system. The general structure of a MMS (Fig. 34.1) is a feedback control system, where man, according to his goal, the information he has received, and the work task, decides and, thus, exercises control of the technical system. We can differentiate between man–machine-systems that show goal-oriented dialog systems and dynamic systems. Further subcategorizations are shown in Fig. 34.2. The spectrum of goal-oriented dialog systems comprises end devices belonging to information technology, mobile communication technology, consumer electronics, medical technology, domestic appliance technology, service automatons (Fig. 34.3), and process control workstations. Dialogue systems are interactive, goal-oriented systems from information technology, which react on external input. Dynamic systems are characterized by a continuously changeable variable. The condition of a manual control system is called man in the loop, while the condition of an automated control system is called man out of the loop. We find manual control systems in all kinds of vehicles, on the water, in the air, and on the ground. Man has the following tasks in a manually control system [34.8]:

Integrated Human and Automation Systems

34.1 Basics and Definitions

575

Man–machine system

Event-driven dialogue system

Communication tool

Dynamic system

Master display

Vehicle (air, water, land)

Manually controlled system

Master– slave system

Partly automated/ hybrid systems

Partly automated traffic system

Partly autonomous mobile robot

Fig. 34.2 Types of man–machine systems [34.8]



• • • •

Fig. 34.3 Ticket machine as an event-driven dialogue sys-

tem

Another application range with manual control is the master–slave system used for telepresence, i. e., the enhancement of man’s sensorial and manipulation capacities in order to work further away. The teleoperator benefits from sensors, actuating elements, and multimodal channels of communication to and from the human user. This creates a telepresence from a teleworkplace for the operation in a nonadmissible physical environment – for example, over large distances or in microworlds [34.9]. We sometimes find automatic regulation of state variables (Sect. 34.1.5) in partly automated systems. During automated processing, the worker is placed outside of the closed loop, but nevertheless supervises the automated process and handles disturbances. Hybrid systems characterize a simultaneous situation- and function-oriented combination of manual or automated processing of the task within a collective work system. Due to flexible adaptation of the degree of automation, we aim for optimal usage of the specific and supplementary capacities or characteristics of man and machine [34.10]. Planes and cars are representatives of partly automated systems. As we do not want to rely solely on automaton when using partly automated transportation systems, a human observer is assigned to the system. In this way intervention is guaranteed in case of breakdown. Another category of man–machine systems is partly automated robots. Here, the human being undertakes mission management by transmitting goals to a removed, partly automated robot, controls its actions, and

Part D 34.1



Communication: Creation of communication interfaces as well as sending and reception of information Scanning and evaluation of the situation: Scanning of state variables of the system and the environment, directly via the natural senses or indirectly via technical sensors and displays Planning: Determination of distance from start to destination Navigation: Compliance with the planned distance and estimation Stabilization: Maintenance of necessary positions (for example, machine direction control on the street) System management: Utilization and supply of subsystems as well as error diagnosis and elimination.

576

Part D

Automation Design: Theory and Methods for Integration

compensates for its errors. The user retrieves information from the system, for example, information about the which tasks to accomplish, difficulties, the robot, and the distant application environment.

34.1.5 Man–Machine Interaction

vidual functions is usually achieved by the technical system. Program control, which means the start, end, and succession of the individual functions, is accomplished by man. Numerical controlled machines can switch between semi- and complete automation while working. Solutions for automated systems have to correspond to human and economical criteria. Automated workplaces can lead to work relief and decrease of physical strain due to the elimination or limitation of certain situations and their necessary adaptations. Automation can free the worker from hazardous work tasks, which could influence his health. Good examples for this case are automatic handling machines. In relation to humanization, the following criteria promote automation:

Part D 34.1

The interaction between man and machine deals with the user-friendly design of interactive systems and their man–machine interface in general. Man interacts with the technical system (Sect. 34.1.3) via the man–machine interface. Usability is an essential criterion for the man– machine interaction. The design of a man–machine interaction takes account of aspects of usability engineering, context analyses, and information design [34.11]. To provide the user with programs, which the untrained worker is able to learn quickly and the professional can • Abolition of monotone work use in a productive and accurate way, is the goal of • Abolition of difficult physical strain due to unfavorable body position and exertion, for example, lifting software ergonomic technologies. heavy parts Computer-based word-processing systems are a good • Abolition of unfavorable environmental impact, for example of a man–machine interaction. In the past, example, provoked by heat, dirt, and noise computer systems often used text-based man–machine • Reduction of risk of accident [34.4]. interfaces. In the meantime, the graphical desktop has become the main interface; even language and gesture The design of complete work tasks creates better work identification are becoming increasingly important. conditions. To reach this goal we need qualitative job enrichment based on new combinations of work tasks 34.1.6 Automation as well as the realization of new work functions. Problem shifting can occur as well. Automation To assign functions to a machine, which once were changes the job requirement in terms of attention, conaccomplished by man, is the goal of automation. The centration, reaction rate and reliability, combination degree of automation is determined by how many capacity, and distinct optical and acoustical perceptual subfunctions are done by man or by the machine. Au- capacity [34.13]. tomation is also characterized by process control, which Due to the combination of manual and automated beyond mechanization is also a result of the technical functions, we aim for adequate and practical application system [34.12]. Depending on the complexity of the of specific human/engine capacity resources in hybrid control tasks, we differentiate between complete and systems [34.14]. semiautomatic functions:





A complete automated system of a machine does not need any human support. The machine completely relieves man from work. Complete automation is appropriate or functional when the worker cannot complete his work precisely enough, when he is not able to complete it at all, or when the working task, for example, is too dangerous for him. Semiautomation is a work characteristic of a machine that only needs some degree of support from man. In contrast to a completely automated system, semiautomation does not achieve complete relief from work for the worker. The control of the indi-

34.1.7 Automation Technology Automation technology refers to the use of strategies, methods, and appliances (hardware and software), which are able to fulfill predetermined goals mostly automatically and without constant human interference [34.15]. Automation technology addresses the conceptualization and development of automatons or other automatically elapsing and technical processes in the following areas:



Engine construction, automotive engineering, air and space technology, robotics

Integrated Human and Automation Systems

• • •

Automation of factories and buildings Computer process control of chemical and procedural machines Traffic control.

Process automation (during continuous processes such as power generation) and production automation (for discrete processes such as assembly of machines or controlling of machine tools) are main application areas in the field of automation technology.



• • •

Reduce the subjectively felt complexity of a technical system Facilitate the spontaneous use of a technical system Enable fast learning of the functions or handling of the system Make the use of the system more reliable and secure.

Assistance creates a connection between the demands, capabilities, and capacities of the user on the one hand, and the functions of the interactive system on the other. The following assisting functions seem relevant [34.16]:

• • • • • •

Motivation, activation, and goal orientation (i. e., activation, orientation, and warning assistance) Information reception, and perception of signals of interactive systems and of environmental information (i. e., display, amplifier, and repetition assistance) Information integration and production of situational consciousness (i. e., presentation, translation, and explanation assistance) Decisiveness to take action, to decide and choose a course of action (i. e., offer, filter, proposition, and acceptance assistance; informative and silent construction assistance) To take action or carry out an operation (i. e., power and limit assistance; input assistance) Processing of system feedback or of a situation (i. e., feedback assistance).

As an example, we use assisting systems through personal computer (PC) software, automatic copilots in







Constant assisting systems always show the same conduct, independent of the operator or situation. Their advantage is consistency and transparency. However, these systems are inflexible; the provided support does not always suit the user nor the situation. Assisting systems designed according to user specification adjusted to the needs of certain users and their tasks in specific contexts. This kind of adjustment proves to be problematic when, for example, the context of usage changes. Adaptable assisting systems can be adjusted by the user to specific needs, tasks, and situations of use. The calibration of assisting systems occurs via selection or adjustment of parameters. The user takes the initiative to adjust the system. Changes of adaptive assisting systems do not occur on the basis of explicit guidelines given by the user, but through the system’s evaluation of actual and saved context characteristics. Adaptive systems autonomously adjust assistance to the user, his preferences, and needs in certain situations.

34.1.9 The Working Man The development and evaluation of human-oriented work (Sect. 34.1.1) requires knowledge about the human factors. Selected work-scientific concepts are described below. Concept of Stress and Strain. All human requirements,

which evolve from workplace, work object, work organization, and environmental influences, are part of the notion of stress and strain, which concept describes the defined reaction of the individual body to external stress (or workload). Individual capability is the factor connecting impact and stress [34.1]. The workload and individual capabilities are decisive for the strain factor. The higher the impact of the workload, the more the worker has to use his individual capabilities in order to fulfill the task effectively. Figure 34.4 shows an ergonomic stress–strain model. This stress–strain concept has been primarily described for the field of physical labor, but can also be used when talking about psychological stress.

Part D 34.1



577

planes and vehicles, in the form of personal digital assistants (PDAs), or as part of smart-home concepts. Assisting systems can be differentiated according to their adaptation of different user profiles, tasks, and situational conditions [34.17]:

34.1.8 Assisting Systems Assisting systems are components of man–machine communication (Sect. 34.3.4). Assisting systems do not substitute a human being, but support him occasionally while accomplishing tasks that overburden or do not challenge him enough. An assisting system should:

34.1 Basics and Definitions

578

Part D

Automation Design: Theory and Methods for Integration

Situational factors Duration and frequency

Environmental influences, State of work design

Workload

Emotionally effective influences

Stress

Adjustment: Training, Recreation etc.

Activities

Strain Functional modifications: Fatigue damage etc.

Drive: Motivation, Concentration

Disposition: Capabilities, Capacities

Physiologicalfunctional characteristics

Stress/health damage threshold

Individual factors

Part D 34.1

Fig. 34.4 Stress–strain model (according to [34.18])

Prerequisites for Performance. The entirety of infor-

mation processing and energy transformation, which leads to the achievement of a goal, is defined as work performance. In order to be work efficient, we need human and objective prerequisites of performance (i. e., work organization and technical facilities). Human prerequisites for performance refer to capability and motivation (Fig. 34.5). Physical capability

Psychological capability Information reception/sensory perception Senses and receptors

Flexibility Bones and ligaments

Evolvement of force Muscles and tendons Endurance Cardiovascular system

Capability

Information processing Central nervous system Saving of information Brain

Output of information Effectors/nervous system, reaction/coordination

Fig. 34.5 Capability factors

The term physical motivation comprises the sum of all biological body activity. It is limited to physical aspects. Work performance is not a constant factor, but undergoes changes. In order to be efficient, physical performance requires several psychological performance prerequisites, such as motivation, willingness of effort, and cognitive understanding of the task. These factors are called psychological motivation. Stress. Task-related stress results mainly from the

comprehension and processing of information, the movement of the body, and the release of muscle power when using work equipment. Comprehension and processing of information occurs through sensor and discriminatory work. Perception stresses our visual, auditory, haptics/tactile, and proprioceptive sense organs. Discriminating work leads to stress, based on recognition and identification of signals. The intensity of stress, which results from the work function, depends on:

• • • • •

The duration and frequency of the task The complexity of the task itself and of different work processes The dynamics of the processes that need to be controlled The expected precision of the accomplishment of the task The level of concentration required while working

Integrated Human and Automation Systems

• •

The specific characteristics of appearing signals Flexibility of comprehension of the information.

Strain. We differentiate between physical and psychological strain. Consequences of strain present themselves on a muscular–vegetative or cognitive–emotional level. Disturbances of the psychophysical balance are provoked by either excessive or unchallenging situations. Both of these situations imply that the individual prerequisite of performance does not correspond to the corresponding performance condition. In the case of an excessively challenging situation operational demands exceed the individual’s capability and motivation. Unchallenging situations are characterized by the fact that individual capabilities and needs are not sufficiently taken into consideration. Both situations can lead to reversible disturbance of the individual performance prerequisite, such as tiredness, monotony, stress, and psychological saturation. On the level of performance, they can cause changes in work processing (e.g., output and quality). These disturbances can be eliminated by a change of physical and psychological performance functions, as well as by implementing phases of recovery time. Nevertheless, disaccord between strain and recovery can only be tolerated for a limited period of time [34.20].

34.2 Use of Automation Technology Automation technology can be found in many fields at work as well as in public and private life. Examples of automation technologies are:

• • • • • •

Assembly automation (factory automation) Process automation in chemical and procedural facilities Automation of office work Building automation Traffic control Vehicle and aeronautical technology.

Increasing functional and economical demands as well as technical development will lead to ongoing automation of technical systems in many different walks of life and work – from the office to factory level. Regarding automation effort we can identify two developing trends [34.21]:



Increase of complexity of automation solutions via enhancement and process-oriented integration of functionalities: linked and standardized control systems are increasingly replacing often proprietary isolated applications. The continuous automation of



processes and work systems leads to an increase of effectiveness and quality as well as to a decrease of costs in industrial processes of value creation. The increasing effectiveness of automation and control techniques and miniaturization of components leads to decentralization of control systems and their integration on-site. Next to process automation, more and more often, we also find product automation.

Information technology, which continues to produce more powerful hardware components, is a driving force for this development. Selected areas of application, which are characterized by a high degree of interaction between man and technology, will be presented in the following sections. Partly automated systems and assisting systems will be one focus of the following elaboration.

34.2.1 Production Automation Production automation is a discipline within the field of automation technology that aims at the automa-

579

Part D 34.2

Stress that results from the work environment can result from a physical, chemical or social cause that may affect factors such as lighting, sound, climate, and mechanical vibration. Bodily stress can be provoked by manual handling of heavy loads or work equipment due to inappropriate body movement and enforced body position. Workplace conditions that demand a static body position are very stressful for the body as the circulation of blood is negatively affected. Stress from work organization can result from regulation of the work schedule (for example, shift work), operating speed, the succession of tasks, inappropriate amounts of work during peak times, lack of influence on one’s work, strict control, and uniform tasks. We also speak of stress when a task demands constant willingness of action, even though human interference is only necessary in exceptional cases [34.19]. The operation of information (for example, complex information or information deficits) can also provoke further situations of stress.

34.2 Use of Automation Technology

580

Part D

Automation Design: Theory and Methods for Integration

tion of discontinuous processes (i. e., discrete parts manufacturing) using technical automatons. Production automation is engaged in the entirety of control, closedloop control devices, and optimization equipment in the space of production facilities [34.22]. Through history, production automation shows several levels of development, starting with automation related to the working process and ending with complex assembly automation. In the early days of automation, extensive complete processing of specific work objects was the focus. This development started with numerically controlled (NC) machine tools, following by mechanical workstations, reaching the level of flexible processing systems. Flexible production systems are based on the material and informational linking of automated machines. They are characterized by the integration of the functions of transportation, storing, handling, and operating, and comprise the following subsystems:

Part D 34.2

• • • • • •

Technical system Flow of material, maintenance, and disposal system Storage system and application system Information and energy system Equipment system, machine system, and inspection equipment system Maintenance system.

Flexible production systems are designed for an assortment of geometrical and technological work equipment.

Due to the marginal effort required for their adjustment they can be fitted to changing production tasks as well as to fluctuating capacity loads. Control of the corresponding subsystems can be realized by a linked computer system, which takes into account machining situations, storage, and transport systems among others, and which receives requirements from a central processing control. The computer system controls usage of the appropriate machines, appropriation of the technical control programs, availability and progress controls, logging of production, and information from the maintenance service. An agent can influence the system in case of disturbance. Figure 34.6 summarizes the different levels of the respective machines up to the flexible production system. A main technical component of production automation is the industrial robot. An industrial robot is a universally usable automaton with at least three axes, which have claws or tools, and whose movements are programmable without mechanical interaction. The main application areas of industrial robots are welding, assembling, and handling of tools. The present level of development of production automation exhibits process-related integration of computer-based construction (computer-aided design, CAD) and production (computer-aided manufacturing, CAM). Process-oriented CAD/CAM solutions result in a continuous information processing system during the preparation and execution of production, in

Level 4: flexible production system Process integration of technical and organizational functions, linked control

Input

Level 3: flexible manufacturing cell

Level 3:

Integration of handling operation, material flow, coordinated control, supporting functions

flexible manufacturing cell

Level 2: machine

Level 2: machine

Singular performance

Individual handling operation

Level 1: tool, object, machine

Power drive local control

Level 1: tool, object, machine

Power drive local control

Fig. 34.6 Shell model of production automation (according to [34.14])

Output

Integrated Human and Automation Systems

34.2.2 Process Automation Chemical and procedural industries use automation, first and foremost, for monitoring and control of autonomous processes. This can usually be realized by the application of process computers, which are directly connected to the technical process. They collect situa-

Fig. 34.7 Hybrid system during assembly (photo was taken with permission of Fraunhofer IPA)

tional data, analyze errors, and control and optimize the process. Interaction of man and technology is limited to man–machine communication when using process computers and control equipment. Section 34.3.4 will elaborate on these aspects in more detail.

34.2.3 Automation of Office Work In this regard, we can differentiate between informational and manual office work. Manual office work can especially be found in the infrastructure sector. The use of decentralized computer technologies close to the workplace accelerates the automation of algorithmic office work with manual and informational character. Automation of office processes aims to increase work efficiency. Some business processes can only be realized by use of technology. The comprehensive information offered by the Internet is, for example, only usable by computer-based search algorithms. Workflow systems are important for the automation of infrastructural office work. They use optimized structures of organization for the automation of work processes. They influence the work process of each individual worker [34.26] by allocating individual procedure steps and forwarding them after processing.

581

Part D 34.2

which data is produced and managed automatically and is circulated to other fields of operation (for example, systems of merchandise management). During the complex and continuous process of automation, development and production as well as the corresponding business fields are informationally and materially linked with each other. Continuous solutions are the basis of an automated plant, which at first only captures selected production areas, but then entire companies [34.23]. An extreme degree of automation is not always the perfect solution for manufacturing technology. If fewer pieces and complex tasks determine the production, the usage of less automated systems is a better solution [34.24]. Robots reach their limit if the execution of the task demands a high degree of perception, skill, or decisiveness, which cannot be realized in a robust or cost-effective way. Unpredictable production range and volume as well as higher costs and quality demands increase the area of conflict between flexibility and automation in the production. Due to progress in the field of man–machine interaction and robotics, the field of hybrid systems has become established, in which mobile or stationary assisting robots represent the most economical form of production [34.25]. Assisting robots support flexible manual positions by accomplishing tasks together with the human being. Man’s sensory capabilities, knowledge, and skill are thus combined with the advantages of a robot (e.g., power, endurance, speed, and preciseness). Assisting robots can now not only handle special tasks, but also cover a broad spectrum of assistance of widely different tasks. Figure 34.7 shows an exemplary utilization of an assisting robot while assembling. An assisting robot can either be installed stationary at the workplace or used in a mobile way at different locations. In both cases the movement and workplace of man and robot overlap. In order to make it possible for man and robot to cooperate efficiently in complex situations, it is necessary that the robot system has a sensory survey of the environment and an understanding of the job definition.

34.2 Use of Automation Technology

582

Part D

Automation Design: Theory and Methods for Integration

Workflow systems support among others the following functions:

• • • • • •

Classification, excavation, and removal of information carriers in an archive Physical transport of information carriers Recognition of documents and transmission to the appropriate agent Connecting of incoming documents with previous information (for example, an incoming document will be connected with previously saved data about the client) Deadline monitoring and resubmission, capacity exchange in case of employer absence (for example, forwarding systems) Updating of data in the course of the process of individual processing steps (e.g., verification of the inventory when sending out orders).

Part D 34.2

Moreover, the use of automated work tools in the form of copy technology, microfilm technology, wordprocessing systems, and information transfer technology contributes to an increase of efficiency in the field of office work. A characteristic of informational office work is tight connection of creative and processing work phases. The human being creates ideas, processes the task, and evaluates the results of the work. As organizational task are algorithmatized and delegated to the computer, the machine can support the working man [34.27]. Man has the possibility to intervene in the process by correcting, modifying, evaluating, and controlling it. Computerbased work connects the advantages of a computer, for example, high-speed operations and manipulation of extended data, with man’s decision-making ability in an optimal way. Computer-based work does not eliminate man’s creativity, but rather reinforces them. New solutions will also be bound to man’s creativity.

34.2.4 Building Automation The term building automation defines the entirety of monitoring, control, and optimization systems in buildings. It is part of technical facility management. This includes the integration of building-specific processes, functions, and components in the fields of heating, ventilation, climate, lighting, security, or accession control. The continuous cross-linking of all components and functions in the building as well as their decentralized control are the characteristics of building automation [34.28].

Building automation aims to reduce costs of buildings via a methodological approach to planning, design, construction, and operation. For this, operational sequences are conducted in an independent way or simplified in their handling or controlling. Functions can, for example, be aligned according to changing operating conditions (season, time of day, weather, etc.) and activities can be combined into scenarios. Due to construction automation the technical and organizational degree of complexity increases as well as the demand according to a functional integration. Here, we have to differentiate between the demands and needs of different user groups (i. e., users, operators, and service staff):







User: Functions of the MMS have to be reduced to a necessary minimum. They have to be intuitively comprehensible and easily manageable. Interference in operation has to be possible at any time (with the exception of safety functions). Operator: MMS has to provide optimal support for maintenance and support as well as optimizing operation of the construction technology. Depending on the object’s size and complexity, this can comprise a spectrum of simple fault indication up to teleguided control systems. Service staff: Operating functions, which are unnecessary in a common process, have to be available exclusively to service staff.

34.2.5 Traffic Control Traffic control means active control of the flow of traffic by traffic management systems. Traffic telemetry is a main application of traffic management systems, comprising all electronic control and assisting systems that coordinate the flow of traffic automatically and support driver routing [34.29]. Traffic telemetry has the following goals [34.30]:

• • • • •

Increase of efficiency of existing traffic infrastructure for a high volume of traffic Avoidance of traffic jams as well as of empty cars and look-around drives Combination of advantages of the individual carriers (that is to say, railway, street, water, air) and integration into one general concept Increase of traffic safety: decrease of accidents and traffic jams Decrease of environmental burden due to traffic control

Integrated Human and Automation Systems

ment functions in the vehicle. Figure 34.8 presents an overview of assisting systems in a car. Assisting systems, which are only barely noticed, are for example, a radio that automatically regulates the volume according to the surrounding noise, a display that optimizes its brightness according to the external light level, and automatic windscreen wipers that regulate their cleaning intervals according to the force of rain. Nowadays we combine several assisting functions into adaptive driver assisting systems [34.33]. In order to support steering, numerous development ideas have been discussed. The final goal is to create a system that supports steering in order to stay in lane and maintain the distance to the preceding cars [34.34]. In this regard, a video camera records the forward lane structure. With the help of some dynamic driving factors and evaluation of the captured images, the system can determine the current position of the vehicle relative to the lane markings. If the vehicle diverges from the required lane, the driver will feel small but continuous correcting forces through the steering wheel. Using the same technology we can also produce an alert in case of strong divergence from the road, using a synthetically generated noise or vibration feeling. These stimuli reduce the possibility of unintended divergence from the road and increase the likelihood that an error will be noticed and corrected early enough. Another system that helps lane control and maintenance of the correct distance to preceding cars is called the electronic drawbar. This is a nontactile coupling beAutomatic lane assistant Change lane assistant

34.2.6 Vehicle Automation Stop and go automatic

Automated systems are used in road vehicles and air transportation. They support and control the driver or pilot in his task. Assisting systems in a car stabilize the vehicle (for example, antiblock system, stability programs, brake assistant, and emergency brake) and help the car to remain in lane, maintain the correct distance to the car in front, control lighting (for example, through an adaptive lighting system), assist in routing (for example, navigation systems and destination guides), as well as accomplish driving maneuvers and parking [34.32]. Moreover, assisting systems facilitate the usage of numerous comfort, communication, and entertain-

Sign recognition Automatic distance keeper

Electronic drawbar

City center autopilot Highway autopilot

Fig. 34.8 Overview of an assistance system in a car

583

Part D 34.2

Traffic control depends on the availability of appropriate traffic data, which is collected by optical or inductive methods, amongst others. The collected data is processed in a primary traffic control unit and transformed into traffic information, on the basis of which traffic scenarios can be developed and traffic streams can be processed. Manipulation of traffic streams occurs, for example, through warning notices, speed limitations, or rerouting recommendations. Information on the infrastructure is carried out from vehicle to driver through intercommunication signs, light signals, navigation systems or radio. Satellite-navigation systems are widely used in vehicles; they carry out supported route calculation and vehicle-specific traffic control or goal orientation. Telemetric systems are also used for mileage measurement in regard to road charging. Traffic telemetry appliances can avoid or lessen disturbances and optimize traffic flow in a timely, geographical, and modal way [34.31]. Even though traffic telemetry systems have great potential to ameliorate the entire traffic situation, their usage is still limited. One reason is the insufficient quality of collected data regarding traffic and street status for traffic control. Another reason is that the possibility of intervention in daily traffic is limited. In the future, oriented adaptive traffic control systems will have to be able to dynamically link individual and public vehicles as well as traffic data. In addition to stationary detectors, vehicle-generated traffic announcements are also included in the area-wide extension of databases regarding traffic situation and traffic prognosis. Mobil communication systems contribute to the exchange of information between vehicle and infrastructure.

34.2 Use of Automation Technology

584

Part D

Automation Design: Theory and Methods for Integration

Fig. 34.9 Adaptive, multifunctional driver-assistance

system

tween (usually commercial) vehicles based on sensors and computer technology. The following vehicles follow the leading vehicle automatically, as if they were connected to the preceding vehicle with a drawbar. The electronic drawbar should make it possible for just one driver to lead and control a line of cars following each other, all within a short distance. Due to the resulting low aerodynamic residence, gas consumption should be decreased. Figure 34.9 shows a prototype adaptive, multifunctional driver-assistance system in a car. Advising navigation systems that react to the current traffic situation are in serial production and show increasingly better results. Integrated diagnosis systems refer to disturbances and maintenance intervals. Table 34.2 presents the assisting functions according to the realized assistant type. Moreover, this

Table 34.2 Assisting systems in cars [34.8]

Part D 34.2

Modality/ assistance type

Visual

Acoustic

Intervention

Command

Consultation Information

Lane control, problem report, navigation order

Haptic

Without information

Antiblock system (ABS), servotronics, reflex control, collision assistant Lane control, distance keeping, intersection assistant, curve assistant

Crash prevention, belt pretensioner, seat conditioning, sunroof

Navigation systems, traffic jam alarm Diagnoses systems

Table 34.3 Assisting systems in aircrafts [34.8] Modality/ assisting type

Visual

Acoustic

Haptic

Ground proximity warning system (GPWS)

Maneuver delimiter, quickening Flight vector display, stick-shaker, callouts

Intervention Command Consultation

Information

Flight management system (FMS), electronically centralized aircraft monitoring system (ECAM) Navigation databank, plane state variables and maneuver borders

Integrated Human and Automation Systems

table shows which dialog system addresses with sense modality. The idea of the self-controlling vehicle has been abandoned. Although technical control systems are generally available, public opinion has determined that the driver is responsible for driving and not the lane control or tailgate protection system. Possible legal consequences in the case of failure of the technology are difficult to assess. The application area of aviation is traditionally characterized by progressive technologies. As a result, many assisting systems exist in the field. Table 34.3 presents an assistant type and the used modality for some assisting functions in a plane. On the level of plane stabilization, we find intervening systems, including maneuver delimiter and commanding systems such as light vector displays, a stick-shaker, and callouts. Steering is supported by

34.3 Design Rules for Automation

collision-prevention commands and ground-proximity warnings. For the planning of the flight path, the flight management system is supported by an informational system that leads the pilot from the start to the destination via previously entered travel points. The electronically centralized aircraft monitoring (ECAM) system is a consultation system that supports the pilot actively when managing system resources and correcting errors. Error messages are determined by individual phases of the flight. Furthermore, a plan possesses dialog systems through which the pilot executes the flight path, the management of subsystems, and the management of errors. More assisting systems concern information exchange with air-traffic control, communication with the operating airline (company regulations), and execution of braking maneuvers on the runway (break-to-vacate).

34.3.1 Goal System for Work Design The decision for a specific kind of design of a work system – including the option of automation – is mainly determined by the following three goals [34.35]: 1. Functional goals: Optimal accomplishment of functions (for example, dependability, endurance, precision, and reproduction). The limited capabilities of man regarding sensors (for example, no adequate receptors for voltage, operations being fast, objects being small) or motor functions (for example, regarding the height and endurance of body power) often demand the use of technologies. Man’s broad capabilities and flexibility, which allows him to diverge, if necessary, from fixed algorithms and to react to changed situations, demand the inclusion of a human being [34.36]. 2. Human-oriented goals: Goals that concern human health, as well as performance prerequisites (for example, work safety, level of task demands, and qualification).

3. Economic goals: Given function fulfillment with preferably low costs, or best function fulfillment with fixed costs. It is not possible to derive a priority for a single design goal in the goal system, as usually one has to choose an optimum between opposing subgoals. In individual cases anthropocentric or technocentric approaches of design result from the emphasis of different goals. Here, we favor a anthropocentric (that is to say, humanoriented) approach to design.

34.3.2 Approach to the Development and Design of Automated Systems Consideration of Life Cycles The development of a man–machine system occurs based on a formalized plan. The development of a system is subdivided into individual phases (Fig. 34.10):



The process of development and design begins with a prerun phase, during which a system concept is processed. This phase aims to identify the current problem, and to evaluate whether it is really necessary to build the intended system and where it should be used.

Part D 34.3

34.3 Design Rules for Automation The design of automated work systems are usually geared to systematic work design. It is subject to specific requirements and methods, which will be presented below.

585

586

Part D

Automation Design: Theory and Methods for Integration



• •

Part D 34.3



During the definition phase, the system is deconstructed into subfunctions and subsystems. Specifications are developed, in which all characteristics and performance features as well as demands for man and technology have to be included. Performance is related to the system’s different phases of use, e.g., operation and maintenance [34.37]. With regard to the predetermined aspects, the layout and evaluation of alternative solutions are developed for each subsystem. During the development phase, a detailed design of the subsystem’s hardware and software is realized. The developed solutions are integrated into a complete functional system. The acquisition phase comprises production of the system’s hard- and software, testing of the technical subsystem’s functionality, integration of mechanical components, and production of user and maintenance instructions. Furthermore, staff will be included in this phase. During the use phase, usage of the system is realized. Operational experiences, which include a normal, disturbed, and maintained operation, form the basis for improvement, modernization, and redesign of similar systems.

A review at the end of each phase decides upon the start of the next phase, when the development project has achieved a definite level of maturity. Design Projects The following design projects are exercised for each phase of a man–machine system (Fig. 34.9)



With the help of system analysis the general goals for the complete system are determined, then the problem is firmly established and detailed.

Forerunner phase

Definition phase

Task analyses





• •

Within the framework of the function analysis, the system functions necessary to achieve the predetermined goals are established. The analyses of individual functions and their allocation to the machine or to man is the task of the technological work design. The tasks for the human result from the assignment of functions. Through a task analysis we have to evaluate whether the function and its related task can generally be conducted by the available staff. A group of users has to be selected and trained. Technical, organizational, and ergonomic work design aims for optimal development of the system components and work conditions through alignment of the conditions to the user (Sect. 34.1.1). An evaluation helps to verify the efficiency of the enforced design measurements for the implemented system. In order to achieve system optimization, the design methods have to be adjusted in case of goal divergence.

Emphasis on Human-Oriented Design Within the realm of the human-oriented design of a man–machine system, the functions and components with a high degree of interaction between men and machine [34.38] are the most important. When designing partly automated systems or assisting systems, the following tasks are mainly affected:

• •

Tasks for function division between man and machine as well as for structuring the task given to man (i. e., technical/technological or organizational work design) Tasks for optimization of integration of communication at the interface of man and machine (i. e., ergonomic design)

Developing phase

Technological/technical/ organizational/ergonomical design

Fig. 34.10 Phases of an automated system and their development project

Aquisition phase

Evaluation

Utilization phase

Integrated Human and Automation Systems



Tasks to increase job or machine safety (i. e., technical design) by including hazardous factors of the work environment (e.g., noise, climate, vibrations).

Task-specific criteria and requirements of humanoriented work design as well as methodical approaches will be discussed in the following sections.

34.3.3 Function Division and Work Structuring Subfunctions of a work system can be achieved by man and by a machine. If man is the center of interest, the design of the work system is geared towards the following levels of evaluation of human work [34.39]:



• •

In manual assembly, for example the joining of parts, certain thresholds result for the worker due to the required speed or accuracy of motions. Figure 34.11 presents this situation schematically. Ergonomic optimization is desirable, if the combination of stress parameters resulting from the work task leads to tolerable work conditions (i. e., ergonomic Precision of movement Reasonable?

Automation not possible

Work structuring achievable, not tolerable

Satisfied?

Ergonomical design achievable and tolerable

Speed of movement

Fig. 34.11 Dimensions of work design from an ergonomic point of view [34.4]

design, see Sect. 34.1.1). If work can be done but is not tolerable, mainly the content of the working task has to be changed with the help of measurements regarding work structuring (i. e., organizational design, see Sect. 34.1.1). If the work task cannot be fulfilled, automation (i. e., a technical/technological design, see Sect. 34.1.1) of the work system is recommended, which implies extensive transfer of functions to a machine. Further influences on the design of systems result from technical and economical requirements. Due to the numerous influences on the design, it is impossible to distinguish specific design dimensions from each other. In factories we can find work systems with different degrees of automation among which are obvious combinations of manual and automated systems (hybrid systems). When assigning functions within the man–machine system, the psychological and physiological performance requirements of the working man have to be taken into consideration (Sect. 34.1.8). In this way overextension of the worker can be avoided and health damage can be averted. Appropriate work structuring also takes into consideration not giving the man solely leftover functions, where he compensates or conducts nonautomated functions. Function division and work structuring therefore have to be developed in such a way that interesting, motivating, and diversified task arise [34.40] and optimal system effectiveness is guaranteed.

34.3.4 Designing a Man–Machine Interface A central task when developing human-oriented automated work systems is the design of the man–machine interface. Ergonomically designed workplaces and machines – both in regard to hardware and software with the corresponding desktop – contribute to user-friendly and efficient task accomplishment. Workplace The workplace is the place where the task will be accomplished. At workplaces on the production level the following problems often occur:

• • • •

Inappropriate posture, forced posture due to limited free space or awkward positioning of appliances One-sided, repetitive movements Inappropriate movement of arms and legs Appliance of body forces.

In terms of ergonomic work design the demand arises to adjust the workplace in regard to its dimensions, visual

587

Part D 34.3



Feasibility: anthropometrical, psychophysical, biomechanical thresholds for brief workload duration in order to prevent health damage Tolerability: physiological and medical thresholds for a long workload duration Reasonability: sociological, group specific, and individual thresholds for a long workload duration Satisfaction: individual sociopsychological thresholds with long and short validity.

34.3 Design Rules for Automation

588

Part D

Automation Design: Theory and Methods for Integration

and active area, and the forces that have to be applied to the conditions of the working man. The starting point for workplace design is the working task. Depending on the working task, specific demands arise in regard to motor functions and visual perception. The demands of the working task have to match man’s performance prerequisites. Figure 34.12 shows factors that have to be taken into consideration when designing a workplace. The technologies or materials that are used can lead to further demands in regard to the configuration of the workplace, for example, lighting, ventilation, and storage.

s s e

b

a

a: height of working space b: height of the seat e: distance of working place s: visual distance α: foot rest incline t: floor space depth

α

Part D 34.3

Anthropometric Design of Workplaces. The starting point for anthropometric design of workplaces is the size of the human body. In order to prevent forced posture, e.g., intense bending forward or to the side, workplaces have to be aligned with the body size of the workers who work at that particular place. When discussing the anthropometric design of the workplace, it has to be clarified whether work will take place sitting or standing (Fig. 34.13). A balanced position can be achieved by a change of posture. Basically it is important to set the human body size in relation to the correct distance between floor or feet height, working height, and seat height. The working height has to be adjusted to the conditions of the work task. In addition it is important to have sufficient horizontal and vertical space. If several persons work at different times at the same workplace (for example, shift work), the workplace has to be equipped with appropriate adjustability in order to be adjusted to different body shapes and heights. Physiological Design of Workplaces. Physical strength

also has to be taken into consideration at automated

Human One person / collective Gender, age, nationality Capabilities

Workplace

Sitting / standing Order and measurement of tools Dimensions (visual and active space) climate, sound

t

Fig. 34.13 Blueprint of a possible seat-standing workplace

workplaces, as the basis for lifting and carrying of loads and operation of the system elements. Tasks that require intensive body movements and a large amount of physical strength should be conducted in a standing position. Tasks that require a high degree of preciseness (e.g., subtle assembly) and little physical strength can be conducted while sitting. Information about the dimensions of working tasks and their required physical strength is given in ergonomic literature [34.1, 5, 41]. Anatomically Favorable Alignment of Working Tools.

Anatomically favorable alignment of appliances and working tools avoids unnecessary movement and assists balanced physical strain on the human body. Figure 34.14 presents an example of how to align appliances in a partly automated workplace. Objects that have to be grasped (bin with parts) are arranged within

Working task Movement, force, preciseness, frequency Working tool component

Fig. 34.12 Factors for the design of a workplace

Integrated Human and Automation Systems

Fig. 34.14 Partly automated manufacturing workplace

a reachable distance and the machine is positioned in the center.

work in an office is performed at visual display units (VDUs). In addition to the working postures already mentioned above, the following factors have to be taken into account when working at a VDU [34.43]:







Strain of the supporting apparatus or musculoskeletal system: Continuous, static sitting strains the supporting apparatus and the musculoskeletal system extremely and can lead to muscle tensions and degeneration effects. Repetitive tasks such as data entry can lead to pain in the wrist (for example, repetitive strain injury) and chronic back pain. Eyestrain: Frequent change of eye contact between screen, draft, and keyboard (that is to say, accommodation) and the resulting adjustment to the changing light level (that is to say, adaptation) provoke enormous strain on the eyes and can cause eye damage. Psycho-mental strain: Man is stressed while carrying out demanding informational tasks when being exposed to (unintentional) interruptions, time pressure, and fragmentation of the work task.

Man–Machine Communication In the course of automation, interaction between man and machine becomes an information exchange, i. e., man–machine communication. This information exchange is realized by man’s sensors (i. e., senses) and actuating elements (i. e., effectors) on the one hand, and input and display systems of the machine on the other. This exchange of information is controlled by the human or technical processing of the information. Software ergonomic work design has the function of designing input and display systems and the machine’s processing of information according to ergonomic goals (Sect. 34.3.3). While so doing, the task and conditions of the working environment are taken into account. Additional tasks result from the selection and education of users who operate these systems. Sensory, cognitive, and motor characteristics have to be taken into account when designing man–machine communication. The visual channel (the eye) can be addressed via optical displays, the auditory channel (the ear) via acoustic displays, and the tactile channel (sense of touch) via haptic displays. As the hand and foot motor functions are available for the mechanical input after processing of information by the brain (cognition), so language is available for linguistic input. Now input via body movement (gestures) also plays an important role, for example, through the use of the mouse or via the measurement of hand, head, and eye movement (movement tracking). According to Fig. 34.15, the

Man Processing of information

Sensors

Actuating elements

Display system

Input system

Dialogue control

Input and display systems Dialogue system

User modeling Task modeling

The demand to configure the daily work schedule with a change of activities or regular breaks is very important for the human-oriented design of a workplace. VDU tasks and other activities should be alternated in order to avoid burden on the eyes and encourage movement. If a change of activity cannot be realized, regularly short breaks from work at the screen should be included [34.27].

Assisting system Situation identification Risk analysis

Technical functions

Machine

Fig. 34.15 Levels of man–machine communication [34.42]

589

Part D 34.3

Design of VDU Workplaces. Most of the time automated

34.3 Design Rules for Automation

590

Part D

Automation Design: Theory and Methods for Integration

design of man–machine communication can be represented at three levels:

• • •

The highest level shows the interface between man and machine, the input and display systems, which are often defined as the desktop. The next level is the dialogue system, which creates the connection between the input and display, and which causes the machine’s control of information flow. The third level refers to the application of assisting systems, which support the user when developing processing strategies and system control.

The design of these levels has the goal of tuning the technical system for the acceptance, processing, and display of information for man and his tasks.

Part D 34.3

Input and Display Systems. The design of an input and display system has to resolve three tasks [34.44]:

• • •

It has to choose appropriate design parameters according to human conditions when adjusting the system to the human’s motor function and sensors. It has to display the information codes that are necessary for exchange of information between man and machine; in this case, compatibility has to be taken into account. The organization of information designs the input or output of connected information.

Appropriate input systems are those that use human capabilities for the transmission of information: exercise of body force on objects, gestures, mimics, talking, and writing. Technical input systems are also useful if they can absorb and interpret the provided information. The best available technology allows the extended utilization of the previously mentioned human capabilities by using switches, levers, hand wheels, dials, keys, keyboards (for example, work at the VDU), etc. Speech recognition systems have seen immense progress, so that the use of speech signal input can be realized, for example, when entering numeric codes. Writing input is used with electronic notebooks. When releasing information, visual, auditory, and tactile kinesthetic sense modalities are addressed. Furthermore, speech output is becoming increasingly important. Haptic displays are mainly used to facilitate blind operation of switches. Due to the amount and variability of the presented information and the optional access options, optical displays – mostly in the form of screens – play a dominant role. Multimedia forms of

communication connect the different forms of coding: number, text, speech, and picture. Compatibility of the design of (complex) input and output display systems is extremely important. Compatibility can also be defined as the decoding process, which the human has to achieve when evaluating the different forms of information. Inner compatibility is achieved when compatibility exists between man’s periphery and the inner models (i. e., associations and stereotypes). A good example is the fact that one expects an increase in value when turning the adjusting knob to the right. An outer compatibility is in place when a display turns to the right according to the movement of the operating element. Dialogue Systems. Dialogue represents the interactive exchange of information between man and machine in order to achieve a task. Depending on the user’s previous knowledge, different dialogue forms are possible: question–answer dialogue, form dialogue, menu dialogue, key dialogue, command dialogue, and natural conversational dialogue. Dialogue forms as well as a direct manipulation comprise concrete actions (for example, display or sketching), pictures, and speech. These demand less abstraction from the user than text-based dialogues. There is always the possibility to adjust the dialogue system to a user-specific demand. Continuous technical progress leads to rapid introduction of new program versions with dialogue alternatives. In order to guarantee reliable utilization, the user has to learn continuously. As printed instruction sheets are often ignored, integrated guidance of the user in the dialogue is practical. This guidance should be in line with the user’s learning progress and the assisting and support systems, which the user can use in the dialogue. Assisting Systems. Man–machine communication is

generally designed as a dialogue, i. e., interaction between information input and output. Assisting systems (or dialogue assistants) support the user during goaloriented use of a dialogue system by explaining the system’s functions and user directions (Sect. 34.1.7). In order to guarantee progress of the dialogue, data is taken from the user (that is to say, user modeling), from the task to be solved (that is to say, task modeling), and from the present state of the system (that is to say, situation identification). In so doing, the field of man– machine communication comprises increasingly higher levels of technical processing of information. Whereas

Integrated Human and Automation Systems

at the beginning only the desktop was affected in the form of display and input elements, nowadays the entire design of informational–technical systems are directed to the user. Principles of Design for Man–Machine Communication The design of man–machine communication mainly comprises aspects of dialogue development and control hierarchy. Dialogue Development. Software ergonomic development of man–machine communication is geared towards the following demands for appropriate dialogue development [34.45]:







Central criteria for dialogue design are functionality (i. e., the suitability of the task) and usability (i. e., suitability for learning). The ISO 9241 standard [34.3] defines established principles for the design of man– machine communication (Fig. 34.16):

• • • •

• • •

Suitability for the task: While working, the user should experience support instead of interference or unnecessary demands. Self-descriptiveness: The dialogue should make it clear what the user should do next. Controllability: The user should be able to control the pace and sequence of the interaction. Compatibility, conformity with user expectations: General experiences, schooling, experiences with work processes or similar software are effectively applicable. In terms of the expectation conformity, boundaries of the technical system have to be transparent (for example, safety functions). Error tolerance: The dialogue should be forgiving. In spite of defective input, the result will be achieved with only a few corrections. Suitability for individualization: The dialogue system allows adjustments to the demands of the working task, to the user’s individual preferences, and to the utilization capability. Suitability for learning: The user will be supported and instructed while learning the dialogue system.

Burmester [34.46] recommends a simple and clear presentation of information, direct and unambiguous language, direct feedback, as well as user-oriented terminology for the implementation of design principles. In order to design the utilization capability of the man–

Dialogue design

Functionality (suitability for the task)

Usability (suitability for learning)

Manipulation

Suitability for individualization

Selfdescriptiveness

Orientation

Controllability

Compatibility

Error tolerance

591

Clearness

Fig. 34.16 Design principles of the ISO 9241 standard for man–machine communication

Part D 34.3



Usability characterizes the degree to which a product can be used effective, efficiently, and in a satisfying way. Effectiveness determines the precision and completeness with which the user can achieve a certain task goal. Errors can have a negative impact on effectiveness. This is the case when the user is incapable of achieving the defined goal correctly or completely. Efficiency describes the cost-related effectiveness, referring to the relation between the achieved precision and completeness of the effort used by the user while attaining the goal. Deficiencies affect efficiency of utilization (fitness for use). The result is correct, but the effort is inappropriate, as the user, for example, can hardly avoid making mistakes. Satisfaction is an indicator of the acceptance of utilization.

34.3 Design Rules for Automation

592

Part D

Automation Design: Theory and Methods for Integration

machine system more intuitively, known objects, for example, office folders, are displayed on the software’s desktop. Control Hierarchy. The embedding of the dialogue sys-

tem into the control hierarchy of a man–machine system is as important as the dialogue design itself. Here we have to clarify, if and how far the technical system is allowed to limit man’s freedom to take decisions and carry out actions. This question can be illustrated with the help of the example of a driving assisting system, which leads to increased safety for the driver when maintaining an inappropriate distance to the preceding vehicle and a reduction in speed. Man’s capability to make a decision is dependent on his position in the MMS. Decker [34.47] classifies three different performance types:

• Part D 34.3





Restitution performances: Here, the machine enables a disabled human being to achieve standard performances. Examples are prosthesis for amputated body limbs. Expansion performances: Here, man achieves together with the machine a higher level of performance than a standard performance. A good example is a databank system, which simplifies the data management and data organization. Substitution performances: The performance, which so far has been accomplished by man, is now achieved by a machine supervised by man. An example is the autopilot in a plane.

Experts are of the opinion that, as long as it is not possible to completely substitute man by a machine, man should have the highest level of decision making in a man–machine environment [34.48]. This implies that man will have the final decision. In this way, man can correct the MMS in case of errors. The following problem appears however with partly automated MMS: During long working phases of uninterrupted automated production, man is permanently unchallenged and easily fatigued. If man then actually has to interfere due to a breakdown of the automated system, the once unchallenging situations transforms into a too challenging one. Consequently, we should aim for a continuous activity level, in order to guarantee manual takeover of the controlling system. Moreover, redundancy should assure that the entire system is reliable.

34.3.5 Increase of Occupational Safety Automation of working systems needs to take into account occupational safety. Occupational safety is a necessary requirement for work processing. Safe working conditions should minimize or even prevent health damage to the working man. Generally speaking, the work result cannot be guaranteed if unsafe work conditions exist. A high level of safety is guaranteed by measures of machine safety. Occupational safety comprises safety measures and rules of conduct, which should provide the user of technical systems with the highest protection possible. Automation measures basically reduce the hazardous risks to the worker. However, when breakdowns occur, the untrained worker has to interfere under time pressure, which can cause new danger situations. The design of a man–machine interface with an abstract representation of information between user and system, and that also screens mechanical noises and vibrations, results in the risk that man’s contact with reality is weakened. It has been observed that some drivers compensate for safety gained through assisting systems by changing to a risky driving style [34.49]. As a result we can state that the demand for increased occupational safety always has to be seen in the context of system reliability. System Reliability The term system reliability defines the probability that the system works without any errors in a defined timeframe. An error can be defined as an intolerable divergence from a defined level of quality. Human reliability describes man’s capability to accomplish a task in an acceptable way under fixed conditions within a defined time frame. Here a basic difference between human and technical reliability becomes visible: man works in a goal-oriented manner, whereas the machine works in a functional way. Man is able to control his actions autonomously. Human errors do not usually lead to an immediate breakdown, but can be corrected before they negatively affect system operations. The technical design of systems should assure the reliability of the machine and its components through optimal construction and selection of appropriate materials. Human reliability can be increased by rapid identification of errors by the technical system and a supporting decision-making process. Man is able to recognize and correct for his own errors prior to their interference with

Integrated Human and Automation Systems

34.3 Design Rules for Automation

the system. He can also stop processes that seem to be becoming problematic [34.50].

necessary special training and personal protection equipment (i. e., information measures).

Design for Safety Design Strategies. Adequate actions can contribute to

Risk Minimization of Mechanical Hazards. Mechan-

an increase in the safety level of automated working systems and minimization of hazardous risks. The following kinds of risks have to be taken into account:

• • •

Hazardous risks linked to the utilization of the working tool Hazardous risks that emerge at the workplace due to interaction of the working tools with each other Hazardous risks caused by the working materials or the working environment.

• • •

Elimination or minimization of hazardous risks (i. e., indirect measures, for example, integration of a safety concept when developing or constructing a machine) Implementing safety measures against risks that cannot be eliminated (i. e., indirect measures) Supply of information to users about remaining risks due to the incomplete effectiveness of the implemented safety measures; indication of eventual

ical hazards are, for example, objects that fall or slide out, dangerous surfaces and forms, moveable parts, instability or material failure. Appropriate protective measures have to been chosen for risk minimization of mechanical hazards. Here we have to differentiate between inherently safe construction and technical safety measures. Inherently safe construction minimizes or eliminates risks through an adequate design. Examples of inherently safe constructions are the following:

• • •

Avoidance of sharp edges Consideration of geometric and physical factors (for example, limitation of amount, speed, energy, and noise) Electrical supply of energy at extra-low voltage.

Technical safety measures should be used when the inherently safe constructions are not sufficient enough. Technical safety measures differ between disjunctive and nondisjunctive appliances [34.51]. A separating safety device is part of a machine which is used as a special kind of bodily shield (Fig. 34.17). Depending on its construction, a separating safety measure can be a cabinet, cover, shield, door, casing, etc. The following two devices are examples of separating safety devices [34.40]:

• •

Fixed separating safety device: These are either lasting (for example welded) or fixed to the machine with the help of elements (for example, a bolt). They block access to a dangerous area. Movable separating safety device: These are mostly mechanical in nature and connected to the machine (by hinges, for example). They can be opened even without the utilization of tools. A touch-sensitive switch prevents the execution of dangerous machine functions while the safety device is open.

The physical barrier between man and the hazardous machine function is absent in the case of a nonseparating safety device. The worker will be recognized as soon as he enters or reaches into the danger area. As soon as the worker is recognized, the existing risk is minimized or eliminated. We can differentiate between the following nonseparable safety devices: Fig. 34.17 Disjunctive safety device at a palletizing

automaton



Control device with automatic reset device: Control devices that start and maintain operation of mechan-

Part D 34.3

Here we have to conduct a risk analysis of the working tool at the predetermined workplace. We have to set this analysis in relation to other working mediums and take all operating conditions (i. e., normal mode, malfunction mode, and reconnection) into consideration. The following rules have to be followed when designing for safety [34.43]:

593

594

Part D

Automation Design: Theory and Methods for Integration

Man–Machine Interface. Switches for the operation

mode and the removal of the energy supply are applied when designing a safe man–machine-interface (Sect. 34.1.3). Consequently, we find the following specific design features:

• •

Part D 34.4

Fig. 34.18 Safety measure with approximation function

(light barrier) at a partly automated assembly area

• •

ical parts only as long as a hand control or operating element is actuated. Examples are hand drills and an edge grinder: Two-hand coupling: Control devices that demands the use of both hands at the same time in order to start or maintain the machine’s functions. Protective device with approximation function: Devices that stop hazardous mechanical parts as soon as a person or a part of the human body crosses a well-defined boundary (for example, light barrier, see Fig. 34.18).

Switch for operation mode: Machines have to be reliably stopped in all functions or safety levels (for example, maintenance, inspection). Energy supply: The spontaneous restart of a machine after a breakdown of energy supply has to be avoided, if this implies danger to the worker.

When designing a man–machine interface for safety, displays have to be adjusted to human perceptive senses so that relevant signals, warnings or information can be quickly and reliably noticed. In order to evaluate a situation, man allocates the role of key elements to a multiplicity of sensations. These key elements are incorporated into superior strategies. If complex information is arranged in functional groups, decisionmaking processes will be accomplished in a better way. Man, for example, recognizes qualitative changes of process parameters faster and is also able to assess them better if they are presented in graphical patterns. The combination of graphical elements produces a pattern in which changes can be recognized right away. In the case of a change in the pattern, man can selectively change to the next deeper level of information processing, in which detailed information about the situation and other characteristics relevant to the decision such as quantitative measures is available. A superior graphical model functions as an early warning system.

34.4 Emerging Trends and Prospects for Automation 34.4.1 Innovative Systems and Their Application In the light of technical innovation it can be expected that automation of the value-creation processes will increase in all branches and application areas. When used adequately, automation can contribute to the improvement of product quality, the increase of productivity, and the enhancement of quality of work [34.52]. Without automation technology, some fields of human work would not be accomplishable. The operation of complex control systems in modern aircrafts may exemplify this circumstance.

With the help of efficient methods and procedures of automation technology, new systems and products can be obtained. Flexible automation, rather then the highest degree of automation, is the aim. Characteristics of these systems and products are increasing complexity and decentralization, a higher degree of cross-linking, and dynamic behavior. However, they are only controllable with the help of automated appliances. Example products can be found in the field of mechatronics. As well as in the traditional application areas in the field of process and production automation, automated solutions are also frequently used in the service industries, maintenance areas, and the field of leisure activities

Integrated Human and Automation Systems

of elderly people (photo taken with permission of Fraunhofer IPA)

(Fig. 34.19). Appliances can be found in the following fields:

• • • • •

Health, e.g., intelligent prosthesis Service industry, e.g., fueling, cleaning, and household robot Building industry, e.g., energy optimizing systems Biotechnology, e.g., monitoring systems Technology of mobile systems, e.g., digital assistants.

An automated product has to fulfill strong requirements regarding precision and reliability, utilization characteristics, handling, and cost–value ratio [34.53]. Further development of all technical innovations demands the central inclusion of man. All technology should be geared to the human being, and his demands and performance prerequisites. The development of methods and procedures for ambient intelligence [34.54] is associated with human-oriented design (ambient intelligence is a technological paradigm which, first of all, is connected to the European research program on Information Society Technologies. It is related to the more hardware-oriented approach of the US-American research project on Ubiquitous Computing, as well as the industrial concept of pervasive computing). Ambient intelligence includes the

vision of the information society, which stresses the factors of usability and efficiency of user support with the help of intelligent systems, in order to facilitate interaction between men or between man and computer. One example application area is the intelligent house, whose entire functions and appliances (e.g., heat, kitchen appliances, and shutters) can be operated by a computer and be adjusted to the inhabitants’ needs. Natural and demand-oriented forms of interaction with an intelligent environment should lead to the situation in which utilization of the computer does not require more attention than the fulfillment of other daily activities, such as walking, eating, or reading. The technical core of ambient intelligence is the omnipresence of information technology and consequently unlimited access to information and performance measures at any time, from any location. Man will thus be surrounded by a multitude of artifacts with intelligent and intuitive usable interfaces – from household objects used daily to facilities in public spaces. They will be able to recognize people and react actively to their informational needs in a discrete and fast way [34.55]. It is necessary that the ambient intelligence system registers the users’ presence and situation and reacts to their needs, habits, gestures, and emotions in a sensitive and adaptive way. Hence, ambient intelligence systems differ from present computer-based man–machine systems, whose technology is mostly focused on the realization of the task and forces the user to adjust to these requirements. Ambient intelligence unifies a multiplicity of complex technologies and methods from different disciplines, such as: multisensor ad hoc networks, social user interfaces, dynamic integration of components for speech, and gesture recognition, and invisible computing. Further development of ambient intelligence systems requires intensive cooperation of these disciplines. Ambient intelligence always puts the human being with all his capabilities and needs at the center of the technologies that need to be developed.

34.4.2 Change of Human Life and Work Conditions Continuous automation of different fields of life – first and foremost at work – leads to significant changes of human habits, task contents, and qualification requirements. In production, workplaces that have been replaced by automation technology now demand higher and

595

Part D 34.4

Fig. 34.19 Care-O-bot is a supporting system for the care

34.4 Emerging Trends and Prospects for Automation

596

Part D

Automation Design: Theory and Methods for Integration

different qualifications from its workers. On the one hand, we have to assume that repetitious use, charging, disposal, and control functions, as well as administration and formalization of control tasks will continue to decrease. On the other hand, it is likely that maintenance work, demanding control functions, goaloriented tasks, programming, and analytical tasks will increase [34.56]. As the importance of intellectually demanding tasks at work increases, so will demands on the workers, and stress will change. In the future, man will take a more social, and communicative role. As the level of automation increases, so does its responsibility for business economics. The amount of instrumentation necessary for production will increase.

From an economical point of view it is important to achieve multilayered efficiency for this productive technology. As a result, the habits of workers doing shift work and their rhythm of life have to change. The demands of the level of organization and the peculiarity of mutual responsibility will also increase correspondingly. Different forms of automation development can create long-lasting effects that will diminish the separation between white-collar and blue-collar work that has existed to date. In so doing, they overcome the fixed and sometimes rigid separation of functions when working. The resulting changes regarding the content and execution of work comprise an individual chance for development for the working human.

References 34.1

Part D 34

34.2

34.3

34.4

34.5 34.6

34.7

34.8

34.9 34.10

34.11

H.-J. Bullinger: Ergonomie – Produkt– und Arbeitsplatzgestaltung (Teubner, Stuttgart 1994), in German H. Luczak, W. Volpert, A. Raeithel, W. Schwier: Arbeitswissenschaft, Kerndefinition – Gegenstandsbereich – Forschungsgebiete (RKW Eschborn, Edingen-Neckarhausen 1987), in German ISO 9241: Design ergonomischer Benutzerschnittstellen (Ergonomics of human-system interaction) in German H.-J. Bullinger, M. Braun: Arbeitswissenschaft in der sich wandelnden Arbeitswelt. In: Erträge der interdisziplinären Technikforschung, ed. by G. Ropohl (Schmidt, Berlin 2001) pp. 109–124, in German H. Luczak: Arbeitswissenschaft, 2nd edn. (Springer, Berlin 1998), in German J.-H. Kirchner: Das Arbeitssystem. In: Handbuch Arbeitswissenschaft, ed. by H. Luczak, W. Volpert (Schäffer-Poeschel, Stuttgart 1997) pp. 606–608, in German K.-P. Timpe: Mensch-Maschine-System. In: Handbuch Arbeitswissenschaft, ed. by H. Luczak, W. Volpert (Schäffer-Poeschel, Stuttgart 1997) pp. 609–612, in German K.-F. Kraiss: Anthropotechnik in der Fahrzeugund Prozessführung (Rheinisch-Westfälische Technische Universität, Aachen 2005), in German T. Sheridan: Telerobotics, Automation, and Human Supervisory Control (MIT Press, Cambridge 1992) B. Lotter: Hybride Montagesysteme. In: Montage in der industriellen Produktion, ed. by B. Lotter, H.P. Wiendahl (Springer, Heidelberg 2006) pp. 193– 217, in German H. Charwat: Lexikon der Mensch-MaschineKommunikation (Oldenbourg, München 1994), in German

34.12

34.13

34.14

34.15

34.16

34.17

34.18

34.19

34.20

34.21

K.-F. Kraiss: Benutzergerechte Automatisierung. Grundlagen und Realisierungskonzepte, Automatisierungstechnik 46(10), 457–467 (1998), in German H.-J. Bullinger: Technologische Ansätze. In: Handbuch Arbeitswissenschaft, ed. by H. Luczak, W. Volpert (Schäffer-Poeschel, Stuttgart 1997) pp. 82–86, in German D. Spath, M. Weck, G. Seliger: Produktionssysteme. In: Betriebshütte Produktion und Management, 7th edn., ed. by W. Eversheim, G. Schuh (Springer, Berlin 1996) pp. 10.1–10.36, in German G. Bretthauer, W. Richter, H. Töpfer: Automatisierung und Messtechnik (Mittag, Maria Rain 1996), in German Y. Hauss, K.-P. Timpe: Automatisierung und Unterstützung im Mensch-Maschine-System. In: Mensch Maschine Systemtechnik – Konzepte, Modellierung, Gestaltung, Evaluation, ed. by K.P. Timpe, T. Juergensohn, H. Kolrep (Symposion, Düsseldorf 2000) pp. 41–62, in German H. Wandke, E. Wetzenstein–Ollenschläger: Assistenzsysteme: woher und wohin?, Proc. 1st Annu. GC-UPA Track (Stuttgart 2003), in German W. Rohmert: Das Belastungs-BeanspruchungsKonzept, Z. Arbeitswiss. 38(4), 193–200 (1984), in German R. Bokranz, K. Landau: Einführung in die Arbeitswissenschaft (Ulmer, Stuttgart 1991), in German W. Quaas: Ermüdung und Erholung. In: Handbuch Arbeitswissenschaft, ed. by H. Luczak, W. Volpert (Schäffer-Poeschel, Stuttgart 1997) pp. 347–353, in German R.D. Schraft, W. Schäfer: Die Automatisierungstechnik fordert miniaturisierte Systeme, Innov. Tech. neue Anwend. 6(19), 4–5 (2001), in German

Integrated Human and Automation Systems

34.22 34.23

34.24

34.25

34.26

34.27

34.28

34.30

34.31

34.32

34.33

34.34

34.35

34.36

34.37

34.38

34.39

34.40

34.41 34.42

34.43

34.44

34.45

34.46

34.47

34.48 34.49

34.50

34.51 34.52

34.53

Planungsprozessen, ed. by H. Gebhardt, K.H. Lang, B.H. Müller, M. Stein, R. Tielsch (Wirtschaftsverlag, Bremerhaven 2003) pp. 49–71, in German J.-M. Hoc: From human-machine interaction to human-machine cooperation, Ergonomics 43(7), 833–843 (2000) W. Rohmert: Der Beitrag der Ergonomie zur Arbeitssicherheit, Werkstattstechnik, Z. Ind. Fert. 66(1), 345–350 (1976), in German P. Nicolaisen: Sicherheitseinrichtungen für automatisierte Fertigungssysteme (Hanser, München 1993), in German G. Salvendy (ed.): Handbook of Human Factors and Ergonomics, 3rd edn. (Wiley, New York 2006) G. Geiser: Informationstechnische Arbeitsgestaltung,. In: Handbuch Arbeitswissenschaft, ed. by H. Luczak, W. Volpert (Schäffer-Poeschel, Stuttgart 1997) pp. 589–594, in German P. Kern, M. Schmauder, M. Braun: Einführung in den Arbeitsschutz (Hanser, München 2005), in German B. Shneiderman, C. Plaisant: Designing the User Interface: Strategies for Effective Human-Computer Interaction, 4th edn. (Addison–Wesley, Boston 2005) N. Bevan, M. MacLeod: Usability measurement in context, Behaviour & Information Technology 13(1/2), 132–145 (1993) M. Burmester: Guidelines and Rules for Design of User Interfaces for Electronic Home Devices (Fraunhofer IRB, Stuttgart 1997), ESPRIT–Project 6984 M. Decker: Perspektiven der Robotik. Überlegungen zur Ersetzbarkeit des Menschen, 2nd edn. (Europäische Akademie zur Erforschung von Folgen wissenschaftlich-technischer Entwicklungen, Bad Neuenahr-Ahrweiler 2001), in German T. Sheridan: Humans and Automation (Wiley, New York 2002) E. Assmann: Untersuchung über den Einfluss einer Bremsweganzeige auf das Fahrverhalten. Dissertation (Technische Universität, München 1985), in German M. Braun: Leistungskompensation bei betrieblichen Störungen, Werkstattstech. online 94(1/2), 2–6 (2004), in German R. Skiba: Taschenbuch Arbeitssicherheit (Schmidt, Bielefeld 2000), in German J. Rech, K.-D. Althoff: Artificial intelligence and software engineering: Status and future trends, Künstliche Intell. 18(3), 5–11 (2004) G. Bretthauer: Automatisierungstechnik – Quo vadis? Neun Thesen zur zukünftigen Entwicklung, Automatisierungstechnik 53(4/5), 155–157 (2005), in German

597

Part D 34

34.29

R.D. Schraft, R. Kaun: Automatisierung der Produktion (Springer, Berlin 1998), in German R.D. Schraft: Neue Trends in der Automatisierungstechnik, Total. Integr. Autom. 3(4), 32–35 (2004), in German G. Lay, E. Schirrmeister: Sackgasse Hochautomatisierung? Praxis des Abbaus von Overengineering in der Produktion (Fraunhofer ISI, Karlsruhe 2000), in German E. Helms, R.D. Schraft, M. Hägele: rob@work: Robot Assistant in Industrial Environments, Proc. 11th IEEE Int. Workshop Robot Hum. Interact. Commun. (ROMAN, Berlin 2002) F. Lehmann, E. Ortner: Workflow-Systeme – ein interdisziplinäres Forschungs- und Anwendungsgebiet, Inform. 5(2), 2–10 (1998), in German D. Spath, M. Braun, P. Grunewald: Gesundheitsund leistungsförderliche Gestaltung geistiger Arbeit (Schmidt, Berlin 2003), in German S. Baumgarth, E. Elmar Bollin, M. Büchel: Digitale Gebäudeautomation (Springer, Berlin 2004), in German R. Schmidt–Clausen: Verkehrstelematik im internationalen Vergleich. Folgerungen für die deutsche Verkehrspolitik (Lang, Frankfurt 2004), in German R. Gassner, A. Keilinghaus, R. Nolte: Telematik und Verkehr. Elektronische Wege aus dem Stau? (Nomos, Baden-Baden 1994), in German Acatech (ed.): Mobilität 2020. Perspektiven für den Verkehr von morgen (Fraunhofer IRB, Stuttgart 2006), in German C. Albus, B. Friede, F. Nicklisch, H. Schulze: Intelligente Transport-Systeme. Fahrer-AssistenzSysteme, Z. Verkehrssicherh. 45, 98–104 (1999), in German C. Marberger: Adaptive Mensch-Maschine-Schnittstellen in Fahrzeugen, 52. Kongr. Ges. Arbeitswiss. (GfA, Dortmund 2006) pp. 79–82 K. Schattenberg: Fahrzeugführung und gleichzeitige Nutzung von Fahrerassistenz- und Fahrerinformationssystemen. Dissertation (Rheinisch-Westfälische Technische Hochschule, Aachen 2002) in German T. Müller: Technologische und technische Arbeitsgestaltung. In: Handbuch Arbeitswissenschaft, ed. by H. Luczak, W. Volpert (Schäffer-Poeschel, Stuttgart 1997) pp. 579–583, in German J. Lee: Human Factors and Ergonomics in Automation Design. In: Handbook of Human Factors and Ergonomics, 3rd edn., ed. by G. Salvendy (Wiley, New York 2006) pp. 1570–1596 M. Braun, L. Wienhold: Systematisierung betrieblicher Anforderungen an Arbeits- und Gesundheitsschutzinformationen. In: Sicherheit und Gesundheit bei betrieblichen Entwicklungs- und

References

598

Part D

Automation Design: Theory and Methods for Integration

34.54

34.55

C. Ressel, J. Ziegler, E. Naroska: An approach towards personalized user interfaces for ambient intelligent home environments, 2nd IET Int. Conf. Intell. Environ. IE 06, Vol. 1 (Institution of Engineering and Technology, London 2006) M. Friedewald, O. Da Costa, Y. Punie: Perspectives of ambient intelligence in the home

34.56

environment, Telemat. Inform. 22(3), 221–238 (2005) D. Spath, M. Braun, L. Hagenmeyer: Human factors in manufacturing and process control. In: Handbook of Human Factors and Ergonomics, 3rd edn., ed. by G. Salvendy (Wiley, New York 2006) pp. 1597–1625

Part D 34

599

Machining Lin 35. Machining Lines Automation

Xavier Delorme, Alexandre Dolgui, Mohamed Essafi, Laurent Linxe, Damien Poyard

Manufacturers are increasingly interested in the optimization of their production systems. The objective is to optimize some criteria such as total investment cost, floor area, number of workstations, production rate, etc. The automatic serial line, often called a transfer line, is a widely used production system in machining environments [35.1–6]. Transfer lines also exist in the assembly industry. Their properties are defined, for example, by Nof et al. [35.7]. In such a line, a repeatable set of operations is executed each cycle. The line is composed of sequentially arranged workstations and a transport system which ensures a constant flow of parts along the workstations. This automatic handling system is generally composed of conveyors fixed on rails that transfer the part from one station to the next with holder robots for part loading and unloading at sta-

35.1 Machining Lines ................................... 35.1.1 Dedicated Transfer Lines ............. 35.1.2 Flexible Transfer Lines ................ 35.1.3 Reconfigurable Transfer Lines ...... 35.2 Machining Line Design .......................... 35.2.1 Challenges ................................ 35.2.2 General Methodology .................

600 600 601 602 603 603 604

35.3 Line Balancing ..................................... 35.4 Industrial Case Study ............................ 35.4.1 Description of the Case Study ....... 35.4.2 Mixed Integer Programming (MIP) 35.4.3 Computing Ranges for Variables ... 35.4.4 Reconfiguration of the Line ......... 35.5 Conclusion and Perspectives .................. References ..................................................

605 606 606 608 610 614 615 616

methodology for line configuration is given using an industrial case study of a flexible and reconfigurable transfer line.

tions. The transfer machining lines produce large series of identical or similar items. Automation of a machining line for a given product family (or reconfiguration of an existing line for a new product family) is a significant investment, and requires a long period for its design (often 18 months). Manufacturers have to invest heavily when installing these lines or for their reconfiguration. This investment influences to a large extent the cost of the finished products over the lifetime of the line. Therefore, profitability depends directly on the success of the line design or reconfiguration. Investment cost should be minimized and the configuration obtained should be as efficient as possible. Thus, optimization is a crucial issue at the transfer line design or reconfiguration stage. The design of transfer lines is comprised of several steps: product analysis, process planning, line config-

Part D 35

This chapter deals with automation of machining lines, sometimes called transfer lines, which are serial machining systems dedicated to the production of large series. They are composed of a set of workstations and an automatic handling system. Each workstation carries out one identical set of operations every cycle time. The design of transfer lines is comprised of several steps: product analysis, process planning, line configuration, transport system design, and line implementation. In this chapter, we deal with line configuration. Its design performance is crucial for companies to compete in the market. The main problem at this step is to assign the operations necessary to manufacture a product to different workstations while respecting all constraints (i. e., the line balancing problem). The aim is to minimize the cost of this line while ensuring a desired production rate. After a review of the existing types of automated machining lines, an illustration of a developed

600

Part D

Automation Design: Theory and Methods for Integration

uration, transport system design, and line implementation. In this chapter, we deal with line configuration. Its design performance is crucial for companies to compete in the market. As a rule, the configuration of a transfer line involves two principle steps: 1. choice of line type 2. logical synthesis of the manufacturing process, which consists of grouping the operations into stations (i. e., line balancing). In this chapter, we focus on the second step of this procedure, because the decisions made there define the principal characteristics of the line. An error at this time is too costly to rectify. A brief description of this problem is in order. Automated machining lines are composed of a set of serial workstations. The stations are visited in a given order. The line investment cost depends on the number of stations and equipment of each station. Both are defined via an assignment of operations to workstations. Usually, each task is characterized by: (1) its time, (2) a set of operations which must be assigned

before (precedence constraints), (3) a set of operations which must be executed on the same workstation (inclusion constraints), and (4) a set of operations which cannot be executed on the same workstation (exclusion constraints). Of course, in actual industrial problems, various additional specific constraints may have to be taken into account as well. Thus, at the line configuration stage, it is necessary to solve the line balancing problem, which consists of assigning the operations to workstations, minimizing the line investment cost while respecting the objective production rate as well as the aforementioned constraints. This chapter is organized as follows. In Sect. 35.1, the fundamental assumptions and existing types of automated machining lines are introduced. In Sect. 35.2, some challenges and a general methodology for design and reconfiguration of these lines are explained. In Sect. 35.3, the role and importance of line balancing at the design or reconfiguration stage are presented. Section 35.4 illustrates our approach and models on an industrial case study. Moreover, in this section, a novel and promising exact resolution method is suggested for balancing of machining lines with parallel machines and setup times.

Part D 35.1

35.1 Machining Lines A machining line is a production system composed of several sequential workstations; each workstation contains various machining equipment. A given set of operations is performed at each station to obtain the final product. The most frequent machining operations are:

• • • •

Drilling, to fabricate holes in parts Milling of shapes or removal of material with various milling cutters to form concave or convex grooves, etc. Tapping, which involves cutting internal screw threads in holes Boring to enlarge a hole that has already been drilled to precise dimensions.

A combination of these operations is usually needed to manufacture complex parts such as cylinder heads, cylinder blocks; see, for example, Fig. 35.1. The machining process has numerous specific properties that directly influence the organization of the automated machining lines. Because of the complex-

ity involved, special studies and decision-aid tools are required for competitive design and reconfiguration of these lines. There are three principal types of automated machining lines for large series, namely, dedicated, flexible, and reconfigurable transfer lines. Each of these has its own characteristics and assumptions, which will be briefly detailed in the following.

35.1.1 Dedicated Transfer Lines A dedicated transfer line (DTL) is the most economic form of machining systems with a large productivity and profitability if there is enough volume. DTLs are used for the production of a single type of product (or close variants) in large series: a large quantity of identical products is manufactured with the same sequences of operations on stations. The stations are arranged serially. Each station is equipped with multispindle heads. Each multispindle head executes several operations simultaneously. Depending on the architecture of the line, spindle heads can be activated at each station in parallel

Machining Lines Automation

35.1 Machining Lines

601

Fig. 35.2 A multispindle head for a dedicated transfer line (property of PCI/SCEMM)



Simplifying handling operations reduces the needs for flow management and production control. Disadvantages of these lines are:

• •

chining lines

or in sequence. An example of such a multispindle head is shown in Fig. 35.2. When customer demand is significant and stable for a number of years, this type of machining line is the most profitable solution. One of the design principles for the dedicated lines is the reduction of the cycle time and minimizing the amount of equipment (machines, spindle heads, tools, etc.) which, as mentioned above, has a direct influence on the reduction of the unit production cost. Principal advantages of dedicated transfer lines are:

• • •

High precision: these lines are designed to maximize the accuracy when machining of the part. Quality: there are no tool changes; therefore, once quality is established, it is stable. Mass production: the annual production can be in the millions.

Note that for these lines the criterion to be optimized is easy to identify and calculate: minimizing the investment cost. Moreover, the interest in studying DTLs lies in the fact that their structure represents a basic form of organization for other machining systems. Indeed, all the problems that appear during the optimization of a dedicated transfer line are present in the design of other automated machining lines.

35.1.2 Flexible Transfer Lines The flexible transfer line (FTL) is a special case of a flexible manufacturing system (FMS). The flexibility of a FMS is ensured thanks to the utilization of computer numerical control (CNC) machines (machining centers), automated transport, and warehousing systems with sophisticated control software [35.8]. An exam-

Part D 35.1

• Fig. 35.1 Examples of parts produced by automated ma-

The dedicated transfer lines demand large investments and must have a long lifetime to be profitable, the ramp up of the production is relatively long (2–4 weeks). Taking into account specific aspects of the product during the line design stage is possible, but once the line is defined it is very difficult to modify (line reconfiguration is costly). Breakdowns are a crucial problem; when a breakdown occurs in a single station, the entire line is stopped (in addition, if the corresponding operation did not end on time because of this breakdown, the product is automatically defective).

602

Part D

Automation Design: Theory and Methods for Integration

ple of a flexible machining center with the devices for change of tools is shown in Fig. 35.3. FMS can produce several types of products, belonging to a broad family. By family we mean products having comparable dimensions and similar geometric characteristics, as well as the same tolerances. These related products can be manufactured by the same equipment. Software takes care of possible changes by reprogramming the machining or rescheduling the products to be manufactured. There are three basic types of FMS [35.7]:





Flexible lines: these consist generally of sequentially arranged workstations with programmable CNC machines (machining centers) and are used especially for products with several product variations and a short lifetime for each variation. Flexible cells: such a system is composed of disconnected programmable cells, where each cell consists of one or several machining centers and carries out



processes that comprise complete or almost complete tasks. The number of distinct parts in such a cell is often restricted, from eight to ten. This is due to a limited capacity of the cells. Flexible systems: are composed of linked flexible cells. There are two types of linkage: (1) with a rigid sequence of linking (cells are connected in a given invariable order); (2) with linkages that can be adapted to any particular production and/or assembly process.

The main objective of flexible transfer lines (FTLs) is to be able to produce several variations of the same product in large series. These lines assure a quick passage from one variation to another. FTLs are also able to change production volumes, if necessary, within a given range. The ramp up of production is short (1–2 days). However, they present a certain number of drawbacks:

Part D 35.1

1. These systems are very expensive mainly because they are composed of CNC machines. These machines are designed for a forecasted family of parts and produced without optimal process planning for each actual part. At the line design stage, the machining specifications are not accurately known. Therefore, the designer tends to insert more functions than necessary. Obviously, this increases the cost. 2. The development of software for the line control system is also very expensive because this flexible equipment requires sophisticated rules of management for each machine as well as for the entire line. 3. Contrary to dedicated machines, which contain multispindle heads with fixed tools, CNC machines use single spindle heads with frequent tool changes. Therefore, it is often difficult to maintain the level of precision in machining operations equal to that of dedicated lines. 4. Owing to rapid technological advances, these sophisticated and costly machines are quickly subject to obsolescence. 5. Because of their complexity, these flexible transfer lines tend to be less reliable.

35.1.3 Reconfigurable Transfer Lines

Fig. 35.3 Machining center Meteor ML (property of

PCI/SCEMM)

The concept of reconfigurable manufacturing systems (RMS) was introduced in Koren et al. [35.9]. The authors highlight the industry’s new requirements for machining systems given the increasingly shorter product runs and the need for more customization. From the beginning, an RMS is designed to be able to make

Machining Lines Automation

changes in its physical configuration to answer market fluctuations in both volume and type of product. For RMS, and especially for reconfigurable transfer lines (RTL), the principal characteristics are:







Frequency of changes Flexible transfer lines Reconfigurable transfer lines Dedicated transfer lines

Production rate

Fig. 35.4 Trade-off between production rate and frequency of mar-

ket changes



Diagnosability: detects machine failure and identifies causes of unacceptable part quality.

RTLs are usually conceived when there is little or no knowledge of future production volume or product changes. In this sense, they can be viewed as a compromise between the DTL and FTL (see Fig. 35.4). On the other hand, as they allow hardware reconfiguration in addition to software reconfiguration of FTL, some authors judge them more flexible.

35.2 Machining Line Design We will now consider the preliminary design of transfer lines: corresponding challenges and general methodology.

35.2.1 Challenges Usually for this type of project, the procedure is as follows: a company (client) contacts the transfer line manufacturer. The client gives the parts properties (part plans, characteristics, etc.) and the required output (production rate). Then comes the critical phase: the manufacturer should quickly offer a complete preliminary design solution for the corresponding line in terms of line architecture, number of machines, etc., and an approximate line cost. The acceptance of this solution by the client, and consequently the continuation of the negotiation and further development of the project, depends on the quality of this early solution. The temporal

603

progress of the negotiation process and its critical phase are illustrated in Fig. 35.5. The manufacturer’s objective is to reduce the preliminary design time while minimizing the cost of the potential line. This is decisive, due to strong competition among manufacturers in this domain. Moreover, these lines are technically very complex and require huge investments. If a preliminary solution is more expensive than those of the competitors, then the contract (several hundred million euros) may be lost. If it is cheaper, then the manufacturer increases the chances of obtaining the contract. However, if the proposal is not feasible, because some constraints were not considered due to the lack of time, then this can generate additional costs for the manufacturer in correcting the solution. Therefore, this contract may be not profitable. Thus, the manufacturer is under a deadline to produce an initial feasible

Part D 35.2



Modularity: in a reconfigurable manufacturing system, all the major components are modular (system, software, control, machines, and process). Selection of basic modules and the way they can be connected provide systems that can be easily integrated, diagnosed, customized, and converted. Integrability: to aid in designing reconfigurable systems, a set of system configurations and their integration rules must be established. Initially, such rules were developed for configurable computing [35.10]. In the machining domain, these rules should allow designers to relate clusters of part features and their corresponding machining operations to workstations and machine modules, thereby enabling product–process integration. Customization: this characteristic distinguishes RMS from FMS and DTL, and can reduce system and machine costs. This type of system provides customized flexibility for a particular part family, and is open ended. Convertibility: rapid changeover between members of the existing part family and quick system adaptability for future products.

35.2 Machining Line Design

604

Part D

Automation Design: Theory and Methods for Integration

Preliminary solution: • Line architecture • Number of machines • Line cost

Customer order Beginning of the construction of the machining line

• Process planning • Line balancing Customer demand: • Part • Production rate • Possible process plans

• Negotiation with customer • Possible part modifications 0

6

t (months)

1–3 weeks

Fig. 35.5 Negotiation process: the critical phase

Part D 35.2

solution at the lowest possible cost within a very short time period. In addition, after the preliminary design, almost always the product to be manufactured undergoes some modifications during the stage of detailed design of the line. The line manufacturer must continuously take into account these modifications. Furthermore, modifications of the design solution are difficult and time consuming. Therefore, decisionaid models are eminently useful for the preliminary design and to take into account the modifications during the detailed design. We will now present the methodology as applied to one such decision-making process.

35.2.2 General Methodology Independent of the type of transfer line considered (dedicated, flexible or reconfigurable), its design demands an overall approach requiring the resolution of several interconnected problems [35.2]. Ideally, decisions relating to all these problems must be considered simultaneously. However, the total problem is very complex. Therefore, it is necessary to decompose this problem into several subproblems, each engendering less complex decisions [35.3]. Note that only the preliminary design stage is considered in this chapter, i. e., when all principal decisions are made concerning line architecture and its elements. Usually, this is followed by a detailed design (specifications for mechanical elements, tools, spindle heads, etc.), which is outside the scope of this chapter (see [35.4, 5] for a presentation). The following general steps can summarize the preliminary design process. Note that the importance of

each step depends on the type of transfer line considered. Some steps can be omitted.

• •







Product analysis: this gives a complete description of the operations that have to be executed for the future products. Process planning: covers the selection of processes required to transform raw parts into finished products. Here, technological constraints are defined. For instance, during process planning, partial order between operations, inclusion and exclusion constraints are established. This requires an accurate understanding of the functional specifications for the products and technological conditions for the operations. Configuration design and balancing problem: selection of the type of machining line and the resolution of the balancing problem, i. e., the allocation of operations to workstations in order to obtain the necessary production rate meeting demand while achieving the quality required. It is imperative to consider here all the constraints, particularly those of precedence. Dynamic flow analysis and transport system design: simulation is used to study the flow of products taking into account random events as well as variability in production. The objective is to analyze the dynamic flows and choose the material handling system as well as optimize the facilities layout, i. e., placement of machines. The decisions must be coherent with those defined at the previous steps. Detailed design and implementation of the line.

In addition, for flexible lines, a scheduling step also has to be considered [35.11]. After the implementation, if product and/or volume change, a similar analysis

35.3 Line Balancing

Definition of operations

Choice of line type

Process planning

Balancing the line

Detailed design and implementation of the line

Evaluation and simulation

New product

Fig. 35.6 Design of transfer lines

While this software was initially developed to design dedicated transfer lines, the general methodology is valid for all transfer lines (dedicated, flexible or reconfigurable). The difference is that for the dedicated transfer line it is applied once (at the preliminary design stage). In the case of flexible transfer lines, this tool should be used in real time, each time a new product is launched. For reconfigurable transfer lines, it is useful for each physical reconfiguration of the line. This approach is based on a set of engineering procedures, knowledge-based constraints, and some optimization techniques for transfer line balancing. The optimization techniques are the core (and originality) of this methodology, which is why, the rest of this chapter will consider this aspect.

35.3 Line Balancing As aforementioned, the line balancing (assignment of operation to workstations) is the key problem in the design of transfer lines. Historically, the line balancing problem was first stated for assembly lines. As far as we know, the earliest publication on assembly line balancing (ALBP) was presented by Salveson [35.13]. Furthermore, exhaustive studies were made by several researchers in the last 50 years, with many interesting applications covered. One comprehensive state of the art has been presented in a special issue [35.14]. Several articles provide broad surveys of this problem; see, for example [35.15–20]. To summarize, the ALBP is NP-hard; see, for example [35.21]. Much research has been generated to solve the problem by developing approximate or exact methods [35.22–32]. The problem of machining line balancing is rather recent. This problem was mentioned in [35.33]. In Dol-

605

gui et al. [35.34], it was defined for dedicated transfer lines and first called transfer line balancing problem (TLBP). Industry favors solving TLBP because the machining lines become too expensive otherwise. The TLBP consists of answering the following questions: 1. Which machining units are to be chosen to execute the required operations? 2. How many workstations are necessary? 3. How should the machining units be assigned to the stations? These questions can be answered by an intelligent assignment of operations and machining units to workstations, minimizing the line cost while satisfying the objective production rate as well as respecting all other constraints.

Part D 35.3

should be performed for the optimal reconfiguration of the transfer line (note that this is rarely considered for dedicated lines of mass production; more precisely, a reconfiguration of a dedicated line deals with specific engineering approaches). As illustrated in Fig. 35.6, these steps are executed sequentially. Of course, the designer can return to the previous steps as often as necessary (i. e., the decision-making process is iterative). Such a methodology was already implemented in a decision-aid software tool for the preliminary design of dedicated transfer lines [35.12]. The developed software includes a database of parameterized features for product analysis. The product analysis provides a set of features which will be used at the process planning step. The process planning generates several process plans with the best one chosen for each feature. A set of operations and constraints are obtained. Then, a type of transfer line is selected by considering the process plans, part dimensions, required productiveness, cost of equipment, variability and longevity of market demand, etc. For the obtained process plans and production system type, the corresponding line balancing problem is solved. Finally, an estimation of the cost of the production system is made. If the solution or the cost is unsatisfactory, the designer can modify the data and constraints and restart the procedure.

Machining Lines Automation

606

Part D

Automation Design: Theory and Methods for Integration

Several exact and approximate (or heuristic) methods for TLBP have been proposed. Exact methods are useful to better understand the problem, however for large-scale problems they require excessive computation time. Contrarily, approximate methods can provide quicker results but do not guarantee the optimality of solutions. Additionally, a heuristic algorithm is often easier to develop than optimal procedures. The most significant methods for an exact resolution of the TLBP are:

• •

• Part D 35.4

Linear programming in mixed variables: the problem is modeled as a mixed integer program and solved with an optimization tool such as ILOG Cplex [35.35–37]. Dynamic programming: a recursive method used for the resolution of problems having an additive objective function. Examples of this approach for TLBP are given in [35.33, 34, 38, 39], where the initial problems were transformed into constrained shortest-path problems and solved with appropriate algorithms. Branch and bound: an implicit enumerative procedure which avoids verifying all solutions. Several works use this approach for the resolution of the TLBP; see, for example, [35.40, 41].

Also, the column generation method can be used for TLBP. Indeed, it was already successfully used for assembly line balancing; see, for example, [35.42].

For large-scale problems, or when the allocated computing time is severely limited (e.g., for flexible transfer lines), several approximate methods have been designed. We classify these methods into two categories: 1. Heuristics based on priority rules derived from the methods for ALBP. There are several heuristic algorithms, which differ in the rule(s) used: – Ranked positioned weight (RPW) [35.22]): based on the weights of the operations calculated from their execution time and the operational times of their successors [35.43]. – Computer method of sequencing operations for assembly lines (COMSOAL) [35.24]): solutions are generated by assigning operations randomly to the stations [35.44–47]. 2. Metaheuristics, i. e., solving strategies applicable to a wide range of combinatorial optimization problems: – A multistart decomposition approach was suggested in [35.43, 48]. An example of machining line balancing via simulation can be found in [35.49]. Note that most of these methods were developed for dedicated transfer lines. In the next section, we will show how this approach can be applied to flexible and reconfigurable transfer lines. To illustrate, an industrial case study will be presented with a mixed integer programming model.

35.4 Industrial Case Study 35.4.1 Description of the Case Study In Fig. 35.7, the machining line considered in this case study is presented. This line is designed to manufacture automotive cylinder heads. It is equipped with CNC machines (machining centers) for the output of 1250 parts per day. All the machines are identical (line modularity principle), with some exceptions. In contrast to dedicated transfer lines with multispindle machines, here, each machine contains one spindle and a magazine for tools. For each machine, to pass from one operation to the next it is necessary to consider an additional time due to tool changes and displacements or/and the rotation of the part (setup time). Taking into account the fact that a part is held at a machine with some fixtures in a given position (part fixing and clamping), some faces

and elements of the part are not accessible for machining even after part displacement or rotation. Whatever positioning and clamping are chosen some areas on the part will be hidden or covered. Therefore, the choice of a part position for part fixing should be also considered in the optimization procedure. In Fig. 35.7, lines (1) represent the transport system composed of conveyors. Robots are used for part loading and unloading. The boxes (2) represent the CNC machines. Machines in a group aligned vertically represent a workstation. Then a workstation can comprise more than one machine; in this case, the same operations are duplicated and executed on different machines. With the parallel machines at each station, the line is easily reconfigurable. The line cycle time can be modified, if necessary, and even be shorter than the

Machining Lines Automation

35.4 Industrial Case Study

607

80 000

(2) 42000 (1)

• 1250 parts/day • 32 machines

(3)

Fig. 35.7 Schema of a line for machining cylinder heads (PCI/SCEMM)

• • • • •



Cycle time (takt time) imposed by the objective production rate: one part is produced at each cycle. Precedence constraints: relations of order between operations. These relations define feasible sequences of operations. Inclusion constraints: the need to carry out fixed groups of operations on the same workstation. Exclusion constraints: the impossibility of carrying out certain subsets of operations at the same workstation. Accessibility constraints: these are related to the positioning of the part; indeed, for a position some part sides are not accessible, and thus operations on these sides cannot be carried out without repositioning. In the considered machining line, only one part fixing position is defined for each workstation (part repositioning occurs between two stations). Sequence-dependent setup times: the time required for the execution of two sequential operations is not



equal to the sum of their times but also depends on the order in which they are done, because the time needed for the displacement/change of tool and part rotation are not negligible. Parallel machines: at each workstation several identical CNC machines are installed. Thus, the local cycle time of the workstation is equal to the number of parallel machines multiplied by the line cycle time (takt time). The machines of the same workstation execute the same operations (in parallel on different product units).

Hence, here, we have a special case of line balancing with a sequential execution of operations, setup times, parallel machines, as well as accessibility, exclusion, and inclusions constraints. The line of the case study can be regarded as reconfigurable. Indeed, while designed for the production of a single product, if there are changes on the product characteristics, the reconfiguration of this line is possible and easy thanks to:

• •

The use of standard and identical CNC machining centers, which simplifies the reallocation of operations to the workstations. At each station, machining centers can be added or eliminated as needed thanks to this modularity.

Part D 35.4

time of an operation. The boxes (3) represent dedicated stations for specific operations such as assembly or washing. To help the designer of this line, we developed a model for line balancing. The input data used were:

608

Part D

Automation Design: Theory and Methods for Integration

Now, we present a mixed integer programming (MIP) model for the design of this line for a given product. Furthermore, at the end of this section, we will give an extension of this model which can be used when reconfiguring the line for another product.

35.4.2 Mixed Integer Programming (MIP) To summarize the optimization problem, we will enumerate its main assumptions. The set of all operations N to be executed at the line is determined by the process plans for the product for which the line is designed. A part to be machined will pass through a sequence of workstations in the order of their installation. Each workstation is provided with at least one machine which carries out operations during the line cycle time. In the case where workload time of a workstation exceeds the line cycle time, parallel and identical machines are installed. In this case, the local cycle time is equal to the number of parallel machines multiplied by the line cycle time. All machines of the same station execute the same operations. There are four types of additional constraints on the assignment of the operations (as detailed earlier), namely:

Part D 35.4

• • • •

Precedence constraints Exclusion constraints Inclusion constraints Accessibility constraints.

i

til

i

l

• • •

tij

• •

• • • •

tlj

tli + tij ≠ til + tlj

Fig. 35.8 Sequence-dependent setup times

• • • • • • •

j

i, j for operations q for the place (order) of an operation in the sequence of assigned operations n for the number of parallel machines at a workstation k for the workstations a for the part fixing positions Parameters:



Mathematical Model We will introduce the following notations. tli

• •



The time required for the execution of two operations is not equal to the sum of their times but depends on the sequence in which they are executed (Fig. 35.8). The optimization problem consists of assigning operations to workstations to minimize the total number of machines on the line while respecting the given constraints.

l

Indexes:



j



N, the set of operations to be assigned (i, j = 1, . . ., |N|) A, the set of possible part positions for part fixing in a machining center; only one of these positions is chosen for each workstation; a part fixing position defines the accessibility constraints for the part (a = 1, . . ., |A|) l0 , the maximum number of operations authorized to be assigned to a workstation: each workstation created cannot contain more than l0 operations n 0 , the maximum number of machines on a workstation m 0 , the maximum number of workstations q0 = l0 · m 0 , the maximum number of possible assignments (places) for operations ti , the operational time for operation i (i = 1, . . . , |N|) tij , the setup time when operation j is processed directly after operation i at the same workstation T0 , the objective line cycle time (takt time) Pi , the set of direct predecessors of operation i Pi∗ , the set of all predecessors of i (direct and indirect predecessors) Fi∗ , the set of all successors of i (direct and indirect successors) ES, the collection of subsets e (e ⊂ N) of operations which must be imperatively assigned to the same workstation ES, the set of pairs of operations (i, j) which cannot be assigned to the same workstation A(i), the set of the possible part fixing positions for which the execution of operation i is possible S(k), the set of possible places for operations at workstation k; this set is given by an interval of indexes; the maximum possible interval is S(k) = {l0 (k − 1) + 1, l0 (k − 1) + 2, . . ., l0 k}; ∀k = 1, 2, . . ., m 0 K (i), the set of workstations on which operation i can be processed: K (i) ⊆ {1, 2, . . ., m 0 }

Machining Lines Automation

• • • • •

Q(i), the set of possible places for operation i in the sequence of all operations: Q(i) ⊆ {1, 2, . . ., l0 m 0 } N(k), the set of operations which can be processed at workstation k M(q), the set of operations which can be assigned to the place q in the sequence E i , the earliest workstation to which operation i can be assigned L i , the last workstation to which operation i can be assigned



• • •

xiq = 1, if operation i is in qth place (q is its order in the overall assignment sequence), otherwise xiq = 0; τq , the setup time required between operations assigned to the same workstation in place q and q + 1 (Fig. 35.9); ynk = 1, if there are n parallel machines at the workstation k, 0 otherwise; z ka = 1, if for the part of the workstation k the fixing position a is used, 0 otherwise.

n=1







Equation (35.6) assures that an operation is assigned to a place only if another operation is assigned to the preceding place of the sequence (there is no empty place in the sequence of assigned operations)



xi(q−1) ≥ xiq , i∈M(q−1)

n · ynk .

(35.1)

(35.6)



Equation (35.7) verifies that only one part fixing position is chosen for each workstation

z ka ≤ 1 , ∀k = 1, 2, . . . , m 0 . (35.7)



Equation (35.8) assures that accessibility constraints are respected (the part fixing position chosen for a workstation authorizes the execution of every operation assigned to this station)



xiq ≤ z ka , ∀k = 1, 2, . . . , m 0 , ∀i ∈ N .

τq = tij

Position q

Fig. 35.9 Definition of the parameter τq

(35.8)



Workstation k

i

a∈ A(i)

(35.2)

n=1

Position q–1

∀k = 1, 2, . . . , m 0 .

a∈ A

ynk ≤ 1, ∀k = 1, 2, . . . , m 0 .

τq–1 = tli

i∈M(q)

j Position q +1

Equation (35.9) calculates the additional time between operation i and operation j when operation j is processed directly after operation i at the same workstation τq ≥ tij · (xiq + x j(q+1) − 1) , ∀i ∈ M(q) , ∀ j ∈ M(q + 1) ,  ∀q ∈ S(k)\max S(k) , ∀ k = 1, 2, ..., m 0 .

(35.9)

Part D 35.4

n0 m0



The constraints (35.5) assure that a place in the sequence is occupied by only one operation

xiq ≤ 1 , ∀q = 1, 2, . . . , q0 . (35.5)

q∈S(k)

l

∀k = 1, 2, . . . , m 0 − 1 .

Equation (35.4) assures that each operation i is assigned once and only once

xiq = 1 , ∀i ∈ N . (35.4)

∀q ∈ S(k)\ min{S(k)} ,

Equation (35.2) verifies that there is only one value for the number of parallel machines on each workstation n0

yn(k+1) ,

n=1

i∈M(q)

k=1 n=1



n0

(35.3)

The objective function (35.1) minimizes the total number of machines Minimize

ynk ≥

q∈Q(i)

Note that, 3 operation is assigned to place q, it is 2 if an the q − ( q/l0 − 1) · l0 th operation of the workstation q/l0 ". The optimization model is as follows:



609

Equation (35.3) assures that a workstation is open only if the preceding workstation is also open n0

Variables:



35.4 Industrial Case Study

610

Part D

Automation Design: Theory and Methods for Integration



Equation (35.10) assures that the workload time of every workstation does not exceed the local cycle time, which corresponds to the number of installed parallel machines at this workstation multiplied by the objective cycle time of the line



τq + ti · xiq q∈S(k)\ max{S(k)} n0

≤ T0 ·

i∈N(k) q∈S(k)

n · ynk ,

∀k = 1, 2, . . . , m 0 .

n=1

(35.10)



Equation (35.11) defines the precedence constraints between operations



q · x jq ≤ q · xiq , ∀i ∈ N , ∀ j ∈ Pi . q∈Q( j)

q∈Q(i)

(35.11)



Part D 35.4





consequently to accelerate the search for an optimal solution. We propose a technique for calculating bounds for the possible indexes for the variables of the mathematical model. This can simplify the problem and thus reduce the calculation time. Taking into account the different constraints between operations, we can calculate the sets K (i), N(k), S(k), Q(i), and M(q) more precisely. Note that these sets give intervals of possible values for the corresponding indexes. The following additional notations can be defined:

• •

E i [r] is a recursive variable for the step by step calculation of the value of E i taking into account setup times between operations, r = 0, 1. L i [r] is a recursive variable for the step by step calculation of the value of L i taking into account setup times between operations, r = 0, 1.

Equation (35.12) represents the inclusion constraints



xiq = x jq ,

With Pi∗ , which is the set of all predecessors of operation i, and Fi∗ , which is the set of all successors of operation i, we can also introduce: q∈S(k)∩Q(i) q∈S(k)∩Q( j)    ∀i, j ∈ e , ∀e ∈ ES , ∀k ∈ K (i) . (35.12) • Spi [r]: the sum of the  Pi∗  − Ei [r] + 1 shortest setup times between the operations of the set Pi∗ ∪ Equation (35.13) represents the exclusion constraints {i} composed of operation i and all its predecessors,

(xiq + x jq ) ≤ 1 , i∈N    • Sf i [r]: the sum of the  Fi∗  − m 0 + L i [r] shortest q∈S(k) setup times between the operations of the set Fi∗ ∪ ∀ (i, j) ∈ ES , ∀k ∈ K (i) ∩ K ( j) . (35.13) {i} composed of operation i and all its successors, Equations (35.14)–(35.17) provide additional coni∈N • d[i, j]: a parameter (distance) which has the followstraints on the possible values of variables ing property: if (i, j) or ( j, i) ∈ ES, then d[i, j] = 1, (35.14) τq ≥ 0 , ∀q = 1, 2, . . . , q0 , else d[i, j] = 0. xiq ∈ {0, 1} , ∀i ∈ N , ∀q ∈ Q(i) , (35.15) The total operational time Tsum without considering the ynk ∈ {0, 1} , setup times between operations is calculated as follows ∀n = 1, 2, . . . , n 0 , ∀k = 1, 2, . . . , m 0 , (35.16)

z ka ∈ {0, 1} , ∀k = 1, 2, . . . , m 0 , ∀ a ∈ A . ti . Tsum = (35.17)

35.4.3 Computing Ranges for Variables The model (35.1–35.17) can be solved using a standard operational research solver, for example, ILOG Cplex. Nevertheless, the calculation time is prohibitive. The resolution time for the model (35.1–35.17) can be greatly decreased using efficient techniques to reduce the number of variables (the size of the model) and

i∈N

A lower bound on the number of workstations can be calculated by supposing that each workstation contains n 0 machines. Therefore, the local cycle time of each workstation is equal to (T0 · n 0 ). The line becomes a serial line composed of identical workstations with a cycle time which is equal to (T0 · n 0 ). Then, a lower bound on the number of workstations L Bws can be calculated as follows 2 3 L Bws = Tsum /(T0 · n 0 ) ,

Machining Lines Automation

2 3 where the notation x indicates the lowest integer value higher than or equal to x. In the same way, a lower bound on the number of machines in the line (L Bm ) can be determined by the following expression 3 2 L Bm = Tsum /T0 . Thus, the following procedure calculates the sets K (i), Q(i), M(q), N(k), and S(k). Note that the operations are numbered in order of precedence graph ranks (in topological order). Some lines are annotated with comments. The symbol “// ” is used to mark the beginning and the end of these comments. Algorithm Step 1 // step-by-step calculation of E i and L i , taking into account precedence constraints and setup times// for all i ∈ N do begin // calculate the earliest workstation E i [0] on which operation i can be processed taking into account the precedence constraints; note that an operation cannot be processed before its predecessors //5 4 ( t j )/(n 0 · T0 ) ; E i [0] ← (ti + j∈Pi∗

j∈Fi∗

// calculate E i [1], which are new values of E i obtained by taking into account in addition setup times between operations // 4 5 ( t j )/(n 0 · T0 ) ; E i [1] ← (ti + Spi [0] + j∈Pi∗

// calculate L i [1], which are new values of L i obtained by taking into account in addition setup times between operations 4 // 5 ( t j )/(n 0 · T0 ) + 1; L i [1] ← m 0 − (ti + S f i [0] + j∈Fi∗

 if E i [1] = E i [0] then E i ← max E i [0] + 1, 4 5 ( (ti + Spi [1] + (t j ))/(n 0 · T0 )

// updating the values of E i //

j∈Pi∗

else E i ← E i [1]; // updating the values of L i //

 if L i [1] = L i [0] then L i ← min L i [0] − 1,

else end



ti + S f i [1] +

L i ← L i [1];

( j∈Fi∗

611

5   t j /(n 0 · T0 ) + 1

Step 2 // step-by-step calculation of E i , taking into account exclusion and inclusion constraints// jcur ← 1; do jmin ← jcur ; jcur ← |N|; // new values of E i are calculated by considering exclusion constraints // for j ← jmin +  1, . . . , |N| do  E j ← max max∗ E i + d[i, j] , E j ; i∈P j

for each e ∈ ES begin E e ← max(E j ); j∈e

for each j ∈ e if E j < E e then begin // new value of E i is calculated, now taking into account an inclusion constraint// E j ← Ee ; jcur ← min{ jcur , j}; end end until jcur = |N|. Step 3 // step-by-step calculation of L i , taking into account inclusion and exclusion constraints // jcur ← |N|; do jmax ← jcur ; jcur ← 1; // new values of L i are calculated by considering exclusion constraints // for j ← jmax − 1, . . ., 1 do  L j ← min min∗ L i − d[ j, i] , L j ; i∈F j

for each e ∈ ES begin L e ← min(L j ); j∈e

for each j ∈ e if L j > L e then begin // new values of L i are again calculated, now taking into account inclusion constraints // L j ← L e; jcur ← max{ jcur , j};

Part D 35.4

// calculate the latest workstation L i [0] on which operation i can be processed considering the precedence constraints, note that an operation cannot be processed after its successors 4 // 5 ( t j )/(n 0 · T0 ) + 1; L i [0] ← m 0 − (ti +

4 m0 −

35.4 Industrial Case Study

612

Part D

Automation Design: Theory and Methods for Integration

Ei, El = 1

Ej, Ek, Em = 2

Eq = 3

i

l

j

k

m

q

ti

tl

tj

tk

tm

tq

Li, Ll, Lj = m0 –1

+

Lk, Lm, Lq = m0

i

l

j

k

m

q

ti

tl

tj

tk

tm

tq

Ei, El = 1

Ej, Ek = 2

Em, Eq = 3

til

tjk

tmq

ti Li = m0 –2

l

j

tl

tj

k

m

tk

tm

Li, Lj = m0 –1

i

l

ti

tl

tlj

q tq

Lk, Lm, Lq = m0

j

k

tj

tk

tkm

m

tmq

tm

q tq

Fig. 35.11 Modified values of E i and L i taking into account setup

times

Part D 35.4

end end until jcur = 1 . Step 4 // calculation of the sets K (i), N(k), S(k), Q(i), and M(q) // for all i ∈ N do K (i) ← [E i , L i ]; for k ← 1, 2, . . ., m 0 do

i

l

j

and i

k =1 k−1 ( 

k =1

   S(k ) ;

end for all i ∈ N  do    Q(i) ← min S(E i ) , max S(L i ) ; for q ← 1, 2, . . ., max{S(m 0 )} do M(q) ← {i|q ∈ Q(i)}; End of algorithm.

Fig. 35.10 An example of initial values for E i and L i

i

begin N(k) ← {i|i ∈ N, k ∈ K (i)};  k−1    (    S(k ), min  N(k), l0 S(k) ← 1 +

Some illustrations of the algorithm rules are presented in Figs. 35.10–35.13. Numerical Example In order to better explain the suggested algorithm, we present a numerical example with ten operations. Figure 35.14 shows the precedence graph and operational times. The objective line cycle time is: T0 = 16 units of time; the maximum number of stations m 0 = 6; the maximum number of machines to be installed on a station n 0 = 3; the maximum number of operations to be assigned to a station l0 = 8. The inclusion constraints are: ES = {(2, 4); (8, 9); (5, 6)}. The exclusion constraints are: ES = {(2, 7); (3, 4)}. The setup times are reported in Table 35.1. For example, the setup time t4,5 = 3 corresponds to the time that is required to perform operation 5 immediately after operation 4. ( ti = 161 units The total operational time, Tsum = i∈N

of time.

i

l

j Ej ≥ Ei + 1

or j

i

l

j

(i, j) ∈ ES

Fig. 35.12 An example of modifications of E i by considering an exclusion constraint

Machining Lines Automation

Ei, El = 1

i

Ej = 2

til

ti

l

j

tl

ti

Ej = 1 (l, j) ∈ ES

35.4 Industrial Case Study

613

El, Ej = 2

i

l

ti

tl

tlj

j ti

Fig. 35.13 An example of modification for E i by taking into account an inclusion constraint

16

10 1

14

2

7

18

19 8

12

18

3

16

4

21

9

10

17

5

6

Fig. 35.14 Precedence graph Table 35.1 Setup times i

j

1

2

3

4

5

6

7

8

9

10

– 5 1.5 4.5 4 25 4 3 3 1.5

4 – 3.5 4 4 1.5 3.8 2.5 4.9 4

4 1.5 – 3 5 3 3 4 4 1.5

3 1 2.5 – 5 1.2 1.8 3.4 2.3 4.6

2 1 1.2 3 – 1 4 3.2 1.6 3.7

1 2.5 3.4 1.5 2.5 – 3 2.4 3.6 2.2

1 3 4 3 2 2 – 1.6 3 2.7

2 3 4.2 2 2 2 4.7 – 1.2 1.2

1.5 3 3 4.5 4.5 3 4 2 – 4.8

1.5 3.5 2.2 1.8 1 4 1.4 4 3 –

A lower 2 bound on the 3 number of workstations is: L Bws = Tsum /(T0 · n 0 ) = 161/(16 · 3)" = 4. Thus, the optimal solution cannot have fewer than four workstations.

A lower bound 2 3 on the number of machines is: L Bm = Tsum /T 0 = 161/16" = 11. Then, the optimal solution cannot have fewer than 11 machines.

Ei = 1, Li = 4

Ei = 1, Li = 5

Ei = 1, Li = 5

1

2

7

Ei = 3, Li = 5

Ei = 4, Li = 6

Ei = 4, Li = 6

Ei = 1, Li = 4

Ei = 1, Li = 4

Ei = 2, Li = 5

Ei = 2, Li = 5

8

9

10

3

4

5

6

Fig. 35.15 Values of E i and L i obtained considering precedence constraints and setup times

Part D 35.4

1 2 3 4 5 6 7 8 9 10

614

Part D

Automation Design: Theory and Methods for Integration

Ei = 1

Ei = 2

Ei = 3

1

2

7

Ei = 4

Ei = 4

Ei = 4

Ei = 1

Ei = 2

Ei = 2

Ei = 2

8

9

10

3

4

5

6

Fig. 35.16 Values of E i considering exclusion and inclusion constraints Li = 4

Li = 4

Li = 5

1

2

7

Li = 5

Li = 5

Li = 6

Li = 3

Li = 4

Li = 5

Li = 5

8

9

10

3

4

5

6

Fig. 35.17 Values of L i considering exclusion and inclusion constraints

– Sets of operations N(k) for stations k = 1, 2, . . ., m 0 are defined (Table 35.3) – Range of places S(k) for operations of station k is calculated, k = 1, 2, . . ., m 0 (Table 35.4) – Finally, the range of places Q(i) for operation i is found, for all i ∈ N (Table 35.5).

Now, the procedure of range calculation for indexes is applied:

Part D 35.4

• • • •

Step 1 The initial values of E i and L i for each operation are calculated considering set-up times between operations and precedence constraints (Fig. 35.15). Step 2 The new values of E i are obtained by considering the exclusion and inclusion constraints (Fig. 35.16). Step 3 The new values of L i are calculated by considering the exclusion and inclusion constraints (Fig. 35.17). Step 4 – Sets K (i) for operations i ∈ N are obtained (Table 35.2)

35.4.4 Reconfiguration of the Line As indicated at the beginning of this section, the studied line is reconfigurable. After the implementation of the line, if there are changes in the product characteristics or if there is a new product to be machined, the line can be reconfigured. Such a reconfiguration problem consists of reassigning operations to the stations

Table 35.2 The ranges K (i) for the operations Operation i

1

2

3

4

5

6

7

8

9

10

K (i)

[1,4]

[2,4]

[1,3]

[2,4]

[2,5]

[2,5]

[3,5]

[4,5]

[4,5]

[4,6]

Table 35.3 The set of operations N(k) for each station Station k

1

2

3

4

5

6

N(k)

{1,3}

{1,2,3,4,5,6}

{1,2,3,4,5,6,7}

{1,2,4,5,6,7,8,9,10}

{5,6,7,8,9,10}

{10}

Table 35.4 The ranges of places S(k) for stations Station k

1

2

3

4

5

6

S(k)

[1,2]

[3,8]

[9,15]

[16,23]

[24,29]

[30,30]

Machining Lines Automation

35.5 Conclusion and Perspectives

615

Table 35.5 The ranges of places Q(i) for operations Operation i

1

2

3

4

5

6

7

8

9

10

Q(i)

[1,23]

[3,23]

[1,15]

[3,23]

[3,29]

[3,29]

[9,29]

[16,29]

[16,29]

[16,30]

while minimizing the number of additional machines and/or those that move from a workstation to another in order to rebalance the line. This problem is similar to the design problem considered in the previous sections. Therefore, the proposed MIP model (35.1–35.17) can be easily adapted for this new problem. The following modifications are made in the model (35.1–35.17): a new objective function is considered (35.1’) along with additional constraints (35.18) and a new set of variables (35.19) which represents the gap between the number of machines for each station in the

Old ), and their number in line before reconfiguration (ynk the line reconfigured.  m0 

δk+ + δk− , (35.1’) Minimize n0

k=1 n0

n · ynk −

n=1

Old n · ynk = δk+ − δk− ,

n=1

∀k = 1, 2, . . . , m 0 , δk+ , δk−

≥0,

∀k = 1, 2, . . . , m 0

(35.18) (35.19)

35.5 Conclusion and Perspectives In this chapter, written jointly by academic (Ecole des Mines de Saint Etienne) and industrial (PCI/SCEMM) partners, a general overview of the automated machining lines is presented. The principal characteristics of these lines and a general methodology for their design are introduced. This methodology is valid independent of the type of the line: dedicated, flexible or reconfigurable. The goal is to help machining line manufacturers to design efficient lines and become more competitive. On receipt of a customer demand for a line which includes a part description (plans, characteristics, etc.) and the required output, the machining line manufacturer must be able to propose a complete solution within a very short time interval. This preliminary solution concerns the line architecture, number of machines, and equipment, with a line cost evaluation. A major difficulty deals with line balancing, which is a hard combinatorial optimization problem. All types of transfer lines are concerned. In this chapter, a short survey of general line balancing approaches is given. The methods developed for the balancing of the automated machining lines are enumerated and commented. Then, an industrial case study is presented. It illustrates and highlights the importance of the line balancing problem. Afterwards, a mixed integer program for the considered case is proposed. The presented model and approach are useful from a practical perspective. They generate more appropriate preliminary solutions to customer needs within a very short timeframe. From an academic

Part D 35.5

Transfer lines are used in many manufacturing domains, especially in machining systems, to efficiently effectuate high-quality and economical production. In today’s competitive business environment, several manufacturers have opted for transfer lines to benefit from their advantages, namely precision, quality, productivity, reduction of handling cost, etc. However, transfer lines also present some drawbacks, such as requiring a large investment. Normally, transfer lines are highly automated, but the level of automation depends on the type of customer demand. Three types of transfer lines exist: dedicated, flexible, and reconfigurable. Dedicated lines are composed of workstations with multispindle heads. Flexible transfer lines have several types of CNC machines. Reconfigurable lines offer a mix of different types of machines (special machines, CNC machines, machining units, etc.) and can have different architectures (simple line, U-line, parallel stations, etc.). With increasing technological progress and development of ever more sophisticated and efficient machining equipment, the problem of automated machining line design is exceptionally pertinent. Indeed, the concepts for machining lines are continuously improved through the development of new types of architectures and machines. Unfortunately, there is a gap between industrial cases and research problems treated. In contrast with assembly systems, in the domain of machining lines, the gap is often due to the lack of collaboration between the industrial and academic worlds.

616

Part D

Automation Design: Theory and Methods for Integration

point of view, this is a new formulation of the line balancing problem with sequence-dependent setup times and parallel machines. For future research work, beside the improvement of the models and resolution algorithms, numerous research perspectives have yet to be studied in this field. Among them, the combination of optimization methods

and discrete-event simulation seems promising. Simulation is a powerful method to illustrate and study the flow of material on the line and to determine the effect of its architecture on line reliability and performance. Also, the development of interactive and iterative software could provide useful decision-aid systems for industry.

References 35.1

35.2

35.3 35.4

35.5

35.6 35.7

Part D 35

35.8 35.9

35.10 35.11

35.12

35.13 35.14

35.15

35.16

M.P. Groover: Automation, Production Systems and Computer Integrated Manufacturing (Prentice Hall, Eaglewood Cliffs 1987) R.G. Askin, C.R. Standridge: Modeling and Analysis of Manufacturing Systems (Wiley, New York 1993) K. Hitomi: Manufacturing System Engineering (Taylor & Francis, London 1996) A.I. Dashchenko (Ed.): Manufacturing Technologies for Machines of the Future: 21st Century Technologies (Springer, Berlin, Heidelberg 2003) A.I. Dashchenko (Ed.): Reconfigurable Manufacturing Systems and Transformable Factories (Springer, Berlin, Heidelberg 2006) A. Dolgui, J.M. Proth: Les syste`mes de production modernes (Hermes-Science, Paris 2006) S.Y. Nof, W.E. Wilhelm, H.J. Warnecke: Industrial Assembly (Chapman Hall, London 1997) A. Kusiak: Modelling and Design of Flexible Manufacturing Systems (Elsevier, Amsterdam 1986) Y. Koren, U. Heisel, F. Javane, T. Moriwaki, G. Pritchow, H. Van Brussel, A.G. Ulsoy: Reconfigurable manufacturing systems, CIRP Ann. 48(2), 527–598 (1999) J. Villasenor, W.H. Mangione–Smith: Configurable computing, Sci. Am. 276(6), 66–71 (1997) G.W. Zhang, S.C. Zhang, Y.S. Xu: Research on flexible transfer line schematic design using hierarchical process planning, J. Mater. Process. Technol. 129, 629–633 (2002) A. Dolgui, O. Guschinskaya, N. Guschinsky, G. Levin: Decision making and support tools for design of machining systems. In: Encyclopedia of Decision Making and Decision Support Technologies, Vol. 1, ed. by F. Adam, P. Humphreys, (Idea Group, Hershey 2008) pp. 155–164 M.E. Salveson: The assembly line balancing problem, J. Ind. Eng. 6(4), 18–25 (1955) A. Dolgui (Ed.): Feature cluster on the balancing of assembly and transfer lines, Eur. J. Op. Res. 168(3), 663–951 (2006) I. Baybars: A survey of exact algorithms for the simple assembly line balancing problem, Manag. Sci. 32(8), 909–932 (1986) S. Ghosh, R.J. Gagnon: A comprehensive literature review and analysis of the design, balancing and

35.17

35.18

35.19

35.20

35.21

35.22

35.23

35.24

35.25 35.26

35.27 35.28

35.29

35.30

35.31

scheduling of assembly line systems, Int. J. Prod. Res. 27, 637–670 (1989) E. Erel, S.C. Sarin: A survey of the assembly line balancing procedures, Prod. Plan. Control 9(5), 414–434 (1998) B. Rekiek, A. Dolgui, A. Delchambre, A. Bratcu: State of the art of assembly lines design optimisation, Annu. Rev. Control 26(2), 163–174 (2002) N. Boysen, M. Fliedner, A. Scholl: A classification of assembly line balancing problems, Eur. J. Oper. Res. 183(2), 674–693 (2007) N. Boysen, M. Fliedner, A. Scholl: Assembly line balancing: which model to use when?, Int. J. Prod. Econ. 111, 509–528 (2008) T.K. Bhattachajee, S. Sahu: Complexity of single model assembly line balancing problems, Eng. Costs Prod. Econ. 18, 203–214 (1990) W.P. Helgeson, D.P. Birnie: Assembly line balancing using the ranked positional weight technique, J. Ind. Eng. 12, 394–398 (1961) C.L. Moodie, H.H. Young: A heuristic method for assembly line balancing for assumption of constant or variable elements time, J. Ind. Eng. 16, 23–29 (1965) A.L. Arcus: COMSOAL: a computer method of sequencing operations for assembly lines, Int. J. Prod. Res. 4(4), 259–277 (1966) F.F. Boctor: A multiple-rule heuristic for assembly line balancing, J. Oper. Res. Soc. 46, 62–69 (1995) B. Rekiek, P. De Lit, A. Delchambre: Designing mixed-product assembly lines, IEEE Trans. Robot. Autom. 16(3), 414–434 (1998) A. Scholl: Balancing and Sequencing of Assembly Lines (Physica, Heidelberg 1999) M. Amen: Heuristic methods for cost-oriented assembly line balancing, A comparison on solution quality and computing time, Int. J. Prod. Econ. 69, 255–264 (2001) M. Amen: Heuristic methods for cost oriented assembly line balancing, a survey, Int. J. Prod. Econ. 68, 1–14 (2000) J. Bukchin, M. Tsur: Design of flexible assembly line to minimize equipment cost, IIE Trans. 32, 585–598 (2000) J. Bukchin, A. Rubinovitz: A weighted approach for assembly line design with station parallel-

Machining Lines Automation

35.32

35.33

35.34

35.35

35.36

35.37

35.39

35.40

35.41

35.42

35.43

35.44

35.45

35.46

35.47

35.48

35.49

tions with sequentially activated multi-spindle heads, Eur. J. Op. Res. (2007) available online, doi:10.1016/j.ejor.2008.03.028, (in press) A. Dolgui, I. Ihnatsenka: Balancing modular transfer lines with serial-parallel activation of spindle heads at stations, Discret. Appl. Math. 157(1), 68– 89 (2009) W.E. Wilhelm: A column-generation approach for the assembly system design problem with tool changes, Int. J. Flex. Manuf. Syst. 11, 177–205 (1999) O. Guschinskaya, A. Dolgui, N. Guschinsky, G. Levin: A heuristic multi-start decomposition approach for optimal design of serial machining lines, Eur. J. Oper. Res. 189(3), 902–913 (2008) B. Finel: Structuration de lignes d’usinage: méthodes exactes et heuristiques. Ph.D. Thesis (Université de Metz, Metz 2004), in French A. Dolgui, B. Finel, N. Guschinsky, G. Levin, F. Vernadat: An heuristic approach for transfer lines balancing, J. Intell. Manuf. 16(2), 159–171 (2005) B. Finel, A. Dolgui, F. Vernadat: A random search and backtracking procedure for transfer line balancing, Int. J. Comput. Integr. Manuf. 21(4), 376–387 (2008) M. Essafi, X. Delorme, A. Dolgui: A heuristic method for balancing machining lines with paralleling of stations and sequence-dependent setup times, Proc. Int. Workshop LT’2007 (Sousse 2007) pp. 349– 354 O. Guschinskaya: Outils d’aide à la décision pour la conception en avant-projet des systèmes d’usinage à boîtiers multibroches. Ph.D. Thesis (Ecole des Mines de Saint Etienne, Saint Etienne 2007), in French S. Masood: Line balancing and simulation of an automated production transfer line, Assem. Autom. 26(1), 69–74 (2006)

617

Part D 35

35.38

ing and equipment selection, IIE Trans. 35, 73–85 (2002) C. Andrés, C. Miralles, R. Pastor: Balancing and scheduling tasks in assembly lines with sequencedependent setup times, Eur. J. Oper. Res. 187(3), 1212–1223 (2008) J. Szadkowski: Critical path concept for multi-tool cutting processes optimization. In: Manufacturing Systems Modeling, Management and Control: Proceedings of the IFAC Workshop, ed. by P. Kopacek (Elsevier, Vienna 1997) pp. 393–398 A. Dolgui, N. Guschinski, G. Levin: On problem of optimal design of transfer lines with parallel and sequential operations, Proc. 7th IEEE Int. Conf. Emerg. Technol. Fact. Autom. (ETFA’99), Vol. 1, ed. by J.M. Fuertes (IEEE, Barcelona 1999) pp. 329– 334 S. Belmokhtar: Lignes d’usinage avec équipements standards: modélisation, configuration et optimisation. Ph.D. Thesis (Ecole des Mines de Saint Etienne, Saint Etienne 2006), in French S. Belmokhtar, A. Dolgui, N. Guschinsky, G. Levin: An integer programming model for logical layout design of modular machining lines, Comput. Ind. Eng. 51(3), 502–518 (2006) A. Dolgui, B. Finel, N. Guschinsky, G. Levin, F. Vernadat: MIP approach to balancing transfer lines with blocks of parallel operations, IIE Trans. 38, 869–882 (2006) A. Dolgui, N. Guschinsky, G. Levin: A Special case of transfer lines balancing by graph approach, Eur. J. Oper. Res. 168(3), 732–746 (2006) A. Dolgui, N. Guschinsky, G. Levin, J.M. Proth: Optimisation of multi-position machines and transfer lines, Eur. J. Oper. Res. 185(3), 1375–1389 (2008) A. Dolgui, I. Ihnatsenka: Branch and bound algorithm for a transfer line design problem: sta-

References

“This page left intentionally blank.”

619

Large-Scale C 36. Large-Scale Complex Systems

Florin-Gheorghe Filip, Kauko Leiviskä

There is not yet a universally accepted definition of the large-scale complex systems (LSS) though the LSS movement started more than 40 years ago. However, by convention, one may say that a particular system is a large and complex one if it possesses one or several characteristic features. For example, according to Tomovic [36.1], the set of LSS characteristics includes the structure of interconnected subsystems and the presence of multiple objectives, which, sometimes, are vague and even conflicting. A similar viewpoint is proposed by Mahmoud, who describes a LSS as [36.2]:

36.1 Background and Scope.......................... 620 36.1.1 Approaches ................................. 621 36.1.2 History ........................................ 622 36.2 Methods and Applications ..................... 622 36.2.1 Hierarchical Systems Approach....... 622 36.2.2 Other Methods and Applications .... 626 36.3 Case Studies ......................................... 36.3.1 Case Study 1: Pulp Mill Production Scheduling..... 36.3.2 Case Study 2: Decision Support in Complex Disassembly Lines ........ 36.3.3 Case Study 3: Time Delay Estimation in Large-Scale Complex Systems.....

632 632 633 634

36.4 Emerging Trends .................................. 634 References .................................................. 635

meant to enable effective cooperation between man and machine and among the humans in charge with LSS management and control are briefly exposed. The chapter concludes by presenting several technology trends in LSS.

A system which is composed of a number of smaller constituents, which serve particular functions, share common resources, are governed by interrelated goals and constraints and, consequently, require more than one controllers. ˇ Siljak [36.3] states that a LSS is characterized by its high dimensions (large number of variables), constraints in the information infrastructure, and the presence of uncertainties. At present there are software products on the market which can be utilized

Part D 36

Large-scale complex systems (LSS) have traditionally been characterized by large numbers of variables, structure of interconnected subsystems, and other features that complicate the control models such as nonlinearities, time delays, and uncertainties. The decomposition of LSS into smaller, more manageable subsystems allowed for implementing effective decentralization and coordination mechanisms. The last decade revealed new characteristic features of LSS such as the networked structure, enhanced geographical distribution and increased cooperation of subsystems, evolutionary development, and higher risk sensitivity. This chapter aims to present a balanced review of several traditional well-established methods and new approaches together with typical applications. First the hierarchical systems approach is described and the transition from coordinated control to collaborative schemes is highlighted. Three subclasses of methods that are widely utilized in LSS – decentralized control, simulation-based, and artificial-intelligencebased schemes – are then reviewed. Several basic aspects of decision support systems (DSS) that are

620

Part D

Automation Design: Theory and Methods for Integration

to solve optimization problems with thousands of variables. A good example is Solver.com [36.4]. Complications may still be caused by system non-

linearities, time delays, and different time constants, and, especially over recent years, risk sensitivity aspects.

36.1 Background and Scope In real life one can encounter lots of natural, manmade, and social entities that can be viewed as LSS. From the early years of the LSS movement, the LSS class has included several particular subclasses such as: steelworks, petrochemical plants, power systems, transportation networks, water systems, and societal organizations [36.5–7]. Interest in designing effective control schemes for such systems was primarily motivated by the fact that even small improvements in the LSS operations could lead to large savings and important economic effects. The structure of interconnected subsystems has apparently been the characteristic feature of LSS to be found in the vast majority of definitions. Several subclasses of interconnections can be noticed (Fig. 36.1). a)

m1

w1

w2

m2 y1

u1

SSy1

Resources

y2

u2

Part D 36.1

b)

SSy2

m1

w1

w2

m2 y1

u1

z1

SSy1

z2 u2

c)

m1

w1

w2

u = h (z) y2

SSy2

m2 y1

u1

z1

SSy1

z2 u2

SSy2

s = g (s, z) u = h (s)

y2

Fig. 36.1a–c Interconnection patterns: (a) resource sharing, (b) direct interconnection, (c) flexible interconnection; SSy = subsystem, m = control variable, y = output variable, w = disturbance, u = interconnection input, z = interconnection output, g(·) = stock dynamics function, h(·) = interconnection function

First there are the resource sharing interconnections described by Findeisen [36.8], which can be identified at the system level as remarked by Takatsu [36.9]. Also, at the system level, subsystems may be interconnected through their common objectives [36.8]. Subsystems may also be interconnected through buffer units (tanks), which are meant to attenuate the effects of possible differences in the operation regimes of plants which feed or drain the stock in the buffer. This type of flexible interconnection can frequently be met in large industrial and related systems such as refineries, steelworks, and water systems [36.10]. The dynamics of the stock value s in the buffer unit can be modeled by a differential equation. In some cases buffering units are not allowed because of technological reasons; for example, electric power cannot be stocked at all and reheated ingots in steelworks must go immediately to rolling mills to be processed. When there are no buffer units, the subsystems are coupled through direct interconnections, at the process level [36.9]. In the 1990s, integration of systems continued and new paradigms such as the extended/networked/virtual enterprise were articulated to reflect real-life developments. In this context, Mårtenson [36.11] remarked that complex systems became even more complex. She provided several arguments to support her remark: first, the ever larger number of interacting subsystems that perform various functions and utilize technologies belonging to different domains such as mechanics, electronics, and information and communication technologies (ICT); second, that experts from different domains can encounter hard-to-solve communication problems; and also, that people in charge of control and maintenance tasks, who have to treat both routine and emergence situations, possess uneven levels of skills and training and might even belong to different cultures. Nowadays, Nof et al. show that [36.12]: There is the need to create the next generation manufacturing systems with higher levels of flexibility, allowing these systems to respond as a component of enterprise networks in a timely manner to highly dynamic supply-and-demand networked markets.

Large-Scale Complex Systems

36.1 Background and Scope

621

Table 36.1 Summary of methods described in this chapter

Decomposition-coordination-based methods Optimization-based methods Decentralized control

Simulation-based methods

Intelligent methods • Fuzzy logic • Neural networks • Genetic algorithms • Agent-based methods

Mesarovic, Macko, Takahara [36.7]; Findeisen et al. [36.16]; Titli [36.17]; Jamshidi [36.6]; Brdys, Tatjewski [36.18] Dourado [36.19]; Filip, et al. [36.20]; Filip et al. [36.21]; Guran et al. [36.22]; Peterson [36.23]; Tamura [36.24] Aybar et al. [36.25]; Bakule [36.26]; Borrelli et al. [36.27]; Inalhan et al. [36.28]; Krishnamurthy et al. [36.29]; ˇ ˇ Langbort et al. [36.30]; Siljak et al. [36.31]; Siljak et al. [36.32] Arisha and Yong [36.33]; Chong et al. [36.34]; Filip et al. [36.20]; Gupta et al. [36.35]; Julia and Valette [36.36]; Lee et al. [36.37]; Leivisk¨a et al. [36.38, 39]; Liu et al. [36.40]; Ramakrishnan et al. [36.41]; Ramakrishnan and Thakur [36.42]; Taylor [36.43] Ichtev [36.44]; Leivisk¨a [36.45]; Leivisk¨a and Yliniemi [36.46]; Arisha and Yong [36.33]; Azhar et al. [36.47]; Hussain [36.48]; Liu et al. [36.49] Dehghani et al. [36.50]; El Mdbouly et al. [36.51]; Liu et al. [36.40] Akkiraju et al. [36.52]; Hadeli et al. [36.53]; Heo and Lee [36.54]; Maˇrı´k and Laˇzansk y´ [36.55]; Park and Lim [36.56]; Parunak [36.57]

of these recent developments are likely to provide fresh strong stimuli for new research in the LSS domain.

36.1.1 Approaches The progresses made in information and communication technologies have enabled the designer to overcome several difficulties he might have encountered when approaching a LSS, in particular those caused by a large number of variables and the low performance (with respect to throughput and reliability) of communication links. However, as Cassandras points out [36.58]: The complexity of systems designed nowadays is mainly defined by the fact that computational power alone does not suffice to overcome all difficulties encountered in analyzing, planning and decisionmaking in the presence of uncertainties. A plethora of methods have been proposed over the last four decades for managing and controlling large-scale complex systems such as: decomposition, hierarchical control and optimization, decentralized control, model reduction, robust control, perturbation-

Part D 36.1

They also emphasize that e-Manufacturing is highly dependent on the efficiency of collaborative human– human and human–machine e-Work. See Chap. 88 on Collaborative e-Work, e-Business, and e-Service. In general, there is a growing trend to understand the design, management, and control aspects of complex supersystems or systems of systems (SoS). Systems of systems can be met in space exploration, military and civil applications such as computer networks, integrated education systems, and air transportation systems. There are several definitions of SoS, most of them being articulated in the context of particular applications; for example, Sage and Cuppan [36.13] state that a SoS is not a monolithic entity and possesses the majority of the following characteristics: geographic distribution, operational and management independence of its subsystems, emergent behavior, and evolutionary development. All these developments obviously imply ever more complex control and decision problems. A particular case which has received a lot of attention over recent years is large-scale critical infrastructures (communication networks, the Internet, highways, water systems, and power systems) that serve not only the business sector but society in general [36.14, 15]. All

622

Part D

Automation Design: Theory and Methods for Integration

based techniques, usage of artificial-intelligence-based techniques, integrated problems of system optimization and parameter estimation [36.59], and so on. Two common ideas can be found in the vast majority of approaches proposed so far: a) Replacing the original problem with a set of simpler ones which can be solved with the available tools and accepting the satisfactory, near optimal solutions b) Exploiting the particular structure of each system to the extent possible. Table 36.1 presents a summary of the main methods to be described in this chapter.

36.1.2 History Though several ideas and methods for controlling LSSs were proposed in the 1960s and even earlier, it is accepted by many authors that the book of Mesarovic et al. published in 1970 [36.7] triggered the LSS move-

ment. The concepts revealed in that book, even though they were strongly criticized in 1972 by Varaiya [36.60] (an authority among the pioneers of the LSS movement), have inspired many academics and practitioners. A series of books including those of Wismer [36.61], Titli [36.17], Ho and Mitter [36.62], Sage [36.63], ˇ Siljak [36.3, 64], Singh [36.65], Findeisen et al. [36.16], Jamshidi [36.6], Lunze [36.66], and Brdys and Tatjewski [36.18] followed on and contributed to the consolidation of the LSS domain of research and paved the way for practical applications. In 1976, the first International Federation of Automatic Control (IFAC) conference on Large-Scale Systems: Theory and Applications was held in Udine, Italy. This was followed by a series of symposia which were organized by the specialized Technical Committee of IFAC and took place in various cities in Europe and Asia (Toulouse, Warsaw, Zurich, Berlin, Beijing, London, Patras, Bucharest, Osaka, and Gdansk). The scientific journal Large Scale Systems published by North Holland played an important role in the development of LSS domain, especially in the 1980s.

36.2 Methods and Applications 36.2.1 Hierarchical Systems Approach

Part D 36.2

The central idea of the hierarchical multilevel systems (HMS) approach to LSS consists of replacing the original system (and the associated control problem) with a multilevel structure of smaller subsystems (and associated less complicated problems). The subproblems at the bottom of the hierarchy are defined by the interventions made by the higher-level subproblems, which in turn utilize the feedback information they receive from the solutions of the lower-level subproblems. There are three main subclasses of hierarchies which can be obtained in accordance with the complexity of description, control task, and organization [36.7]. Levels of Description The first step in analyzing an LSS and designing the corresponding control scheme consists of model building. As Steward [36.67] points out, practical experience witnessed there is a paradoxical law of systems. If the description of the plant is too complicated, then the designer is tempted to consider only a part of the system or a limited sets of aspects which characterize its behavior. In this case it is very likely that the very ignored parts

and aspects have a crucial importance. Consequently it emerges that more aspects should be considered, but this may lead to a problem which is too complex to be solved in due time. To solve the conflict between the necessary simplicity (to allow for the usage of existing methods and tools with a reasonable consumption of time and other computer resources) and the acceptable precision (to avoid obtaining wrong or unreliable results), the LSS can be represented by a family of models. These models reflect the behavior of the LSS as viewed from various perspectives, called [36.7] levels of description or strata, or levels of influence [36.63, 68]. The description levels are governed by independent laws and principles and use different sets of descriptive variables. The lower the level is, the more detailed the description of a certain entity is. A unit placed on the n-th level may be viewed as a subsystem at level n − 1. For example, the same manufacturing system can be described from the top stratum in terms of economic and financial models, and, at the same time, by control variables (states, controls, and disturbances) as viewed from the middle stratum, or by physical and chemical variables as viewed from the bottom description level (Fig. 36.2).

Large-Scale Complex Systems

Levels of Control In order to act in due time even in emergency situations, when the available data are uncertain and the decision consequences are not fully explored and evaluated, a hierarchy of specialized control functions can be an effective solution as shown by Eckman and Lefkowitz [36.70]. Several examples of sets of levels of control are:

a) Regulation, optimization, and organization [36.71] b) Direct control, supervisory control, optimization, and coordination [36.72] c) Stabilization, dynamic coordination, static optimization, and dynamic optimization [36.8] d) Measurement and regulation, production planning and scheduling, and business planning [36.73]. The levels of control, also called layers by Mesarovic et al. [36.7], can be the result of a time-scale decomposition. They can be defined on the basis of time horizons taken into consideration, or the frequency of disturbances which may show up in process variables, operation conditions, parameters, and structure of the plant as stated by Schoeffler [36.68], as shown in Fig. 36.2.

36.2 Methods and Applications

623

Levels of Organization The hierarchies based on the complexity of organization were proposed in mid 1960s by Brosilow et al. [36.74] and Lasdon and Schoeffler [36.75] and were formalized in detail by Mesarovic et al. [36.7]. The hierarchy with several levels of organization, also called echelons by Mesarovic et al. [36.7], has been, for many years, a natural solution for management of large-scale military, industrial, and social systems, which are made up of several interconnected subsystems when a centralized scheme cannot be either technically possible or economically acceptable. The central idea of the multiechelon hierarchy is to place the control/decision units, which might have different objectives and information bases, on several levels of a management and control pyramid. While the multilayer systems implement the vertical division of the control effort, the multiechelon systems include also a horizontal division of work. Thus, on the n-th organization level the i-th control unit, CUin , has limited autonomy. It sends coordination signals downwards to a well-defined subset of control units which are placed at the level n − 1 and it receives coordination signals from the corresponding unit placed

Organization layers (echelons) Description levels (strata)

Part D 36.2

General management Production control Unit process control

Economic representations Control models Physical variables Control levels (layers) Regulation Optimization

Disturbance frequency in … process variables … input variables

Organization

… plant structure

Fig. 36.2 A hierarchical system approach applied to an industrial plant (after [36.69])

624

Part D

Automation Design: Theory and Methods for Integration

a) Transformations, which are meant to substitute the original large-scale complex problem by a more manipulable one b) Decompositions, which are meant to replace a largescale problem by a number of smaller subproblems.

Coordinator α1

α2

α3

Level 2 β1

β2 CU2

CU1 y1

Level 1

u1

β3 CU3

y2

m1

y3

m2

m3

u2 SSy1

z1

u3 z2

SSy2

SSy3

z3 y

w

In the sequel several elementary manipulations will be reviewed following the lines exposed by Wilson [36.76]. The variable transformation replaces the original problem (P1) by an equivalent one (P2) through the utilization of a new variable y = f (x) and a new performance measure Q(y) and admissible domain Y , so that there is the inverse function v = f −1 (v). The new problem is defined as (P2) :

H

extr Q(y) ; y

(y ∈ Y ) , (∀y) = f (v)[Q(y) = J(v)] . (36.2)

Fig. 36.3 A simple two-level multilevel control system (CU =

control unit, SSy = controlled subsystem, H = interconnection function, m = control variable, u = input interconnection variable, z = output interconnection variable, y = output variable, w = disturbance)

The Lagrange transformation can simplify the admissible domain; for example, let the domain V be defined by complicated equalities and inequalities  (36.3) V = v : (v ∈ V1 ) , (g0 (v) , g− (v) ≤ 0) ,

on level n + 1. The unit on the top of the pyramid is called the supremal coordinator and the units to be found at the bottom level are called infimal units.

where V1 is a certain set, g0 and g− represent equality and inequality constraints, respectively. A Lagrangian can be defined

Part D 36.2

Manipulation of Complex Mathematical Problems To take advantage of possible benefits of hierarchical multilevel systems a systematic decomposition of the original large-scale system and associated control problem is necessary. There are many situations when the control problem may be formulated as (or reduced to) an optimization problem (P1), which is, defined in general terms as

(P1) :

extr J(v) ; v

v∈V ,

(36.1)

where v is the decision variable (a scalar, or a vector), V is the admissible variation domain (which can be defined by differential or difference equations and/or algebraic inequations), and J is the performance measure (which can be a function or a functional). The decomposition methods are based on various combinations of several elementary manipulations [36.76]. There are two main subsets of elementary manipulations:

L(v, π, γ ) = J(v) + π, g0 (v) − γ , g− (v) , (36.4) where π are the Lagrange multipliers, γ are the Kuhn– Tucker multipliers, and ·, · is the scalar product. If L possesses a saddle point, the solution of (P1) is also the solution of the transformed problem (P2) defined as (P2) :

max min[L(v, π, γ )] ; v ∈ V1 . πγ

v

(36.5)

The manipulation called evolving the problem is utilized when not all parameters are known or the priorities and the constraints are subject to alteration in time. In such situations, the problem is solved even under uncertainties and then is reformulated to take into account the accumulation of new information. The repetitive control proposed by Findeisen et al. [36.16] is based on such a transformation. Having transformed the original problem into a convenient form, a subset of smaller subproblems can be obtained through decomposition as shown in the sequel. The partitioning of the large-scale problem can be applied if several subsets of independent variables can

Large-Scale Complex Systems

be identified; for example, let (P1) be defined as extr[J 1 (v1 ) + J 2 (v2 )] ; v1 ∈ V 1 ; v2 ∈ V 2 ,

(P1) :

(36.6)

then, two independent subproblems (P21 ) and (P22 ) can be obtained (P21 ) :

extr[J 1 (v1 )] ;

v1 ∈ V 1 ,

(36.7)

(P2 ) :

extr[J (v )] ;

v2 ∈ V 2 .

(36.8)

2

2

2

This decomposition is utilized in assigning separate subproblems to the controllers which are situated at the same level of a hierarchical pyramid or in decentralized control schemes where the controllers act independently. The parametric decomposition divides the largescale problem into a pair of subproblems by setting temporary values to a set of coupling parameters. While in one problem of the pair the coupling parameters are fixed and all other variables are free, in the second subproblem they are free and the remaining variables are fixed as solutions of the first subproblem. The two subproblems are solved through an iterative scheme which starts with a set of guessed values of the coupling parameters; for example, let the large-scale problem be defined as follows extr[J(v)] ; v = (α, β) ; (α ∈ A) , (β ∈ B) ;

(P1) :

v

αRβ ,



where α (β) is the solution of (P2) for the given value ∗



β= β∗ and β is the solution of (P3) for the given value α= α. The parametric decomposition is utilized to divide the effort between a coordinating unit and the subset of coordinated units situated at lower organization level (echelon). The structural decomposition divides the largescale problem into a pair of subproblems through

625

modifying the performance measure and/or constraints. While one subproblem consists in setting the best/satisfactory formulation of the performance measure and/or admissible domain, the second one is to find the solution of the modified problem. This manipulation is utilized to divide the control effort between two levels of control (layers). From Coordination to Cooperation The traditional multilevel systems proposed in the 1970s to be used for the management and control of large-scale systems can be viewed as pure hierarchies [36.77]. They are characterized by the circulation of feedback and intervention signals only along the vertical axis, up and down, respectively, in accordance with traditional concepts of the command and control systems. They constituted a theoretical basis for various industrial distributed control systems which possess at highest level a powerful minicomputer. Also the multilayer and multiechelon hierarchies served in the 1980s as a conceptual reference model for the efforts to design computer-integrated manufacturing (CIM) systems [36.78, 79]. Several new schemes have been proposed over the last 25 years to overcome the drawbacks and limits of the practical management and control systems designed in accordance with the concepts of pure hierarchies such as: inflexibility, difficult maintenance, and limited robustness to major disturbances. The more recent solutions exhibit ever more increased communication and cooperation capabilities of the management and control units. This trend has been supported by the advances in communication technology and artificial intelligence; for example, even in 1977, Binder [36.80] introduced the concept of decentralized coordinated control with cooperation, which allowed limited communication among the control unit placed at the same level. Several years later, Hatvany [36.81] proposed the heterarchical organization, which allows for exchange of information among the units placed at various levels of the hierarchy. The term holon was first proposed by Koestler in 1967 [36.82] with a view to describing a general organization scheme able to explain the evolution and life of biological and social systems. A holon cooperates with other holons to build up a larger structure (or to solve a complex problem) and, at the same time, it works toward attaining its own objectives and treats the various situations it faces without waiting for any instructions from the entities placed at higher levels. A holarchy is

Part D 36.2

where α and β are the components of v, A and B are two admissible sets, β is the coupling parameter, and R is a relation between α and β. The problem (P1) can be divided into the pair of subproblems (P2) and (P3)    ∗ ; (α ∈ A) , (αRβ) , (P2) : extr J α, β α  ∗  (P3) : extr J α (β), β ; (β ∈ B) , β . ∗  ∗  ∗ / ∃ α α∈ A , α Rβ ,

36.2 Methods and Applications

626

Part D

Automation Design: Theory and Methods for Integration

a hierarchy made up of holons. It is characterized by several features as follows [36.83]:

• • • • •

It has a tendency to continuously grow up by attracting new holons. The structure of the holarchy may permanently change. There are various patterns of interactions among holons such as: communication messages, negotiations, and even aggressions. A holon may belong to more than one holarchy if it observes their operation rules. Some holarchies may work as pure hierarchies and others may behave as heterarchical organizations.

Part D 36.2

Figure 36.4 shows an object-oriented representation of a holarchy. The rectangles represent various classes of objects such as pure hierarchies, heterarchical systems, channels, and holons. This shows that the class of holarchies may have particular subclasses such as pure hierarchies and heterarchical systems. Also a holarchy is composed of several constituents (subclasses) such as: holons (at least one coordinator unit and two infimal/coordinated units in the care of pure hierarchies) and channels for coordination (in the case of pure hierarchies) or channels for cooperation (in the case of pure heterarchies). Coordination channels link the supremal unit to, at least, two infimal units. While there are, at least, two such coordination links in the case of pure hierarchies, a heterarchical system may have no such link. While, at least, one cooperation channel is present in a heterarchical system, no such a link is allowed in a pure hierarchy.

Holarchy

Pure hierarchy

0+ 2+ Vertical channel for coordination

Heterarchical system

3+ 2+ Holon

1+ Horizontal channel for cooperation

higher class has as particular forms… higher class is made up of… n+ there may be n or more objects related to the class… there may be none, one or more objects related to the class…

Fig. 36.4 Holarchies: an object-oriented description

Management and control structures based on holarchy concepts were proposed by Van Brussel et al. [36.84], Valckenaers et al. [36.85] for implementation in complex discrete-part manufacturing systems. To increase the autonomy of the decision and control units and their cooperation the multiagent technology is recommended by Parunak [36.57] and Hadeli et al. [36.53]. An intelligent software agent encapsulates its code and data, is able to act in a proactive way, and cooperates with other agents to achieve a common goal [36.86]. The control structures which utilize the agent technology have the advantage of simplifying industrial transfer by incorporating existing legacy systems, which can be encapsulated in specific agents. Maˇrı´k and Laˇzansk y´ [36.55] make a survey of industrial applications of agent technologies which also considers pros and cons of agent-based systems. They also present two applications: a) A shipboard automation system which provides flexible and distributed control of a ship’s equipment b) A production planning and scheduling system which is designed for a factory with the possibility of influencing the developed schedules by customers and suppliers.

36.2.2 Other Methods and Applications Decentralized Control Feedback control of large-scale systems poses the standard control problem: to find a controller for a given system with control input and control output ensuring closed-loop systems stability and reach a suitable input–output behavior. The fundamental difference between small and large systems is usually described by a pragmatic view: a system is large if it is conceptually or computationally attractive to decompose it into interconnected subsystems. Such subsystems are typically of small size and can be solved easier than the original system. The subsystem solutions can be combined in some manner to obtain a satisfactory solution for the overall system [36.87]. Decentralized control has consistently been a control of choice for large-scale systems. The prominent reason for adopting this approach is its capability to solve effectively the particular problems of dimensionality, uncertainty, information structure constraints, and time delays. It also attenuates the problems that communication lines may cause. While in the hierarchical control schemes, as shown above, the control

Large-Scale Complex Systems

units are coordinated through intervention signals and may be allowed to exchange cooperation messages, in decentralized control, the units are completely independent or at least almost independent. This means that the information flow network among the control units can be divided into completely independent partitions. The units that belong to different subnetworks are completely separate from each other. Only restricted communication at certain time moments or intervals or limited to small part of information among the units is allowed. Decentralized structures are often used but their performance is worse compared with the centralized case. The basic decentralized control schemes are as follows:





Multichannel system. The global system is considered as one whole. The control inputs and the control outputs operate only locally. This means that each channel has available only local information about the system and influences only a local part of the system. Interconnected systems. The overall system is decomposed according to a selected criterion. Then local controllers are designed for each subsystems. Finally, the local closed-loop subsystems and interconnections are tested to satisfy the desired overall system requirements.

Simulation-Based Scheduling and Control in LSS In continuous, large-scale industrial plants such as in chemical, power, and paper industries and waste-water treatment plants, simulation-based scheduling starts from creating scenarios for production and comparing these scenarios for optimality and availability. Problems can vary from order allocation between multiple

production lines to optimal storage usage and detection and compensating for bottlenecks. Heuristic rules are usually connected to simulation, making it possible to adjust the production to varying customer needs, minimize the use of raw materials and energy, decrease the environmental load, stabilize or improve the quality, etc. Early applications in the paper industry are given by Leiviskä et al. [36.38, 39]. The main problem is to balance the production and several intermediate storages in (multiple) production lines, and give room for maintenance shutdowns and coordinate production rate changes. The model is based on the state model with storage capacities as the state variables and production rates as the control variables. Heuristics and bottleneck considerations are connected to these systems. A newer, agent-based solution has also been proposed [36.52]. There are also several classical optimization-based solutions for this problem [36.19, 21–24]. Modern chemical batch processes are large scale, complex, serial/parallel, multipurpose processes. They are especially common in the food and fine chemicals industries. They resemble flexible manufacturing systems common in electronics production. From the scheduling and control point of view complexity brings along also difficult interactions and uncertainty that are difficult to tackle with conventional tools. Simulationbased scheduling can include as much complexity as needed, and it is a largely used tool in the evaluation of the performance of different optimizing systems. Connecting heuristics or rule-based systems to simulation makes it also a flexible tool for batch process scheduling. Modeling approaches differ, e.g., real-time simulation using Petri nets [36.36] and the combination of discrete event simulation with genetic algorithms for the steel annealing shop have been proposed [36.40]. Flexible manufacturing systems, e.g., for components assembly, offer several difficulties for production scheduling and control. Dynamic, random nature is one main concern in operation control. Also quickly changing products and production environments, especially in electronics production, lead to a great variability in requirements for production control. In real cases, it is also typical that several scenarios must be created and evaluated. The handling of uncertain and vague information itself causes also problems in realworld applications. Uncertain data has to be extracted from data sources avoiding noise, or at least avoiding increasing it. Discrete event simulation models the system as it propagates over time, describing the changes as separate

627

Part D 36.2

At present a serious problem is the lack of relevant theoretic and methodological tools to support the scalable solution of new networked complex large-scale problems including asynchronous issues. The recent accomplishments are aimed at broadening the scope of decentralized control design methods using linear matrix inequalities (LMIs) [36.31], dynamic interaction coordinator design to ensure the desired level of interconnections [36.32], advanced decentralized control strategies for complex switching systems [36.26], hybrid large-scale systems [36.27], Petri nets [36.25], large-scale supply chain decentralized coordination [36.28, 29], and distributed control systems with network communication [36.30].

36.2 Methods and Applications

628

Part D

Automation Design: Theory and Methods for Integration

Part D 36.2

discrete events. This approach also found a lot of applications in manufacturing industries, queuing systems, and so on. An early application to jobshop scheduling is presented by Filip et al. [36.20], who utilize various combinations of several dispatching rules to create the list of future events. Taylor [36.17] reported on an application of discrete event simulation, combined with heuristics, to the scheduling of the printed circuit board (PCB) assembly line. The situation is complicated by the fact that the production control must operate on three levels: at the system level concerning production mix problems, at the cell level for routing problems, and at the machine level to solve sequencing problems. Discrete event simulation is also the key element in the shop floor scheduling system proposed by Gupta et al. [36.35]. The procedure starts by creating feasible schedules for the telephone terminals plant, helps in taking other requirements into account and in tackling uncertainties, and makes rescheduling possible. A system integrating simulation and neural networks has been used in photolithography toolset scheduling in wafer production [36.33]. The system uses the weighted-score approach, and the role of the neural network is to update the weights set to different selection criteria. Fuzzy logic provides the arsenal of methods for dealing with uncertainties. Several examples for PCB production are given by Leiviskä [36.45]. Two-stage approaches have been used in bottleneckbased approaches [36.34]. The first-pass simulation recognizes the bottlenecks, and their operation are optimized during the second-pass simulation. Better control of work in bottlenecks improves the performance of the whole system. The main dispatching rule is to group together the lots that need the same setups. The system also reveals the non-bottleneck machines and makes it possible to apply different dispatching rules according to the process state. The example is from semiconductor production. In practice, scheduling is a part of the decision hierarchy starting from the enterprise-level strategic decisions and going down to machine-level order or tools scheduling. Simulation is used at different levels of this hierarchy to provide interactive means for guaranteeing the overall optimality or at least the feasibility of the decisions made at different levels. Such integrated and interactive approaches exist also in supply-chain management systems. In large-scale manufacturing systems, supply-chain control must take four interacting factors into account: suppliers, manufacturing, distribution, network, and customers. To control all these interactions successfully, various operating factors and

constraints – processing times, production capacities, availability of raw materials, inventory levels, and transportation times – must be considered. Discrete event simulation is also one possibility to create an object-oriented, scalable, simulation-based control architecture for supply-chain control [36.41]. Requirements for modularity and maintainability also lead to distributed simulation models, especially when a simulation-based control architecture is controlling supply chain interactions. This means a modeling technique including a federation of simulation models that are solved in a coordinated manner. The system architecture is presented in [36.42]. Each supply-chain entity has two simulation models associated with it – one running in real time and the other as a lookahead simulation. The lookahead model is capable of predicting the impact of a disturbance observed by the real-time model. A federation object coordinator (FOC) coordinates the real-time simulation models. In this case, a master event calendar allocates interprocess events to all simulation models and resynchronizes all simulations at the end of every activity [36.37]. In simulation-based control the controller makes decisions based both on the current state of the system and future scenarios, usually produced by simulation. Here, the techniques for calculation of these scenarios play the main role. Ramakrishnan and Thakur [36.42] proposed the extension sequential dynamic systems (SDS) that they call input–output SDS to model and analyze distributed control systems and to compensate for the weaknesses of automata-based models. They use the discrete-part production plant as an example. Artificial Intelligence-Based Control in LSS Artificial intelligence (AI)-based control in large-scale systems uses, in practice, all the usual methods of intelligent control: fuzzy logic, neural networks, and genetic algorithms together with different kinds of hybrid solutions [36.88]. The complex nature of applications makes the use of intelligent systems advantageous. Dealing with this complexity is also the biggest challenge for the methodological development: the large-scale process structures, complicated interconnections, nonlinearity, and multiple time scales make the systems difficult to model and control. Fuzzy logic control (FLC) has found most of its applications in cases which are difficult to model, suffer from uncertainty or imprecision, and where a skilful operator is superior to conventional automation systems. Artificial neural networks (ANN) contribute to modeling and forecasting tasks and combined with fuzzy logic in neuro-fuzzy systems

Large-Scale Complex Systems

purely local measurements. Available transfer capability (ATC) is a real-time index used in monitoring and controlling the power transactions and avoiding overloading of the transmission lines [36.47]. There are difficulties in calculating it accurately online for largescale systems. Decreasing the number of input variables to only three and using fuzzy modeling helps in this. Simulations show that neural-networks-based local excitation controls can take care of interactions between generators and dampen oscillations effectively. Neural networks are used in approximating unknown dynamics and interconnections [36.40]. The designing of the controller for two-area hydrothermal power systems based on genetic algorithm improves the rise time and settling time, and simulations show that the proposed technique is superior to the traditional methods [36.51]. A local Kalman filter and genetic algorithms estimate all local states and interactions between subsystems in a largescale power system. The controller uses these estimates, optimizes a given performance index, and then regulates the system states [36.50]. Agent-based technologies have been used in complex, distributed systems. Good examples come from intelligent control of highly distributed systems in the chemical industry and in the area of utility distribution (power, gas, and waste-water treatment). As shown above, holonic agents take care of machine or cell-level (local) controls, sometimes even integrated with machines. Intelligent agents can be associated with each manufacturing unit and they communicate, coordinate their activities, and cooperate with each other. Fault detection and diagnosis (FDD) may be tackled by decomposing the large-scale problem into smaller subtasks and performing control and FDD locally [36.93]. Large-scale complex power systems need systematic tools for protection and control. The supervisory control technique and a design procedure of a supervisor that coordinates the behavior of relay agents to isolate fault areas are presented in [36.56]. Multiagent systems have also been used in identification and control of a 600 MW boiler–turbine–generator unit [36.54]. In this case, online identifiers are used for control and offline identifiers for fault diagnosis. Event-based approaches are used for building largescale distributed systems and applications, especially in a networked environment. A hybrid approach of event-based communications for real-time manufacturing supervisory control is applied for large-scale warehouse management [36.94]. See Chap. 30 on Automating Error and Conflict Prognostics and Prevention for additional content.

629

Part D 36.2

combine the benefits of both approaches. Genetic algorithms (GA), which are basically optimization systems, are used in tuning models and controllers. See Chap. 14 on Artificial Intelligence and Automation for additional content. As shown above, the control of large-scale industrial plants have usually been based on distributed hardware and hierarchical design of control functions [36.89, 90]. The supervisory and local control levels lay under enterprise and mill-wide control levels. Supervisory control provides the local controls with the set points that fulfil the quality and schedule requirements coming from the mill-wide level and help in optimizing the operation of the whole plant. This optimization leaves room for versatile application of intelligent methods. Local units on the other hand, control the actual process variables according to the set points given by the supervisory control level. Even though the proportional–integral– differential (PID) controller is by far the most important tool, intelligent control plays an increasing role also at the local control level. Intelligent methods have been useful in tuning local PID controllers. In practice, fuzzy controllers must have adaptive capabilities. Gain scheduling is a typical approach for large-scale systems, but applications of model reference adaptive control and self-tuning adaptive control exist. Self-tuning has been used in controlling a pilot-scale rotary drum where the disturbances are due to long and varying time delays and changes in the raw materials [36.46]. Model-based control techniques, e.g., model predictive control (MPC), have been applied for the control of processes with a long delay or dead time. In MPC, the controller based on a plant model determines a manipulated variable profile that optimizes some performance objectives over the time in question. ANN are used in replacing the mathematical models in optimization as shown in a survey made by Hussain [36.48]. Also Takagi–Sugeno fuzzy models are used in connection with model-based predictive control [36.44]. Hybrid systems include both continuous- and/or discrete-time dynamics together with discrete events. So their state consists of real-valued, discrete-valued, and/or logical variables. Support vector machines have been used as a part of MPC strategy for hybrid systems [36.91]. Power systems have been an important application field for intelligent control since 1990s [36.92]. Design of centralized controllers is difficult for many obvious reasons: power systems are large scale and decentralized by nature. They are also nonlinear and have multiple dynamics and considerable time delays. Decentralized local control can apply linear models and

36.2 Methods and Applications

630

Part D

Automation Design: Theory and Methods for Integration

Computer-Supported Decision Making in Large-Scale Complex Systems As shown above, a possible solution to many LSS control problems is the use of artificial-intelligence methods. However, in the field, due to strange combinations of external influences and circumstances, rare or new situations may show up that were not taken into consideration at design time. Already in 1990, Martin et al. remarked that [36.95]:

although AI and expert systems were successful in solving problems that resisted to classical numerical methods, their role remains confined to support functions, whereas the belief that evaluation by man of the computerized solutions may become superfluous is a very dangerous error.

Part D 36.2

Based on this observation, Martin et al. [36.95] recommended appropriate automation, which integrates technical, human, organizational, economical, and cultural factors. The decision support system concept (DSS) appeared in the early 1970s. As with any new term, the significance of DSS was in the beginning rather vague and controversial. While some people viewed it as a new redundant term used to describe a subset of management information systems (MIS), some other argued it was a new label abusively used by some vendors to take advantage of a new fashion. Since then many research and development activities and applications have witnessed that the DSS concept definitely meets a real need and there is a market for it even in the context of realtime applications in the industrial milieu [36.96, 97]. The Nobel Prize winner H. Simon [36.98] identified three steps of the decision-making (DM) process, namely: a) Intelligence, consisting of activities such as data collection and analysis in order to recognize a decision problem b) Design, including activities such as model statement and identification/production and evaluation of various potential solutions to the problem c) Choice, or selection of a feasible alternative for implementation. Later, he added a fourth step – implementation and result evaluation – which may correspond to supervisory control in industrial milieu. If a decision problem cannot be entirely clarified and all possible decision alternatives cannot be fully explored and evaluated before

a choice is made, then the problem is said to be unstructured or semistructured. If the problem were completely structured, an automatic device could have solved the problem without any human intervention. On the other hand, if the problem has no structure at all, nothing but hazard can help. If the problem is semistructured a computer-aided decision can be envisaged. Most of the developments in the DSS domain have initially addressed business applications not involving any real-time control. However, even in the early 1980s DSS were reported to be used in manufacturing control [36.20, 99]. In 1987, Bosman [36.100] stated that control problems could be looked upon as a natural extension and as a distinct element of planning decisionmaking processes (DMP). Almost 20 years later, Nof et al. state [36.12]: . . . the development and application of intelligent decision support systems can help enterprises cope with problems of uncertainty and complexity, to increase efficiency, join competitively in production networks, and improve the scope and quality of their customer relations management (CRM). Real-time decision-making processes (RT DMPs) for control applications are characterized by several particular aspects such as: a) They involve continuous monitoring of a dynamic environment. b) They are short time horizon oriented and are carried out on a repetitive basis. c) They normally occur under time pressure. d) Long-term effects are difficult to predict [36.101]. It is quite unlikely that an econological (economically logic) approach, involving optimization, be technically possible for genuine RT DMPs. Satisficing approaches, which reduce the search space at the expense of the decision quality, or fully automated DM systems, if taken separately, cannot be accepted either, but for some exceptions. At the same time, one can notice that genuine RT DMP can show up in crisis situations only; for example, if a process unit must be shut down due to an unexpected event, the production schedule of the entire plant might become obsolete. The right decision will be to take the most appropriate compensation measures to manage the crisis over the time period needed to recomputed a new schedule or update the current one. In this case, a satisficing decision may be appropriate. If the crisis situation has been met previously and successfully surpassed, an almost automated solution based on past decisions stored in the

Large-Scale Complex Systems

36.2 Methods and Applications

NM

CBR

IA

I/M

P/M M/I

631

Table 36.2 Possible task assignment in DSS

Decision steps and activities Intelligence • Setting objectives • Perception of DM situation • Problem recognition Design • Model selection • Model building • Model validation • Setting alternatives Choice • Model experimenting – Model solving – Result interpreting – Parameter changing • Solution adotiing • Sensitivity analysis Release for implementation

EU

NU

I I I

M M M

E M I P

M P M M

P

E

E

ES

ANN

P P I I/M

M/I I

P

M/I I E E M E

I P

P

M/I I E

P

EU – expert user, NU – novice user, NM – numerical model, ES – rule-based expert system, ANN – artificial neural network, CBR – case-based reasoning, GA – genetic algorithm, IA – intelligent agent, P – possible, M – moderate, I – intensive, E – essential Holsapple and Whinston [36.105] is quite general and can accommodate the most recent technologies and architectural solutions. It is based on three essential components. The first one is the language (and communications) subsystem (LS). This is used for: a) Directing data retrieval, allowing the user to invoke one out of a number of report generators b) Directing numerical or symbolic computation, enabling the user either to invoke the models by names or construct model and perform some computation at his/her free will c) Maintaining knowledge and information in the system d) Allowing communication among people in case of a group DM e) Personalizing the user interface. The knowledge subsystem (KS) normally contains: a) Empirical knowledge about the state of the application environment in which the DSS operates

Part D 36.2

information system can be accepted and validated by the human operator. On the other hand, the minimization of the probability of occurrences of crisis situations should be considered as one of the inputs (expressed as a set of constraints or/and objectives) in the scheduling problem [36.96, 102]. In many problems, decisions are made by a group of persons instead of an individual. Because the group decision is either a combination of individual decisions or a result of the selection of one individual decision, this may not be rational in Simon’s acceptance. The group decision is not necessarily the best choice or a combination of individual decisions, even though those might be optimal, because various individuals might have various perspectives, goals, information bases, and criteria of choice. Therefore, group decisions show a high social nature, including possible conflicts of interest, different visions, influences, and relations [36.103]. Consequently, a group (or multiparticipant) DSS needs an important communication facility. The generic framework of a DSS, proposed by Bonczek et al. in 1980 [36.104] and refined later by

632

Part D

Automation Design: Theory and Methods for Integration

b) Modeling knowledge, including basic modeling blocks and computerized simulation and optimization algorithms to use for deriving new knowledge from the existing knowledge c) Derived knowledge containing the constructed models and the results of various computations d) Meta-knowledge (knowledge about knowledge) supporting model building and experimentation and result evaluation e) Linguistic knowledge allowing the adaptation of system vocabulary to a specific application f) Presentation knowledge to allow for the most appropriate information presentation to the user. The third essential component of a DSS is the problem processing subsystem (PPS), which enables combinations of abilities and functions such as information acquisition, model formulation, analysis, evaluation, etc. It has been noticed that some DSS are oriented towards the left hemisphere of the human brain and some others are oriented towards the right hemisphere. While in the first case quantitative and computational aspects are important, in the second pattern recognition and reasoning based on analogy prevail. In this context, there is a significant trend towards combin-

ing numerical models and models that emulate the human reasoning to build advanced DSS [36.106]. A great number of optimization algorithms have been developed and carefully tested so far. However, their effectiveness in decision making has been limited. Over the last three decades traditional numerical methods have, along with databases, been essential ingredients of DSS. From an information technology perspective, their main advantages [36.107] are: compactness, computational efficiency (if the model is correctly formulated), and the market availability of software products. On the other hand, they present several disadvantages. Because they are the result of intellectual processes of abstraction and idealization, they can be applied to problems which possess a certain structure, which is hardly the case in many real-life problems. In addition, the use of numerical models requires that the user possesses certain skills to formulate and experiment the model. As was shown in the previous section, AI-based methods supporting decision making are already promising alternatives and possible complements to numerical models. New terms such as tandem systems, or expert DSS (XDSS) have been proposed for systems that combine numerical models with AI-based techniques. An ideal task assignment is given in Table 36.2 [36.97].

36.3 Case Studies Part D 36.3

The following case studies illustrate how combinations of methods may be utilized to solve large-scale complex problems. m2 Digester

s6

s2

m1

Bleaching

s1

w1

Drying machine

s3 Auxiliary boiler

m3 Evaporation

s4

m4

Fig. 36.5 Pulp mill model

Recovery boiler

s5

m5

Causticization

36.3.1 Case Study 1: Pulp Mill Production Scheduling Figure 36.5 shows the pulp mill modeled as a common state-space system. The state of the system s(t) is described by the amount of material in each storage tank. The production rates of the processes are chosen as control variables forming the control vector m(t). The required pulp production is usually taken as a deterministic known disturbance vector w(t). The operation of the plant presented in Fig. 36.5 is described by the vector–matrix differential equation ds(t) = Bm(t) + Cw(t) , dt where B and C are coefficient matrices describing the relationships between the model flows (transfer ratios).

Large-Scale Complex Systems

Since the most storage tanks have only one input flow and one output flow, most elements in B and C matrices equal zero. If the steam balance (dashed line in Fig. 36.5) is included in scheduling, an additional variable describing the steam development in the auxiliary boiler is required. It is a scalar variable denoted by S. Accordingly, the steam balance is S(t) = Dm(t) + Ew(t) .

Product

36.3 Case Studies

633

DB + KB

Planner

Model

Ethernet network

Note that the right-hand side of the balance includes both consumption and generation terms. The variables in the model are constrained by the capacity limits of tanks and processes in the following way

Simulation

DSS

s min ≤ s(t) ≤ s max ,

Direct control system

mmin ≤ m(t) ≤ mmax , Smin ≤ S(t) ≤ Smax . Due to the fact that scheduling is concerned with relatively long time intervals, no complete and complicated process models are necessary. If all the small storage tanks are included in the model, the system dimensions increase and it becomes difficult to deal with. These tanks also have no meaning from the control point of view. Simpler model follows by combining small storage tanks. There are several ways to solve the scheduling problem as shown before. Optimization can benefit from decomposition and solving of smaller problems as described in Sect. 36.2.1. A review of methods is presented in [36.39]. It seems, however, that no approach alone can deal with this problem successfully. Hybrid systems, consisting of algorithmic, rule-based, and intelligent parts integrated with each other, and also agent-based systems, could be the best possible answer [36.97, 110].

Fig. 36.6 DSS integration in the multilayer control system (af-

36.3.2 Case Study 2: Decision Support in Complex Disassembly Lines

Fig. 36.7 The results of time delay estimation for one group (af-

In [36.108], the control of a complex industrial disassembly process of out-of-use manufactured products is studied. The disassembly processes are subject to uncertainties. The most difficult problem in such systems is that a disassembly operation can fail at any moment because of the product or component degradation. In this case one has to choose between applying an alternative disassembly destructive operation (dismantling), and aborting the disassembly procedure. This decision must be taken in real time because in a used product the components states are not known from the beginning of the

process. The solution is to integrate a decision support system (DSS) in the architecture of a multilayer system. As shown in Fig. 36.6, the control and decision tasks are distributed among three levels: planning, decision support, and direct control. The disassembly planner gives the sequence of the components that must be separated to achieve the target component. The planner fuses the information from the artificial vision system with that contained in the database for each component or subassembly. A model of the product is generated. The DSS integrates the model and performs the simulation to rec-

ter [36.108]) Delay 100 Median Standard

90 80 70 60 50 40 30

10 0

1

2

3

4

5

6

7

8

9

10 11 12 13 14 15

ter [36.109])

Part D 36.3

20

634

Part D

Automation Design: Theory and Methods for Integration

ommend a good disassembly sequence with respect to the economical criteria.

36.3.3 Case Study 3: Time Delay Estimation in Large-Scale Complex Systems In data-based modeling of large-scale complex systems, the exact determination of time delays is extremely difficult. The methods for delay estimation are widely studied in control engineering, but these studies are mainly limited to the two-variable cases, i. e., estimating the delay between the manipulated and the controlled variable in the feedback control loop. The situation is totally different when dealing with a large number of variables grouped in several groups for modeling or monitoring purposes.

Mäyrä et al. [36.109] discuss a delay estimation scheme combining genetic algorithms and principal component analysis (PCA). Delays are optimized with genetic algorithms with objective functions based on PCA. Typically, a genetic algorithm maximizes the variance explained by the first or two first principal components. The paper gives an example using simulation data of the paper machine, which includes over 50 variables. The variables were first grouped based on the cross-correlation and graphical analysis into five groups, and delays were estimated both for the variables inside the groups and between the groups. The results for one group of 15 variables are given in Fig. 36.7. The estimation was repeated 60 times and the figure shows the median and standard variance of these simulations.

36.4 Emerging Trends Large-scale complex systems have become a research and development domain of automation with a series of rather established method and technologies and industrial application. Table 36.3 contains a summary of references to basic concepts.

At present academics and industrial practitioners are working to adapt the methods and practical solutions in the LSS field to modern information and communication technologies and new enterprise paradigms. Several significant trends which can be noticed or forecast are:

Table 36.3 Key to references on basic concepts

Basic books

Part D 36.4

Hierarchies Strata Layers Echelons Heterarchy Holarchy Decision support systems

Mesarovic, Macko, Takahara [36.7]; Wismer [36.61]; Titli [36.17]; ˇ Ho and Mitter [36.62]; Sage [36.63]; Siljak [36.3, 64]; Singh [36.65]; Findeisen et al. [36.16]; Jamshidi [36.6]; Lunze [36.66]; Brdys and Tatjewski [36.18] Mesarovic, Macko, Takahara [36.7] Sage [36.63]; Schoeffler [36.68] Findeisen [36.8]; Havlena and Lu [36.73]; Isermann [36.72]; Lefkowitz [36.111]; Schoeffler [36.68]; Brdys and Ulanicki [36.112] Brosilow, Lasdon and Pearson [36.74]; Lasdon and Schoeffler [36.75] Hatvany [36.81] Hop and Schaeffer [36.83]; Koestler [36.82]; Van Brussel et al. [36.84]; Valckenaers et al. [36.85] Bonczek, Holsapple and Whinston [36.104]; Bosman [36.100]; Chaturverdi et al. [36.101]; De Michelis [36.103]; Dutta [36.107]; Filip [36.96]; Filip et al. [36.21]; Filip, Donciulescu and Filip [36.97]; Holsapple and Whinston [36.105]; Kusiak [36.106]; Martin et al. [36.95]; Nof [36.99]; Nof et al. [36.12]; Simon [36.98]

Large-Scale Complex Systems







A promising modern form to coordinate the actions of the intelligent agents is stigmergy. This is inspired by the behavior of social insects which use a form of indirect communication mediated by an active environment to coordinate their actions [36.53]. Advanced decentralized control strategies for largescale complex systems have recently been extended into new applied areas, such as flexible structures [36.113, 114], Internet congestion control [36.115], aerial vehicles [36.116], or traffic control [36.117], to mention a few of them. Recent theoretic achievements in decentralized control can be progressively extended into the areas





References

635

of integrated/embedded control, distributed control (over communication networks), hybrid/discreteevent systems and networks, and autonomous systems to serve as a very efficient tool to solve various large-scale control problems. Incorporation and combination of newly developed numeric optimization and simulation models and symbolic/and connectionist or agent-based will continue in an effort to reach the unification of humans, numerical models, and AI-based tools. Mobile communications and web technology will be ever more considered in LSS management and control applications. In multiparticipant DSS, people will make co-decisions in virtual teams, no matter where they are temporarily located.

References 36.1

36.2

36.3

36.4 36.5

36.7

36.8

36.9

36.10

36.11

36.12

36.13

36.14

36.15

36.16

36.17 36.18

36.19

36.20

36.21

36.22

A.P. Sage, C.D. Cuppan: On the system engineering of systems of systems and federations of systems, Inf. Knowl. Syst. Manage. 2(4), 325–349 (2001) A.V. Gheorghe: Risks, vulnerability, maintainability and governance: a new landscape for critical infrastructures, Int. J. Crit. Infrastruct. 1(1), 118–124 (2004) A.V. Gheorghe: Integrated Risk and Vulnerability Management Assisted by Decision Support Systems. Relevance and Impact on Governance (Springer, Dordrecht 2005) W. Findeisen, M. Brdys, K. Malinowski, P. Tatjewski, A. Wozniak: Control and Coordination in Hierachical Systems (Wiley, Chichester 1980) A. Titli: Commande hierarchisée des systemes complexes (Dunod Automatique, Paris 1975) M. Brdys, P. Tatjewski: Iterative Algorithms for Multilayer Optimizing Control (Imperial College, London 2001) A. Dourado Correia: Optimal scheduling and energy management in industrial complexes: some new results and proposals, Preprints CIM Process Manufact. Ind. IFAC Workshop (Pergamon Press, Espoo 1992) pp. 139–145 F.G. Filip, G. Neagu, D. Donciulescu: Jobshop scheduling optimization in real-time production control, Comput. Ind. 4(3), 395–403 (1983) F.G. Filip, D. Donciulescu, R. Gaspar, M. Muratcea, L. Orasanu: Multilevel optimisation algorithms in computer aided production control in the process industries, Comput. Ind. 6(1), 47–57 (1985) M. Guran, F.G. Filip, D.A. Donciulescu, L. Orasanu: Hierarchical optimisation in computer dispatcher systems in process industry, Large Scale Syst. 8, 157–167 (1985)

Part D 36

36.6

R. Tomovic: Control of large systems. In: Simulation of Control Systems, ed. by I. Troch (North Holland, Amsterdam 1972) pp. 3–6 M.S. Mahmoud: Multilevel systems control and applications, IEEE Trans. Syst. Man Cybern. SMC–7, 125–143 (1977) D.D. ˇSiljak: Large Scale Dynamical Systems: Stability and Structure (North Holland, Amsterdam 1978) Solver.com: Premium Solver Platform for Excel www.solver.com (2007) M. Athans: Advances and open problems in the control of large-scale systems, Plenary paper, Proc. 7th IFAC Congr. (Pergamon, Oxford 1978), 871–2382 M. Jamshidi: Large Scale Systems: Modeling and Control (North Holland, New York 1983) 2nd edn. (Prentice Hall, Upper Saddle River 1997) M.D. Mesarovic, D. Macko, Y. Takahara: Theory of Hierarchical Multilevel Systems (Academic, New York 1970) W. Findeisen: Decentralized and hierarchical control under consistence or disagreements of interests, Automatica 18(6), 647–664 (1982) S. Takatsu: Coordination principles for two-level satisfactory decision-making systems, Syst. Sci. 7(3/4), 266–284 (1982) F.G. Filip, D.A. Donciulescu: On an online dynamic coordination method in process industry, IFAC J. Autom. 19(3), 317–320 (1983) L. Mårtenson: Are operators in control of complex systems?, Proc. 13th IFAC World Congr., Vol. B (Pergamon, Oxford 1990) pp. 259–270 S.Y. Nof, G. Morel, L. Monostori, A. Molina, F.G. Filip: From plant and logistics control to multienterprise collaboration, Annu. Rev. Control 30(1), 55–68 (2006)

636

Part D

Automation Design: Theory and Methods for Integration

36.23

36.24

36.25

36.26

36.27

36.28

36.29

36.30

36.31

36.32

Part D 36

36.33

36.34

36.35

36.36 36.37

36.38

J. Pettersson, U. Persson, T. Lindberg, L. Ledung, X. Zhang: Online pulp mill production optimization, Proc. 16th IFAC World Congr. (Prague 2005), on CD ROM H. Tamura: Decentralised optimization for distributed-lag models of discrete systems, Automatica 11, 593–602 (1975) ¨zkan: Centralized A. Aybar, A. Iftar, H. Apaydin-O and decentralized supervisory controller design to enforce boundedness, liveness, and reversibility in Petri nets, Int. J. Control 78, 537–553 (2005) L. Bakule: Stabilization of uncertain switched symmetric composite systems, Nonlinear Anal.: Hybrid Syst. 1, 188–197 (2007) F. Borrelli, T. Keviczky, G.J. Balas, G. Steward, K. Fregene, D. Godbole: Hybrid Decentralized Control of Large Scale Systems, Hybrid Systems: Computation and Control (Springer, Heidelberg 2005) pp. 168–183 G. Inalham, J. How: Decentralized inventory control for large-scale supply chains, Proc. Am. Control Conf. (Minneapolis 2006) pp. 568–575 P. Krishnamurthy, F. Khorrami, D. Schoenwald: Computationally tractable inventory control for large-scale reverse supply chains, Proc. Am. Control Conf. (Minneapolis 2006) pp. 550–555 C. Langbort, V. Gupta, R.M. Murray: Distributed control over falling channels. In: Networked Embedded Sensing and Control, ed. by P. Antsaklis, P. Tabuada (Springer, Berlin 2006) pp. 325–342 D.D. ˇSiljak, A.I. Zeˇcevi´c: Control of large-scale systems: beyond decentralized feedback, Annu. Rev. Control 29, 169–179 (2005) D.D. ˇSiljak: Dynamic Graphs. Plenary paper. The International Conference on Hybrid Systems and Applications (University of Louisiana, Lafayette 2006) A. Arisha, P. Young: Intelligent simulation-based lot scheduling of photolithography toolsets in a wafer fabrication facility, Proc. 2004 Winter Simul. Conf. (Washington 2004) pp. 1935–1942 C.S. Chong, A.I. Sivakumar, R. Gay: Simulation based scheduling using a two-pass approach, Proc. 2003 Winter Simul. Conf. (New Orleans 2003) pp. 1433–1439 A.K. Gupta, A.I. Sivakumar, S. Sarawgi: Shopfloor scheduling with simulation based proactive decision support, Proc. Winter Simul. Conf. (San Diego 2002) pp. 1897–1902 S. Julia, R. Valette: Real-time scheduling of batch systems, Simul. Pract. Theory 8, 307–319 (2000) S. Lee, S. Ramakrishnan, R.A. Wysk: A federation object coordinator for simulation based control and analysis, Proc. Winter Simul. Conf. (San Diego 2002) pp. 1986–1994 K. Leiviskä, P. Uronen, H. Komokallio, H. Aurasmaa: Heuristic algorithm for production control of

36.39

36.40

36.41

36.42

36.43

36.44

36.45

36.46

36.47

36.48

36.49

36.50

36.51

an integrated pulp and paper mill, Large Scale Syst. 3, 13–25 (1982) K. Leiviskä: Benefits of intelligent production scheduling methods in pulp mills, Proc. CESA’96 IMACS Multiconf. Comput. Eng. Syst. Appl. Symp. Control Optim. Supervis., Vol. 2 (Lille 1996) pp. 1246–1251 Q.L. Liu, W. Wang, H.R. Zhan, D.G. Wang, R.G. Liu: Optimal scheduling method for a bell-type batch annealing shop and its application, Control Eng. Pract. 13, 1315–1325 (2005) S. Ramakrishnan, S. Lee, R.A. Wysk: Implementation of a simulation-based control architecture for supply chain interactions, Proc. Winter Simul. Conf. (San Diego 2002) pp. 1667–1674 S. Ramakrishnan, M. Thakur: An SDS modeling approach for simulation-based control, Proc. Winter Simul. Conf. (Orlando 2005) pp. 1473–1482 G.D. Taylor Jr: A flexible simulation framework for evaluating multilevel, heuristic-based production control strategies, Proc. Winter Simul. Conf. (New Orleans 1990) pp. 567–569 A. Ichtev, J. Hellendoom, R. Babuska, S. Mollov: Fault-tolerant model-based predictive control using multiple Takagi–Sugeno fuzzy models, Proc. IEEE Int. Conf. Fuzzy Syst. FUZZ-IEEE’02, Vol. 1 (Honolulu 2002) pp. 346–351 K. Leiviskä: Applications of intelligent systems in electronics manufacturing, Proc. 2nd Conf. Manag. Control Prod. Logist. MCPL’2000 (Grenoble 2000), on CD-ROM K. Leiviskä, L. Yliniemi: Design of adaptive fuzzy controllers. In: Do Smart Adaptive Systems Exist?, ed. by B. Gabrys, K. Leiviskä, J. Strackeljan (Springer, Berlin 2005) pp. 251–266 B. Azhar, A.B. Khairuddin, S.S. Ahmed, M.W. Mustafa, A. Zin, H. Ahmad: A novel method for ATC computations in a large-scale power system, IEEE Trans. Power Syst. 19(2), 1150–1158 (2004) M.A. Hussain: Review of the applications of neural networks in chemical process control-simulation and online implementation, Artif. Intell. Eng. 13, 55–68 (1999) W. Liu, J. Sarangapani, G.K. Venayagamoorthy, D.C. Wunsch, D.A. Cartes: Neural network based decentralized excitation control of large scale power systems, Proc. Int. Jt. Conf. Neural Netw. (Vancouver 2006) M. Dehghani, A. Afshar, S.K. Nikravesh: Decentralized stochastic control of power systems using genetic algorithms for interaction estimation, Proc. 16th IFAC World Congr. (Prague 2005), on CD ROM E.E. El Mdbouly, A.A. Ibrahim, G.Z. El-Far, M. El Nassef: Multilevel optimization control for largescale systems using genetic algorithms, Proc. 2004 Int. Conf. Electr., Electron. Comput. Eng. ICEEC ’04 (Cairo 2004) pp. 193–197

Large-Scale Complex Systems

36.52

36.53

36.54

36.55

36.56

36.57

36.58

36.59

36.60

36.61

36.63 36.64 36.65 36.66 36.67

36.68

36.69

36.70

36.71 36.72

36.73

36.74

36.75 36.76 36.77

36.78

36.79

36.80

36.81

36.82 36.83

36.84

36.85

36.86

D.P. Eckman, I. Lefkowitz: Principles of model technique in optimizing control, Proc. 1st IFAC World Congr. (Moscow 1960) pp. 970–974 I. Lefkowitz: Multilevel approach to control system design, Proc. JACC (1965) pp. 100–109 R. Isermann: Advanced methods of process computer control for industrial, Int. J. Comput. Ind. 2(1), 59–72 (1981) V. Havlena, J. Lu: A distributed automation framework for plant-wide control, optimisation, scheduling and planning, selected plenaries, semiplenaries, milestones and surveys, Proc. 16th IFAC World Congr., ed. by P. Horacet, M. Simandl, P. Zitek (2005) pp. 80–94 C.B. Brosilow, L. Ladson, J.D. Pearson: Feasible optimization methods for interconnected systems, Proc. Joint Autom. Control Conf. – JACC (Rensselaer Polytechnic Institute, Troy, New York 1965) pp. 79–84 L.S. Lasdon, J.D. Schoeffer: A multilevel technique for optimization, Proc. JACC (1965) pp. 85–92 I.D. Wilson: Foundations of hierarchical control, Int. J. Control 29(6), 899 (1979) F.G. Vernadat: Enterprise Modelling and Integration Principles and Applications (Chapman Hall, London 1996) T.J. Williams: Analysis and Design of Hierarchical Control Systems with Special Reference to Steel Plant Operations (Elsevier, Amsterdam 1985) T.J. Williams: A Reference Model for Computer Integrated Manufacturing (Instrument Society of America, Research Triangle Park 1989) Z. Binder: Sur l’organisation et la conduite des systemes complexes, These de Docteur (LAG, Grenoble 1977) in French J. Hatvany: Intelligence and cooperation in heterarchic manufacturing systems, Robot. Comput. Integr. Manuf. 2(2), 101–104 (1985) A. Koestler: The Ghost in the Machine (Hutchinson, London 1967) M. Hopf, C.F. Schoeffer: Holonic Manufacturing Systems, Information Infrastructure Systems for Manufacturing (Chapmann Hall, London 1997) pp. 431–438 H. Van Brussel, P. Valckenaers, J. Wyns: HMS – holonic manufacturing system test case (IMS Project). In: Enterprise Engineering and Integration: Building International Consensus, ed. by K. Kosanke, J.G. Nell (Springer, Berlin 1997) pp. 284–292 P. Valckenaers, H. Van Brussel, K. Hadeli, O. Bochmann, B.S. Germain, C. Zamfirescu: On the design of emergent systems an investigation of integration and interoperability issues, Eng. Appl. Artif. Intell. 16, 377–393 (2003) G. Tecuci: Building Intelligent Agents: An Apprenticeship Multistrategy Learning Theory, Methodol-

637

Part D 36

36.62

R. Akkiraju, P. Keskinocak, S. Murthy, F. Wu: An agent-based approach for scheduling multiple machines, Appl. Intell. 14(2), 135–144 (2001) H. Hadeli, P. Valckenaers, C.B. Zamfirescu, H. Van Brussel, B.S. Germain: Self-organising in multiagent coordination and control using stigmergy. In: Self-Organising Applications: Issues, challenges and trends. Lecture Notes in Artificial Intelligence, Vol. 2977, ed. by H. Hadeli (Springer, Heidelberg 2004) pp. 325–340 J.S. Heo, K.Y. Lee: A multi-agent system-based intelligent identification system for power plant control and fault-diagnosis, Proc. IEEE Power Eng. Soc. Gen. Meet. (Montreal 2006) pp. 1–6 V. Maˇrík, J. Laˇzanský: Industrial applications of agent technologies, Control Eng. Pract. 15(11), 1364– 1380 (2007) S.J. Park, J.T. Lim: Modelling and control of agentbased power protection systems using supervisors, IEEE Proc. Control Theory Appl. 153, 92–99 (2006) H.V.D. Parunak: Practical and Industrial Applications of Agent-Based Systems (Industrial Technology Institute, Ann Arbor 1998) C.G. Cassandras: Complexity made simple – at small price, Proc. 9th IFAC Symp. Large Scale Systems: Theory and Applications 2001, ed. by F.G. Filip, I. Dumitrache, S. Iliescu (Elsevier, Oxford 2001) pp. 1–5 P.D. Roberts: An algorithm for steady-state system optimization and parameter estimation, Int. J. Syst. Sci. 10(7), 719–734 (1979) P.P. Varaiya: Review of the book Theory of Hierarchical Multilevel Systems, IEEE Trans. Autom. Control 17, 280–281 (1972) D. Wismer: Optimization Methods for Large Scale Systems (Mc. Graw Gill, New York 1971) Y.C. Ho, S.K. Mitter: Directions in Large-Scale Systems (Plenum, New York 1976) A.P. Sage: Methodology for Large Scale Systems (McGraw Hill, New York 1977) D.D. ˇSiljak: Decentralized Control of Complex Systems (Academic, Cambridge 1990) M.G. Singh: Dynamic Hierarchical Control (North Holland, Amsterdam 1978) J. Lunze: Feedback Control of Large-Scale Systems (Prentice Hall, New York 1992) D. Steward: Systems Analysis and Management: Structure, Strategy and Design (Petrocelli Book, New York 1981) J. Schoeffler: Online multilevel systems. In: Optimization Methods for Large Scale Systems, ed. by D. Wismer (McGraw Hill, New York 1971) pp. 291– 330 J. Minsker, S. Piggot, G. Freidson: Hierarchical automation control systems for large-scale systems and applications, Proc. 5th IFAC World Congr. (Paris 1972)

References

638

Part D

Automation Design: Theory and Methods for Integration

Part D 36

ogy, Tool and Case Studies (Academic, New York 1998) 36.87 L. Bakule: Complexity-reduced guaranteed cost control design for delayed uncertain symmetrically connected systems, Proc. 2005 Am. Control Conf. (Portland 2005) pp. 2500–2505 36.88 P.P. Groumpos: Complex systems and intelligent control: issues and challenges, Proc. 9th IFAC Symp. Large Scale Syst.: Theory Appl. 2001, ed. by F.G. Filip, I. Dumitrache, S. Iliescu (Elsevier, 2001) pp. 29–36 36.89 A. Kamiya, S.J. Ovaska, R. Roy, S. Kobayashi: Fusion of soft computing and hard computing for largescale plants: a general model, Appl. Soft Comput. J. 5, 265–279 (2005) 36.90 K. Leiviskä: Control systems. In: Process Control. Papermaking Science and Technology, Book 14, ed. by K. Leiviskä (Fapet Oy, Jyväskylä 1999) pp. 13– 17 36.91 B.M. Åkesson, M.J. Nikus, H.T. Toivonen: Explicit model predictive control of a hybrid system using support vector machines, Proc. 1st IFAC Workshop Appl. Large Scale Ind. Syst. ALSIS ’06 (Helsinki/Stockholm 2006), on CD ROM 36.92 K. Kawai: Knowledge engineering in power-plant control and operation, Control Eng. Pract. 4, 1199– 1208 (1996) 36.93 G. Stephanopoulos, J. Romagnoli, E.S. Yoon: Online Fault Detection and Supervision in the Chemical Process Industries 2001 (Jejudo Island, Korea 2004) 36.94 D.H. Zhang, J.B. Zhang, Y.Z. Zhao, M.M. Wong: Event-based communications for equipment supervisory control, Proc. 10th IEEE Conf. Emerg. Technol. Fact. Autom. (Catania 2005) pp. 341– 347 36.95 T. Martin, J. Kivinen, J.E. Rinjdorp, M.G. Rodd, W.B. Rouse: Appropriate automation integrating human, organisation and culture factors, Preprints IFAC 11th World Congr., Vol. 1 (1990) pp. 47–65 36.96 F.G. Filip: Towards more humanized real-time decision support systems. In: Balanced Automation Systems; Architectures and Design Methods, ed. by L.M. Camarinha-Matos, H. Afsarmanesh (Chapman Hall, London 1995) pp. 230–240 36.97 F.G. Filip, D. Donciulescu, C.I. Filip: Towards intelligent real-time decision support systems, Stud. Inf. Control SIC 11(4), 303–312 (2002) 36.98 H. Simon: The New Science of Management Decisions (Harper & Row, New York 1960) 36.99 S.Y. Nof: Theory and practice in decision support for manufacturing control. In: Data Base Management, ed. by C.W. Holsapple, A.B. Whinston (Reidel, Dordrecht 1981) pp. 325–348 36.100 A. Bosman: Relations between specific DSS, Decis. Support Syst. 3, 213–224 (1987) 36.101 A.R. Charturverdi, G.K. Hutchinson, D.L. Nazareth: Supporting real-time decision-making through

36.102

36.103

36.104

36.105

36.106 36.107

36.108

36.109

36.110

36.111

36.112 36.113

36.114

36.115 36.116

36.117

machine learning, Decis. Support Syst. 10, 213–233 (1997) M. Cioca, L.I. Cioca, S.C. Buraga: Spatial [elements] decision support system used in disaster management, Digit. EcoSyst. Technol. Conf. 2007. DEST ’07. Inaugural IEEE-IES (Cairn 2006) pp. 607–612 G. De Michelis: Coordination with cooperative processes. In: Implementing Systems for Support Management Decisions, ed. by P. Humphrey, L. Bannon, A. McCosh, P. Migliarese, J.C. Pomerol (1996) pp. 108–123 R.H. Bonczek, C.W. Holsapple, A.B. Whinston: Foundations of Decision Support Systems (Academic, New York 1980) C.W. Holsapple, A.B. Whinston: Decision Support System: a Knowledge-Based Approach (West, Mineapolis 1996) A. Kusiak: Intelligent Management Systems (Prentice Hall, Englewood Cliffs 1990) A. Dutta: Integrated AI and optimization for decision support: a survey, Decis. Support Syst. 18, 213–226 (1996) L. Duta, J.M. Henrioud, F.G. Filip: Applying equal piles approach to disassembly line balancing problem, Proc. 16th IFAC World Congr. (Session Industrial Assembly and Disassembly) (Prague 2005), on CD ROM O. Mäyrä, T. Ahola, K. Leiviskä: Time delay estimation in large data bases, IFAC LSSTA Symp. (Gdansk 2007), on CD ROM F.G. Filip: System analysis and expert systems techniques for operative decision making, J. Syst. Anal. Model. Simul. 8(2), 296–404 (1990) I. Lefkowitz: Hierarchical control in large-scale industrial systems. In: Large Scale Systems, ed. by D.D. Haimes (North Holland, Amsterdam 1982) pp. 65–98 M. Brdys, B. Ulanicki: Operatioal Control of Water Systems (Prentice Hall, New York 1994) L. Bakule, F. Paulet-Crainiceanu, J. Rodellar, J.M. Rossell: Overlapping reliable control for a cable-stayed bridge benchmark, IEEE Trans. Control Syst. Technol. 4, 663–669 (2006) L. Bakule, J. Rodellar, J.M. Rossell: Robust overlapping guaranteed cost control of uncertain steady-state discrete-time systems, IEEE Trans. Autom. Control 12, 1943–1950 (2006) R. Srikant: The Mathematics of Internet Congestion Control (Birkhäuser, Boston 2004) D.M. Stipanovic, G. Inalham, R. Teo, C.J. Tomlin: Decentralized overlapping control of a formation of unmanned aerial vehicles, Automatica 40(1), 1285– 1296 (2004) S.S. Stankovic, M.J. Stanojevic, D.D. ˇSiljak: Decentralized overlapping control of a platoon of vehicles, IEEE Trans. Control Syst. Technol. 8, 816– 832 (2000)

639

Computer-Aid

37. Computer-Aided Design, Computer-Aided Engineering, and Visualization Gary R. Bertoline, Nathan Hartman, Nicoletta Adamo-Villani

This chapter is an overview of computer-aided design (CAD) and computer-aided engineering and includes elements of computer graphics, animation, and visualization. Commercial brands of three-dimensional (3-D) modeling tools are dimension driven, parametric, feature based, and constraint based all at the same time. The term constraint-based is intended to include all of these many facets. This means that, when geometry is created, the user specifies numerical values and requisite geometric conditions for the elemental dimensional and geometric constraints that define the object. Many of today’s modern CAD tools also operate on similar interfaces with similar geometry-creation command sequences [37.1] that operate interdependently to control the modeling process. Core modules include the sketcher, the solid modeling system itself, the dimensional constraint engine, the feature manager, and the assembly manager [37.2]. In most cases, there is also a drawing tool, and other modules that interface with analysis, manufacturing process planning, and machining. The 3-D animation production process can be divided into three main phases:

37.1 Modern CAD Tools ................................. 37.2 Geometry Creation Process .................... 37.3 Characteristics of the Modern CAD Environment ............ 37.4 User Characteristics Related to CAD Systems ..................................... 37.5 Visualization ........................................ 37.6 3-D Animation Production Process ......... 37.6.1 Concept Development and Preproduction ....................... 37.6.2 Production .................................. 37.6.3 Postproduction ............................

639 640 642 643 644 645 645 646 650

References .................................................. 651

• • •

Concept development and preproduction Production Postproduction and delivery.

These processes can begin with the 3-D geometry generated by CAD systems in the design process or 3-D models can be created as a separate process. The second half of the chapter explains the process commonly used to create animations and visualizations.

Today’s commercial brands of 3-D modeling tools essentially contain many of the same types of functions across the various vendor offerings. They are dimension driven, parametric, feature based, and constraint based all at the same time, and these terms have come to be synonymous when describing modern CAD systems [37.3]. For the purposes of this chapter, the term constraint-based will be intended to include all of these many facets. Generally this means that, when geometry is created, the user specifies numerical values and

requisite geometric conditions for the elemental dimensional and geometric constraints that define the object; for example, a rectangular prism would be defined by parameter dimensions that control its height, width, and depth. In addition, many of today’s modern CAD tools also operate on similar interfaces with similar geometry-creation command sequences [37.1]. Generally, most constraint-based CAD tools consist of software modules that operate interdependently to control the 3-D modeling process. They include core

Part D 37

37.1 Modern CAD Tools

640

Part D

Automation Design: Theory and Methods for Integration

modules such as the sketcher, the solid modeling system itself, the dimensional constraint engine, the feature manager, and the assembly manager [37.2]. In most cases, there is also a drawing tool, and other modules that interface with analysis, manufacturing process planning, and machining. The core modules are used in conjunction with each other (or separately as necessary) to develop a 3-D model of the desired product. In so doing, most modern CAD systems will produce the same kinds of geometry, irrespective of the software interface they possess. Many of the modern 3-D CAD tools combine constructive solid geometry (CSG) and boundary representation (B-rep) modeling functionality to form hybrid 3-D modeling packages [37.2, 3]. Traditionally, CSG used mathematical primitives to create 3-D models. They were efficient for the storage of the database, but they had difficulty with sculpted surfaces and editing the finished model. B-rep modelers use surfaces directly to represent the object three-dimensionally, so they tend to be very accurate. However, they also tend to have large database structures, hence the development of hybrids to capture the best characteristics of both B-rep and CSG. Constraint-based CAD tools create a solid model as a series of features that correspond to operations that would be used to create the physical object. Features can be created dependently or independently of each other with respect to the effects of modifications made to the geometry. If features are dependent, then an update to the parent feature will affect the child feature. This is known as a parent–child reference, and these references are typically at the heart of most modeling processes performed by the user [37.2]. The geometry of each feature is controlled by the use of modifiable constraints that allow for the dynamic update of model geometry

as the design criteria change. When a parent feature is modified, it typically creates a ripple effect that yields changes in the child features. This is one example of associativity – the fact that design changes propagate through the geometric database and associated derivatives of the model due to the interrelationships between model features. This dynamic editing capability is also reflected in assembly models that are used to document the manner in which components of a product interact with each other. Modifications to features contained in a part will be displayed in the parent part as well as in the assembly that contains the part. Any working drawings of the part or assembly will also update to reflect the changes. This is another example of associativity. A critical issue in the use of constraint-based CAD tools is the planning that happens prior to the creation of the model [37.3]. This is known as design intent. Much of the power and utility of constraint-based CAD tools is derived from the fact that users can edit and redefine part geometry as opposed to deleting and recreating it. This requires a certain amount of thought with respect to the relationships that will be established between and within features of a part and between components in an assembly. The ways in which the model will be used in the future and how it could potentially be manipulated during design changes are both factors to consider when building the model. The manner in which the user expects the CAD model to behave under a given set of circumstances, and the effects of that behavior on other portions of the same model or on other models within the assembly, is known as design intent [37.2, 3]. The eventual use and reuse of the model will have a profound effect on the relationships that are established within the model as well as the types of features that are used to create it, and vice versa.

Part D 37.2

37.2 Geometry Creation Process Geometry is created in modern constraint-based CAD systems using the modules and functionality described above, especially the sketcher, the dimensional constraint engine, the solid modeling system, and the feature manager. Modern CAD systems create many different kinds of geometry, which generally fall into one of three categories: wireframe, surface or solid. Most users work towards creating solid geometry. In doing so, the user often employs the larger functionality of the CAD system described in the previous section. To create solid geometry, the user considers their de-

sign intent and proceeds to make the first feature of the model. The most common way to create feature geometry within a part file is to sketch the feature’s cross-section on a datum plane (or flat planar surface already existing in the part file), dimension and constrain the sketched profile, and then apply a feature form to the cross-section. Due to the inherent inaccuracies of sketching geometric entities on a computer screen with a mouse, CAD systems typically employ a constraint solver. This portion of the software is responsible for resolving the geometric relationships and

Computer-Aided Design, Computer-Aided Engineering, and Visualization

641

Ø 34.925

19.05

V V HO

57.15

Fig. 37.1 Sketch geometry created on a plane and extruded for depth

use the CAD tool effectively, these two sets of models should be similar. In relation to the object–action interface model is the idea of a user’s mental model of the software tool [37.1]. This mental model is comprised of semantic knowledge of how the CAD system operates, the relationships between the different modules and commands, and syntactic knowledge that is comprised of specific knowledge about commands and the interface (Fig. 37.2). The process of creating 3-D geometry in this fashion allows the user to automate the capture of their design intent. Semantic and syntactic knowledge are combined once in the initial creation of the model to develop the intended shape of the object being modeled. This encoding of design knowledge allows the

tware processes Sof

rc se

Geometry manipulation

n

s

U

Fig. 37.2 Expert mental model of modern CAD system op-

eration

Part D 37.2

stics acteri har

Geometry creation

Design consi der ati o

general proportions between the sketched entities and the dimensions that the user applies to them, which is another example of automation in the geometric modeling process. The final stage of geometry creation is typically the application of a feature form, which is what gives a sketch its depth element. This model creation process is illustrated in Fig. 37.1. This automated process of capturing dimensional and parametric information as part of the geometry creation process is what gives modern CAD systems their advantage over traditional engineering drawing techniques in terms of return on investment and efficiency of work. Without this level of automation, CAD systems would be nothing more than an electronic drawing board, with the user being required to recreate a design from scratch each time. As the user continues to use the feature creation functions in the CAD system, the feature list continues to grow. It lists all of the features used to create a model in chronological order. The creation of features in a particular order also captures design intent from the user, since the order in which geometry is created will have a final bearing on the look (and possibly the function) of the object. In most cases, the feature tree is also the location where the user would go to consider modifying the order in which the model’s features were created (and rebuilt whenever a change is made to the topology of the model). As users become more proficient at using a constraint-based CAD system to create geometry, they adopt their own mental model for interfacing with the software [37.4]. This mental model typically evolves to match the software interface metaphor of the CAD system. In so doing, they are able to leverage their expertise regarding the operation of the software to devise highly sophisticated methods for using the CAD systems. This level of sophistication and automation by the user is due in some part to the nature of the constraint-based CAD tools. It is also what enables the user to dissect geometric models created by others (or themselves at a prior time) and reuse them to develop new or modified designs. Effective use of the tools requires that the user’s own knowledge base comprised of the conceptual relationships regarding the capture of design intent in the geometric model and the specific software skills necessary to create geometry be used. This requires the use of an object–action interface model and metaphor on the part of the user in order to be effective [37.1]. This interface model correlates the objects and actions used in the software with those used in the physical construction of the object being modeled. If a person is to

37.2 Geometry Creation Process

642

Part D

Automation Design: Theory and Methods for Integration

labor of creating geometry to be stored and used again when the model is used in the future. This labor storage is manifested within the CAD system inside the geometric features themselves, and the script for playing back that knowledge-embedding process is captured within the feature manager as described in Sect. 37.2. It

is generally common knowledge within the modern 3-D modeling environment that a user will likely work with models created by other people and vice versa. As such, having a predictable means to include design intent in the geometric model is critical for the reuse of existing CAD models within an organization.

37.3 Characteristics of the Modern CAD Environment Computer-aided design systems are used in many places within a product design environment, but each scenario tends to have a common element: the need to accurately define the geometry which represents an object. This could be in the design engineering phase to depict a product, or during the manufacturing planning stage for the design if a fixture to hold a workpiece. Recently, these CAD systems have been coupled with product data management (PDM) systems to track the ongoing changes through the lifecycle of a product. By so doing, the inherent use of the CAD system can be tracked, knowledge about the design can be stored, and permissions can be granted to appropriate users of the system. While the concept of concurrent engineering is not new, contemporary depictions of that model typically show a CAD system (and often a PDM system) at the center of the conceptual model, disseminating embedded information for use by the entire product development team throughout the product lifecycle [37.3].

Part D 37.3 Fig. 37.3 User script for automatic geometry generation

To use a modern CAD system effectively, one must understand the common inputs and outputs of the system, typically in light of a concurrent and distributed design and manufacturing environment. Input usually takes the form of numerical information regarding size, shape, and orientation of geometry during the product model creation process. This information generally comes directly from the user responsible for developing the product; however, it is not uncommon to get CAD input data from laser scanning devices used for quality control and inspection, automated scripts for generating seed geometry, or translated files from other systems. As with other types of systems, the quality of the information put into the system greatly affects the quality of the data coming out of the system. In today’s geographically dispersed product development environment, CAD geometry is often exported from the CAD system is a neutral file format (e.g., IGES or STEP) to be shared with other users up

Computer-Aided Design, Computer-Aided Engineering, and Visualization

and down the supply chain. Detailed two-dimensional drawings are often derived from the 3-D model in a semi-automated fashion to document the product and to communicate with suppliers. In addition, 3-D CAD data is generally shared in an automated way (due to integration between digital systems) with structural and manufacturing analysts for testing and process planning. Geometry creation within CAD systems is also automated for certain tasks, especially those of a repetitive nature. The use of geometry duplication functions often involves copying, manipulating, or moving selected entities from one area to another on a model. This reduces the amount of time that it takes a user to create their finished model. However, it is critical that the user be mindful of parent–child references as described previously. While these references are elemental to the very nature of modern CAD systems, they can make the modification and reuse of design geometry tenuous at a later date, thereby negating any positive effects of a user having copied geometry in an effort to save time. Geometry automation also exists in the form of using scripting and programming functionality in modern CAD systems to generate geometry based on common templates. This scenario is particularly helpful when it is necessary to produce variations of objects with high degrees of accuracy and around which exists a fair amount of tribal knowledge and corporate practice. A set of parameters are created that represents corporate knowledge to be embedded into the geometry to control its shape and behavior and then the CAD system generates the desired geometry based on user inputs (Figs. 37.3 and 37.4). In the example of the airfoil, aerodynamic data has been captured by an engineering analyst and input into a CAD system us-

37.4 User Characteristics Related to CAD Systems

Cap

Leading edge Suction side Radial spline

Trailing edge

Section splines

Partial flowpath Pressure side

Fig. 37.4 Airfoil geometry generated from script (labels not generated as part of script)

ing a knowledge-capture module of the software. These types of modules allow a user to configure the behavior of the CAD system when it is supplied with a certain type of data in the requisite format. This data represents the work of the analyst, which is then used to automate the creation of the 3-D geometry to represent the airfoil. Such techniques are beginning to replace the manual geometric modeling tasks performed by users on designs that require a direct tie to engineering analysis data, or on those designs where a common geometry is shared among various design options.

tools, training in how to use the system is critical. Not just at a basic level for understanding the commands themselves, but the development of a community of practice to support the ongoing integration of user knowledge into organizational culture and best practices is critical. Complementary to user training, and one of the reasons for why relevant training is important in the use of CAD tools, is for users to develop strategic knowledge in the use of the design systems. Strategic knowledge is the application of procedural and factual knowledge

Part D 37.4

37.4 User Characteristics Related to CAD Systems Contemporary CAD systems require a technological knowledge base independent of (yet complementary to) normal engineering fundamentals. An understanding of design intent related to product function and how that is manifested in the creation of geometry to represent the product is critical [37.4, 5]. Users require the knowledge of how the various modules of a CAD systems work and the impact of their command choices on the usability of geometry downstream in the design and manufacturing process. In order to enable users to accomplish their tasks when using CAD

643

644

Part D

Automation Design: Theory and Methods for Integration

in the use of CAD systems directed towards a goal within a specific context [37.6–8]. It is through the development of strategic knowledge that users are able to effectively utilize the myriad functionality within modern CAD systems. Nearly all commercial CAD systems have similar user interfaces, similar geometry creation techniques, and similar required inputs and optional

outputs. Productivity in the use of CAD systems requires that users employ their knowledge of engineering fundamentals and the tacit knowledge gathered from their environment, in conjunction with technological and strategic knowledge of the CAD system’s capabilities, to generate a solution to the design problem at hand.

37.5 Visualization Visualization information can be presented in visual formats such as text, graphics, charts, etc. This visualization makes applications simpler to understand by human users. Visualization is useful in automation not only for supervision, control, and decision support, but also for training. A variety of visualization methods and software are available, including geographic information systems and virtual reality (see examples in Chaps. 15, 16, 26, 27, 34, 38, and 73). A geographic information system (GIS) is a computer-based system for capturing, manipulating, and displaying information using digitized maps. Its key

characteristic is that every digital record has an identified geographical location. This process, called geocoding, enables automation applications for planning and decision making by mapping visualized information. Virtual reality is interactive, computer-generated, three-dimensional imagery displayed to human users through a head-mounted display. In virtual reality, the visualization is artificially created. Virtual reality can be a powerful medium for communication and collaboration, as well as entertainment and learning. Table 37.1 lists examples of visualization applications (see also Chap. 15 on Virtual Reality and Automation).

Table 37.1 Examples of visualization applications

Application domain

Examples of visualization applications

Manufacturing

Virtual prototyping and engineering analysis Training and experimenting Ergonomics and virtual simulation Design of buildings Design of bridges Design of tools, furniture Advertising and marketing Presentation in e-Commerce, e-Business Presentation of financial information Physical therapy and recovery Interpretation of medical information and planning surgeries Training surgeons Virtual laboratories Representation of complex math and statistical models Spatial configurations Virtual explorations: art and science Virtual-reality games Learning and educational simulators

Design

Business

Part D 37.5

Medicine

Research and development

Learning and entertainment

Computer-Aided Design, Computer-Aided Engineering, and Visualization

37.6 3-D Animation Production Process

645

37.6 3-D Animation Production Process Computer animations and simulations are commonly used in the engineering design process to visualize movement of parts, determine possible interferences of parts, and to simulate design analysis attributes such as fluid and thermal dynamics. The 3-D model files of most CAD systems can be converted into a format that can be used as input into popular animation software programs, such as Maya and 3ds Max. Once the files have been input into the animation software program, the animation process can begin. The animation process can be quite complex, depending on the level of realism necessary for the design visualization. This section will describe the steps necessary to create design animations from CAD models. The 3-D animation production process can be divided into three main phases:

• • •

Concept development and preproduction (Sect. 37.6.1) Production (Sect. 37.6.2) Postproduction and delivery (Sect. 37.6.3).

37.6.1 Concept Development and Preproduction Several key activities take place during this phase, including story development and visual design, production planning, storyboarding, soundtrack recording, animation timing, and production of the animatic. Every animation tells a story, “. . . you need not to have characters to have a story . . . ” [37.9]; for example

an architectural walkthrough or a medical visualization has a story in the sense that the events progress in a logical and effectively developed way. Story development begins with a premise – an idea in written form [37.10]. When the premise is approved, it is expanded into an outline or treatment – a scene-by-scene description of the animation – and the treatment is fleshed out into a full script. Visual design is carried out concurrently to story development. It is during this conceptual stage that the visual style of the animation is defined, character/object/environment design is finalized and approved for production, and the story idea is translated into a visual representation – the storyboard. A storyboard is a sequence of images – panels – and textual descriptions describing the story, design, action, pacing, sound track, effects, camera angles/moves, and editing of the animation. Figure 37.5 shows an example of a preliminary storyboard. Animation timing is the process of pacing the action on the storyboard panels in order to tell the story in a clear and effective manner. The most common method of timing is the creation of a story reel or animatic. The creation of the animatic [37.11]: “. . . is essentially the process of combining the sound track with the storyboard to pace out the sequence”. In addition to scanned-in storyboard panels with digitized soundtrack, the animatic can include simulated camera moves and rough motion of characters and objects. The main purpose of the animatic is to show the flow of the story by blocking the timing of the individual shots and defining the transitions between them. It provides an opportunity to

Part D 37.6

Fig. 37.5 An example of preliminary storyboard illustrating the futuristic assembly process of a Boeing 787 (courtesy of Purdue University, with permission from N. Adamo-Villani, C. Miller)

646

Part D

Automation Design: Theory and Methods for Integration

experiment with different cinematic solutions and to visualize whether the final animation makes sense as a filmic narrative.

37.6.2 Production The production phase includes the following activities: 3-D modeling, texturing, rigging, animation, camera setup, lighting, and rendering.

Part D 37.6

Modeling “Modeling is the spatial description and placement of objects, characters, environments and scenes with a computer system” [37.12]. In general, 3-D models for animation are produced using one of four approaches: surface modeling, particle-system modeling, procedural modeling or digitizing techniques. In surface modeling surfaces are created using spline, polygon or subdivision surfaces modeling methods. A spline model consists of one or several patches, i. e., surfaces generated from two spline curves. Different splines generate different types of patches; the majority of spline models used in 3-D animation consist of nonuniform rational B-splines (NURBS) patches, which are generated from NURBS curves. A polygonal model consists of flat polygons, i. e., multisided objects composed of edges, vertices, and faces; a subdivision surface results from repeatedly refining a polygonal mesh to create a progressively finer mesh. Each subdivision step refines a submesh into a supermesh by inserting more vertices. In this way several levels of detail are created, allowing highly detailed modeling in isolated areas. Common techniques used to create surface models include: lathe, extrude, loft, and Boolean operations; for instance, a polygonal mesh or a NURBS surface can be created by drawing a curve in space and rotating it around an axis (lathe or revolve); or by drawing a curve and pushing it straight back in space (extrude); or by connecting a series of contour curves (loft). Boolean operators allow for combination of surfaces in various ways to produce a single piece of geometry. Three Boolean operations are commonly used in 3-D modeling for animation: addition or union, subtraction, and intersection. The addition operation combines two surfaces into a single, unified surface; the subtraction operation takes away from one object the space occupied by another object, and the intersection operation produces an object consisting of only those parts shared by two objects, for instance, overlapping parts.

Particle-system modeling is an approach used to represent phenomena as fire, snow, clouds, smoke, etc. which do not have a stable and well-defined shape. Such phenomena would be very difficult to model with surface or solid modeling techniques because they are composed of large amounts of molecule-sized particles rather than discernible surfaces. In particle-system modeling, the animator creates a system of particles, i. e., graphical primitives such as points or lines, and defines the particles’ physical attributes. These attributes control how the particles move, how they interact with the environment, and how they are rendered. Dynamics fields can also be used to control the particles’ motion. Procedural modeling includes a number of techniques to create 3-D models from sets of rules. L-systems, fractals, and generative modeling are examples of procedural modeling techniques since they apply algorithms for producing scenes; for instance, a terrain model can be produced by plotting an equation of fractal mathematics that recursively subdivides and displaces a patch. When a physical model of an object already exists, it is possible to create a corresponding 3-D model using various digitizing methods. Examples of digitizing tools include 3-D digitizing pens and laser contour scanners. Each time the tip of a 3-D pen touches the surface of the object to be digitized, the location of a point is recorded. In this way it is possible to compile a list of 3-D coordinates that represent key points on the surface. The 3-D modeling software uses these points to build the corresponding digital mesh, which is often a polygonal surface. In laser contour scanning the physical object is placed on a turntable, a laser beam is projected onto its surface, and the distance the beam travels to the object is recorded. After each 360◦ rotation a contour curve is produced and the beam is lowered a bit. When all contour curves have been generated, the 3-D software builds a lofted surface. Surface models can be saved to a variety of formats. Some file formats are exclusive to specific software packages (proprietary formats), while others are portable, which means they can be exchanged among different programs. The two most common portable formats are “.obj” (short for object) introduced by Alias for high-end computer animation and visual effects productions, and the drawing interchange format (DXF) developed by Autodesk and widely used to exchange models between CAD and 3-D animation programs.

Computer-Aided Design, Computer-Aided Engineering, and Visualization

Texturing Texturing is the process of defining certain characteristics of a 3-D surface such as color, shininess, reflectivity, transparency, incandescence, translucence, and smoothness. Frequently, these characteristics or parameters are treated as a single set called a shader or a computer graphics (CG) material. A shader parameter can be assigned a single value (for, example the color parameter can be assigned an RGB value of 255,0,0; in this case the entire surface is red), or the value can vary across the surface. Two-dimensional (2-D) texture mapping is a method of varying the texture parameter values across the surface using 2-D images. For example a 2-D picture can be applied to a 3-D surface to produce a certain color or transparency pattern. The 2-D picture can be a digital photo, a scanned image, an image produced with a 2-D paint program, or it can be generated procedurally. In general, the application of the 2-D image to the 3-D surface can be implemented in two ways: by projecting the image onto the surface (projection mapping) or by stretching it across the surface (parameterized mapping). In certain situations 2-D texture mapping does not produce realistic texture effects; for instance, a virtual block of marble rendered using 2-D texture techniques will appear to be wrapped in marblepatterned paper, rather than made of marble. To solve this problem it is possible to use another technique called solid texture mapping. The idea behind this technique is that you create a virtual volume of texture and you immerse your object in that volume [37.9]. Many 3-D software packages allow for creation of procedural 3-D textures. Figure 37.6 shows a rendering produced using a variety of texturing techniques.

maps: color, transparency, bump, reflection, and translucence (courtesy of Purdue University, with permission from N. Adamo-Villani)

Rigging Rigging is the process of setting up a 3-D object or character for animation. Common rigging techniques include: forward kinematics (FK) and inverse kinematics (IK), hierarchical models, skeletal systems, limits, and constraints. When the 3-D object/character to be animated is made of multiple segments, the segments (or nodes) can be organized in an FK hierarchical model. In an FK hierarchy a node just below another node is called a child, while the node just above is called a parent, and the flow of transformations goes from parent to child. In order to animate an FK hierarchical model, each node needs to be selected and transformed individually to attain a certain pose. This process can become complicated and tedious when the model is very elaborate; for example, imagine a situation in which the animator needs to place the hand of a 3-D human-like character on a particular object. With an FK model, the animator has to first rotate the shoulder, then the lower arm, then the wrist, hand and fingers, working from the top of the hierarchy down. He cannot select the hand and place it on the object because the other parts of the arm will not follow, as they are parents of the hand. This problem can be solved by creating an inverse kinematics model in which the transformations travel upward through the hierarchy, for instance, from the hand to the shoulder. In this case the animator can place the hand on the object and have the other segments (lower arm and upper arm) follow the motion. An IK model is also called an IK chain and each node is referred to as a link. The first link of the chain is called the root of the chain and the end point of the last link is called the effector (as it affects the positions of all the other links, i. e., it effects the IK solution). In principle, each link in a chain can rotate any number of degrees around any axis. While these unrestricted rotations may be appropriate in certain situations, they are not likely to produce realistic results when the IK system is applied, for instance, to a human or animal model. This is due to the fact that human and animal joints have rotational limits; for example, the knee joint cannot bend beyond ≈ 180◦ . To solve this problem it is common to set up limits and constraints. Limits can be defined for any of a model’s three basic transformations (translation, rotation and scale); in addition, the transformations can be constrained (i. e., associated) to the transformations of other objects. Position or point, rotation or orient, and direction or aim are common types of constraints used in 3-D animation.

647

Part D 37.6

Fig. 37.6 A 3-D image produced using a variety of texture

37.6 3-D Animation Production Process

648

Part D

Automation Design: Theory and Methods for Integration

Many 3-D animation packages allow for creation of both FK and IK models. In general, to rig complex characters or objects the animator creates a skeletal system, i. e., a hierarchical model composed of joints connected by bones. The segments that make up the 3-D character/object are parented to the joints of the skeleton and the skeleton can function as an FK or IK model, with the possibility to switch between the two modes of operation during the animation process. If the 3-D object/character is supposed to deform during motion, the 3-D geometry can be attached (or skinned) to the skeletal joints; in this case the skeleton functions as a deformation system.

Part D 37.6

Animation Common 3-D animation techniques include: keyframe, motion path, physically-based, and motion capture animation. In keyframe animation, the animator sets key values to various objects’ parameters and saves these values at particular points in the timeframe; this process is called setting keyframes. After the animator has defined the keyframes, the 3-D software interpolates the values of the object’s parameters between the keyframes. To gain more control of the interpolation, a parameter curve editor is available in the majority of 3-D animation packages. The parameter curve editor shows a graphical representation of the variation of a parameter’s value over time (the animation curve. The animation curves are Bézier curves whose control points are the actual keyframes. Two tangent handles (vectors) are available at each control point (or keyframe) and allow the animator to manipulate the shape of the curve and thus the interpolation. Motion path animation is used when the object to be animated needs to follow a well-defined path (for instance, a train moving along the tracks). In this case the animator draws the path, attaches the object to the path, and defines the number of frames required to reach the end of the path. In addition, the animator has the ability to control the rate of motion along the path, and the orientation of the object. The main advantage of motion path over keyframe animation is that, if the path is modified, the animation of the object that follows it updates to the path’s changes. Physically based animation is based on dynamics methods and is used to generate physically accurate simulations. Several steps are required to set up a dynamic simulation including definition of the objects’ physical attributes (i. e., mass, initial velocity, elasticity, etc.); modeling of dynamic forces acting upon the objects; and definition of collisions. A dynamic simu-

lation can be baked before the animation is rendered; baking is the process of generating an animation curve for each parameter of an object whose change over time is caused by the dynamic simulation. Motion capture animation, also referred to as performance animation or digital puppetry [37.13]: . . . involves measuring an actor’s (or object) position and orientation in physical space and recording that information in a computer-usable form. In general the position or orientation of the actor is measured by a collection of input devices (optical markers or sensors) attached to the actor’s body. Each input device has three degrees of freedom (DOF) and produces 3-D rotational or translational data which are channeled to the joints of a virtual character. As the actor moves, the input devices send data to the computer model. These data are used to control the movements of the character in real time, and to generate the animation curves. Motion capture animation is often used when the animation of the 3-D character needs to match the performance of the actor very precisely. Camera Setup The point of view from which a scene is observed is defined by the CG camera. The point of view is determined by two components or nodes: the location of the camera and the camera center of interest. The location is a point in space, while the center of interest can be specified as a location in space (i. e., a triplet of XYZ coordinate values) or as camera direction (i. e., a triplet of XYZ rotational values). In addition to location and center of interest, important attributes of a CG camera include the zoom parameter [whose value determines the width of the field of view (FOV) angle], depth of field, and near and far clipping planes, used to clip the viewable (and therefore renderable) 3-D space in the Z direction. Lighting The process of lighting a CG scene involves selecting the types of light to be used, defining their attributes, and placing them in the virtual environment. Common types of light used in CG lighting include ambient lights, spotlights, point lights, and directional lights. An ambient light simulates the widely distributed, indirect light that has bounced off objects in the 3-D scene and provides a uniform level of illumination; a point light emanates light in all directions from a specific location in space (simulating a light bulb); a spotlight is defined by location and direction and emits light in

Computer-Aided Design, Computer-Aided Engineering, and Visualization

a cone-shaped beam of variable width; and a directional light (or infinite light) is assumed to be located infinitely far away and simulates the light coming from the sun. Common parameters of CG lights are: intensity, color, falloff (i. e., decrease of intensity with distance from the light source), and shadow characteristics such as shadow color, resolution, and density. In general, CG shadows are calculated using two popular techniques: ray-tracing and shadow depth-maps. Ray-tracing traces the path of a ray of light from the light source and determines whether objects in the scenes would block the ray to create a shadow; depth-mapped shadows use a precalculated depth map to determine the location of the shadows in the scene. Each pixel in the depth map represents the distance from the light source to the nearest shadow-casting surface in a specific direction. During rendering the light is cut off at the distances specified by the depth map with the result of making the light appear to be blocked by the objects. The shadows in Fig. 37.7 were generated using this technique. Rendering Rendering is the process of producing images from 3-D data. Most rendering algorithms included in 3-D animation software packages use an approach called scan-line rendering. A scan line is a row of pixels in a digital image; in scan-line rendering the program calculates the color of each pixel one after the other, scan line by scan line. The calculation of the pixels’ colors can be done using different algorithms such as ray-casting, ray-tracing, and radiosity. The idea behind

37.6 3-D Animation Production Process

649

ray-casting is to cast rays from the camera location, one per pixel, and find the closest object blocking the path of that ray. Using the material properties and the effect of the lights on the object, the ray-casting algorithm can determine the shading of this object. Ray-casting algorithms do not render reflections and refractions because they render the shading of each surface in the scene as if it existed in isolation, in other words other objects in the scene do not have any effect on the object being rendered. Ray-tracing addresses this limitation by considering all surfaces in the scene simultaneously. As each ray per pixel is cast from the camera location, it is tested for intersection with objects within the scene. In the event of a collision, the pixel’s color is updated, and the ray is either recast or terminated based on material properties (such as reflectivity and refraction) and maximum recursion allowed. Although the ray-tracing algorithm can represent optical effects in a fairly realistic way, it does not render the diffuse reflection of light from one surface to another. This effect happens, for instance, when a blue object is close to a white wall. Even if the wall has very low specularity and reflectivity it will take a bluish hue because light bounces in a very diffuse way from the surface of the object to the surface of the wall. It is possible to render this phenomenon using a radiosity algorithm, which divides the surfaces in the scene into smaller subsurfaces or patches. A form factor is computed for each pair of subsurfaces; form factors are a coefficient describing how well the patches can see each other. Patches that are far away from each other, or oriented at oblique angles relative to one an-

All geometry resides within the blue circle

Fig. 37.7 A 3-D image rendered using a lighting setup composed of a dome of spotlights with depth-mapped shadows

(courtesy of Purdue University, with permission from N. Adamo-Villani, C. Miller)

Part D 37.6

Lights–91 spotlights Intensity – 0.2 Falloff–52 Depth map resolution – 600 Shadow density – 1.35

650

Part D

Automation Design: Theory and Methods for Integration

other, will have small form factors while patches that are close to each other and facing each other 100% will have a form factor close to 1. The form factors are used as coefficients in a linearized form of the rendering equation, which yields a linear system of equations. Solving this system yields the radiosity, or brightness, of each patch, taking into account diffuse interreflections and soft shadows [37.14]. Key activities of the preproduction and production phases are illustrated in Fig. 37.8.

for example, Fig. 37.9 is a composite created from three different original images: the buildings, roads, and grass areas are a CG rendering produced from a three-dimensional model; the trees are also computer generated 3-D imagery rendered in a different pass as paint effects strokes; and the sky is a digital photograph projected onto a 3-D dome and used as a backdrop. In addition many of the elements in the image had some additional processing performed on them as they were added to the scene (for example, color and size adjustments).

37.6.3 Postproduction The postproduction phase includes two main activities: digital compositing and digital output. Digital Compositing In general an animated sequence includes images from multiple sources that are integrated into a single, seamless whole. Digital compositing is the process of digitally manipulating and combining at least two source images to produce an integrated result [37.15];

1. Conceptual design

4. Rigging

Digital Output Computer animation sequences can be output in the form of digital files, video or film. Some of the most popular digital file formats for saving animation sequences include: QuickTime, Motion Pictures Expert Group (MPEG), audio video interleaved (AVI), and Windows Media. The QuickTime format stores both video and audio data. It is a cross-platform format that supports different spatial and temporal resolutions and provides a variety of compression options. MPEG

2. Modeling

5. Lighting/camera setup (3-D layout)

3. Texturing

6. Animation and final rendering

Part D 37.6 Fig. 37.8 Image showing key activities of the 3-D animation production process (courtesy of Purdue University and Educate for Tomorrow, Inc., with permission from N. Adamo-Villani, R. Giasolli)

Computer-Aided Design, Computer-Aided Engineering, and Visualization

(developed by the Motion Pictures Expert Group) is a popular format for compressing animations; the data compression is based on the removal of data that are identical or similar not just within the frame, but also between frames [37.12]. The AVI format (introduced by Microsoft in 1992) is a generic Windows-only format for moving images; a more recent version of this format is Windows Media, which offers more efficient compression for streaming high-resolution images. Output on video can be done on a variety of video formats (both analog and digital). Commonly used digital formats include high-definition formats such as D6, HD-D5, HDCAM, DVCPRO and standard-definition formats such as D1, Digital Betacam, IMX, DV(NTSC), D-VHS, and DVD. Conclusions and Emerging Trends This chapter provided an overview of computer-aided design and computer-aided engineering and included elements of computer graphics, animation, and visualization. Today’s commercial brands of 3-D modeling tools essentially contain many of the same functions, irrespective of which software vendor is chosen. CAD software programs are dimension driven, parametric, feature based, and constraint based all at the same time, and these terms have come to be synonymous when describing modern CAD systems. Computer animations and simulations are commonly used in the engineering design process to visualize movement of parts, determine possible interferences of parts, and to simulate design analysis attributes such as fluid and thermal dynamics. Today most CAD systems 3-D model files can be converted into a format that can be used as input into popular animation software programs, such as Maya and 3ds Max. However, in the future it is anticipated that there will be a tighter integration between CAD and animation programs. CAD vendors will be

References

Fig. 37.9 An example composite image (courtesy of Purdue Uni-

versity, with permission from N. Adamo-Villani, G. Bertoline and M. Sozen)

under greater pressure to partner large enterprise software companies and become more product life cycle management (PLM) centric. This will result in CAD and animation becoming a part of a larger suite of software products used in industry. The rapid development of information technology and computer graphics technology will impact the hardware platforms and software development related to CAD and animation. This will result in even more feature-rich CAD software programs and capabilities. Faster screen refresh rates of large CAD models, the ability to collaborate at great distances in real time, shorter animation rendering times, and higher-resolution images are a few improvements that will result from the rapid development of information technology and computer graphics technology. Overall, there is an exciting future for CAD and animation in the future that will result in many positive impacts and changes for industries and businesses that depend on CAD and animation as a part of their day-today business.

37.2

37.3

37.4

E.N. Wiebe: 3-D constraint-based modeling: finding common themes, Eng. Des. Graph. J. 63(3), 15–31 (1999) P.J. Hanratty: Parametric/relational solid modeling. In: Handbook of Solid Modeling, ed. by D.E. Lacourse (McGraw-Hill, New York 1995) pp. 8.1–8.25 G.R. Bertoline, E.N. Wiebe: Fundamentals of Graphic Communications, 5th edn. (McGraw-Hill, Boston 2006) N.W. Hartman: Defining expertise in the use of constraint-based CAD tools by examining practic-

37.5

37.6

37.7

ing professional, Eng. Des. Graph. J. 69(1), 6–15 (2005) N.W. Hartman: The development of expertise in the use of constraint-based CAD tools: examining practicing professionals, Eng. Des. Graph. J. 68(2), 14–25 (2004) S.K. Bhavnani, B.E. John: Exploring the unrealized potential of computer-aided drafting, Proc. CHI’96 (1996) pp. 332–339 S.K. Bhavnani, B.E. John: From sufficient to efficient usage: An analysis of strategic knowledge, Proc. CHI’97 (1997) pp. 91–98

Part D 37

References 37.1

651

652

Part D

Automation Design: Theory and Methods for Integration

37.8

37.9 37.10 37.11

S.K. Bhavnani, B.E. John, U. Flemming: The strategic use of CAD: An empirically inspired, theory-based course, Proc. CHI’99 (1999) pp. 183– 190 M. O’Rourke: Principles of Three-Dimensional Computer Animation, 3rd edn. (Norton, New York 2003) J.A. Wright: Animation Writing and Development (Focal, Oxford 2005) C. Winder, Z. Dowlatabadi: Producing Animation (Focal, Oxford 2001)

37.12 37.13 37.14

37.15

I.V. Kerlow: The Art of 3-D: Computer Animation and Effects, 2nd edn. (Wiley, Indianapolis 2000) S. Dyer, J. Martin, J. Zulauf: Motion capture white paper (1995) C. Goral, K.E. Torrance, D.P. Greenberg, B. Battaile: Modeling the interaction of light between diffuse surfaces, Comput. Graph. 18(3), 213–222 (1984) R. Brinkmann: The Art and Science of Digital Compositing (Morgan Kaufmann, Sand Diego 1999 )

Part D 37

653

Design Autom

38. Design Automation for Microelectronics

Deming Chen

Design automation or computer-aided design (CAD) for microelectronic circuits has emerged since the creation of integrated circuits (IC). It has played a crucial role to enable the rapid development of hardware and software systems in the past several decades. CAD techniques are the key driving forces behind the reduction of circuit design time and the optimization of circuit quality. Meanwhile, the exponential growth of circuit capacity driven by Moore’s law prompts new and critical challenges for CAD techniques. Moore’s law describes an important trend in the history of the semiconductor industry: that the number of transistors per unit chip area would be doubled approximately every 2 years. This observation was first made by Intel co-founder Gordon E. Moore in a paper in 1965. Moore’s law has held true for the past four decades, and many people believe that it will continue to apply for at least another decade before reaching the fundamental physical limits of device fabrication. In this chapter we will introduce the fundamentals of design automation as an engineering field. We begin with several important processor

38.1 Overview.............................................. 653 38.1.1 Background on Microelectronic Circuits............. 653 38.1.2 History of Electronic Design Automation .... 656 38.2 Techniques of Electronic Design Automation ............ 38.2.1 System-Level Design..................... 38.2.2 Typical Design Flow ...................... 38.2.3 Verification and Testing ................ 38.2.4 Technology CAD ............................ 38.2.5 Design for Low Power ...................

657 657 658 662 663 664

38.3 New Trends and Conclusion ................... 665 References .................................................. 667

technologies and several existing IC technologies. We then present a typical CAD flow covering all the major steps in the design cycle. We also cover some important topics such as verification and technology computer-aided design (TCAD). Finally, we introduce some new trends in design automation.

38.1 Overview 38.1.1 Background on Microelectronic Circuits Processor technology refers to the architecture of the computation engine used to implement the desired functionality of an electronic circuit. It is categorized into three main branches: general-purpose processors, application-specific instruction set processors, and single-purpose processors [38.1]. A general-purpose processor, or microprocessor, is a device that executes software through instruction codes. Therefore, they are

Part D 38

Microelectronic circuits are ubiquitous nowadays. We can find them not only in desktop computers, laptops, and workstations, but also in consumer electronics, home and office appliances, automobiles, military applications, telecommunication applications, etc. Due to different requirements of these applications, circuits are designed differently to pursue unique features suitable for the specific application. In general, these devices are built with two fundamental and orthogonal technologies: processor technology and IC technology.

654

Part D

Automation Design: Theory and Methods for Integration

software-programmable. This processor has a program memory that holds the instructions and a general datapath that executes the instructions. The general datapath consists of one or several general-purpose arithmetic logic units (ALUs). An application-specific instruction set processor (ASIP) is a software-programmable processor optimized for a particular class or domain of applications, such as signal processing, telecommunication, or gaming applications. To fit the application, the datapath and instructions of such a processor are customized; for example, one type of ASIP, the digital signal processor (DSP), may have special-purpose datapath components such as a multiply–accumulate unit, which can perform multiply-and-add operations using only one instruction. Finally, a single-purpose processor is a digital circuit designed to serve a single purpose – executing exactly one program. It represents an exact fit of the desired functionality and is not softwareprogrammable. Its datapath contains only the essential components for this program and there is no program memory. A general-purpose processor offers maximum flexibility in terms of the variety of applications it can support but with the least efficiency in terms of performance and power consumption. On the contrary, single-purpose processors offer the maximum performance and power efficiency but with the least flexibility in terms of the applications they can support. The ASIP offers a compromise between these two extremes. IC technology refers to the specific implementation method or the design style of the processing engine on an IC. It is categorized into three main branches: full custom, semicustom, and programmable logic device (PLD) [38.2]. Full custom refers to the design style where the functional and physical designs are hand-

crafted. This would provide the best design quality but also requires the extensive effort of a design team to optimize each detailed feature of the circuit. Since the design effort and cost are high, this design style is usually used in high-volume (thus cost can be amortized) or high-performance applications. Semicustom design is also called application-specific integrated circuit (ASIC). It tries to reduce the design complexity by restricting the circuit primitives to a limited number. Such a restriction allows the designer to use welldesigned circuit primitives (gates or functional blocks) and focus on their efficient interconnection. Such a restriction also makes it easier to develop computer-aided design tools for circuit design and optimization and reduce the design time and cost. Today the number of semicustom designs outnumbers custom designs significantly, and some high-performance microprocessors have been designed partially using semicustom style, especially for the control logic (e.g., IBM’s POWERseries processors and SUN Microsystems’ UltraSPARC T1 processors). Semicustom designs can be further partitioned into several major classes. Figure 38.1a shows such a partition. Cell-based design generally refers to standard cell design, where the fundamental cells are stored in a library. Cells often are simple gates and latches, but can be complex gates, memories, and logic blocks as well. These cells are pretested and precharacterized. The maintenance of the library is not a trivial task since each cell needs to be characterized in terms of area, delay, and power over ranges of temperatures and supply voltages [38.2]. Companies offer standard cell libraries for use with their fabrication and design technologies, and amortize the effort of designing the

a)

b) PLD

Semicustom/ASIC

Part D 38.1

Cell-based

Array-based

Platform-based

CPLD

Standard cell

Gate arrays Sea of gates Structured ASICs

System-on-a-chip IP-based

EPROM-based EEPROM-based Flash-based

FPGA

SRAM-based Anti-fuse-based Flash-based

Fig. 38.1a,b Classification of (a) semicustom design and (b) PLD design (SRAM: static random access memory; EPROM: erasable programmable read-only memory; EEPROM: electrically erasable programmable read-only memory)

Design Automation for Microelectronics

38.1 Overview

655

Table 38.1 Comparison of IC technologies

Metrics

Full custom

Semicustom

PLD

Nonrecurring engineering (NRE) cost Unit cost (low volume) Unit cost (high volume) Design time Logic density Circuit performance Circuit power consumption Flexibility

Very high Very high Low Very long Very high Very high Low Low

Medium-high Medium-high Low Medium-long High High Medium Medium

Low Low High Short Low-medium Low-medium High High

up table (LUT). The PLAs are programmed through a mapping of logic functions in a two-level representation onto the AND/OR logic array, and the LUTs are programmed by setting bits in the LUT memory cells that store the truth table of logic functions. In general, CPLDs’ routing structures are simpler than those of FPGAs. Therefore, the interconnect delay of CPLD is more predictable compared with that of FPGAs. FPGAs usually offer much larger logic capacity than CPLDs, mainly because LUTs offer finer logic granularity than PLAs so they are suitable to be replicated massively to help achieve complex logic designs. Nowadays, a highend commercial FPGA, such as Altera Stratix III and Xilinx Virtex-5, can contain more than 300 K LUTs. Hardware programmability has significant advantages of short design time, low design cost, and fast time to market, which become more important when the design is complex. However, PLDs offer less logic density compared with semicustom designs, mainly because they occupy a significant amount of circuit area to add in the programming bits [38.7]. Nonetheless, the number of new design starts using PLDs significantly outnumbers the new semicustom design starts. According to research firm Gartner/Dataquest, in the year 2007, there were nearly 89 000 FPGA design starts, and this number will swell to 112 000 in 2010 – some 25 times that of semicustom/ASIC designs [38.8]. Figure 38.1b provides further characterization for the implementation styles of CPLDs and FPGAs. An important fact is that different IC technologies have different advantages and disadvantages in terms of circuit characteristics. Table 38.1 lists the comparison of these technologies using some key metrics: nonrecurring engineering (NRE) cost (a one time charge for design and implementation of a specific product), unit cost, design time, logic density, circuit performance, power consumption, and flexibility (referring

Part D 38.1

cell library over all the designs that use it. Arraybased design in general refers to the design style of constructing a common base array of transistors and personalizing the chip by altering the metallization (the wiring between the transistors) that is placed on top of the transistors. It mainly consists of gate arrays, sea of gates, and structured ASICs (refer to Chap. 8 in [38.3] for details). Platform-based design [38.4–6] refers to the design style that heavily reuses hardware and software intellectual property (IP), which provide preprogrammed and verified design elements. Rather than looking at IP reuse in a block-by-block manner, platform-based design aggregates groups of components into a reusable platform architecture. There is a slight difference between system-on-a-chip and IP-based design. A system-on-a-chip approach usually incorporates at least one software-programmable processor, on-chip memory, and accelerating function units. IP-based design is more general and may not contain any software-programmable processors. Nonetheless, both styles heavily reuse IPs. The third major IC technology is programmable logic device (PLD) technology (Fig. 38.1b). In PLD, both transistor and metallization are already fabricated but they are hardware-programmable. Such programming is achieved by creating and destroying wires that connect logic blocks either by making an antifuse, which is an open circuit device that becomes a short device when traversed by an appropriate current pulse, or setting a bit in a programmable switch that is controlled by a memory cell. There are two major PLD types: complex programmable logic devices (CPLDs) and field-programmable gate arrays (FPGA). The main difference between these two types of PLDs is that the basic programmable logic element in a CPLD is the PLA (programmable logic array) (two-level AND/OR array), and the basic element in an FPGA is the look-

656

Part D

Automation Design: Theory and Methods for Integration

Table 38.2 Combinations between processor technologies and IC technologies

IC technology types

Processor types General purpose

Full custom

Intel Core 2 Quad AMD Opteron

Semicustom

ARM9 PowerPC Altera NIOS II Xilinx MicroBlaze

PLD

to the ease of changing the hardware implementation corresponding to design changes). Another important fact is that processor technologies and IC technologies are orthogonal to each other, which means that each of the three processor technologies can be implemented in any of the three IC technologies. Table 38.2 lists some representative combinations between these two technologies; for instance, general-purpose processors can be implemented using full-custom (Intel Core 2), semicustom (ARM9), or PLD (NIOS II). Each of the nine combinations has the combined features of the two corresponding technologies; for instance, Intel Core 2 represents the top but costly implementation of a general-purpose processor(s), and the Altera Viterbi Decoder represents the fast-time-to-market version of a single-purpose processor.

38.1.2 History of Electronic Design Automation

Part D 38.1

Electronic design automation (EDA) creates software tools for computer-aided design (CAD) of electronic systems ranging from printed circuit boards (PCBs) to integrated circuits. We describe a brief history of EDA next. General CAD information was provided in Chap. 37 of this Handbook. Integrated circuits were designed by hand and manually laid out before EDA. This design method obviously could not handle large and complex chips. By the mid 1970s, designers started to automate the design process using placement and routing tools. In 1986 and 1987 respectively, Verilog and VHDL (very high speed integrated circuit hardware description language) were introduced as hardware description languages. Circuit simulators quickly followed these inventions, allowing direct simulation of

Single purpose

ASIP

Intel 3965ABG (802.11 a/b/g wireless chip) Analog ADV202 (JPEG 2000 Video CODEC) ATMEL AT83SND1C (MP3 Decoder) Altera Viterbi Decoder (Error detection)

TI TMS320C6000 (DSP)

Infineon C166 (Microcontroller) AllianceCORE C32025 (DSP)

IC designs. Later, logic synthesis was developed, which would produce circuit netlists for downstream placement and routing tools. The earliest EDA tools were produced academically, and were in the public domain. One of the most famous was the Berkeley VLSI Tools Tarball, a set of UNIX utilities used to design early VLSI (very large scale integration) systems. Meanwhile, the larger electronic companies had pursued EDA internally, where the seminal work was done at IBM and Bell Labs. In the early 1980s, managers and developers spun out of these big companies to start up EDA as a separate industry. Within a few years there were many companies specializing in EDA, each with a slightly different emphasis. Many of these EDA companies merged with one another through the years. Currently, the major EDA companies include Cadence, Magma, Mentor Graphics, and Synopsys. The total annual revenue of EDA is close to six billion US dollars. According to the International Technology Roadmap for Semiconductors, the IC technology scaling driven by the Moore’s law will continue to evolve and dominate the semiconductor industry for at least another 10 years. This will lead to over 14 billion transistors integrated on a single chip in the 18 nm technology by the year 2018 [38.9]. Such a scaling, however, has already created a large design productivity gap due to inherent design complexities and deep-submicron issues. The study by the research consortium SEMATECH shows that, although the level of on-chip integration, expressed in terms of the number of transistors per chip, increases at an approximate 58% annual compound growth rate, the design productivity, measured in terms of the number of transistors per staff-month, grows only at a 21% annual compound rate. Such a widening gap between IC

Design Automation for Microelectronics

capacity and design productivity presents critical challenges and also opportunities for the CAD community.

38.2 Techniques of Electronic Design Automation

657

Better and new design methodologies are needed to bridge this gap.

38.2 Techniques of Electronic Design Automation EDA can work on digital circuits and analog circuits. In this article, we will focus on EDA tools for digital integrated circuits because they are more prominent in the current EDA industry and occupy the major portion of the EDA market. For analog and mixed-signal circuit design automation, readers are referred to [38.10] and [38.11] for more details. Note that we can only briefly introduce the key techniques in EDA. Interested readers can refer to [38.12–14] for more details.

38.2.1 System-Level Design

Application specification

Hardware/software co-design

ESL

Processor synthesis

Interface synthesis

Behavioral synthesis

Software-programmable processor

Interface logic

Customized hardware

System-level IC

Fig. 38.2 Electronic system-level (ESL) design flow

Part D 38.2

Modern system-on-a-chip or FPGA designs contain embedded processors (hard or soft), busses, memory, and hardware accelerators on a single device. These embedded processors are software-programmable IP cores. Hard processors are built with full-custom or semicustom technologies, and soft processors are built with PLD implementations (Table 38.2). On the one hand, these types of circuits provide opportunities and flexibilities for system designers to develop highperformance systems targeting various applications. On the other hand, they also immediately increase the design complexity considerably, as mentioned in Sect. 38.1. To realize the promise of large system integration, a complete tool chain from concept to implementation is required. System- and behavior-level synthesis techniques are the building blocks for this automated system design flow. System-level synthesis compiles a complex application in a system-level description (such as in C or SystemC) into a set of tasks to be executed on various software-programmable processors (referred to as software), or a set of functions to be implemented in single-purpose processors (referred to as customized hardware or simply hardware), together with the communication protocols and the interface logic connecting different components. Such capabilities are part of the electronic system-level (ESL) design automation that has emerged recently to deal with the design complexity and improve design productivity. The design challenges in ESL are mainly on effective hardware/software partitioning and co-design, system integration, and related issues such as standardization of IP integration, system modeling,

performance/power estimation, and system verification, etc. Figure 38.2 illustrates a global view of the ESL design flow. The essential task is the hardware/software co-design, which requires hardware/software partitioning and incorporates three key synthesis tasks: processor synthesis, interface synthesis, and behavioral synthesis. Hardware/software partitioning defines the parts of the application that would be executed in software or hardware. Processor synthesis for softwareprogrammable processors usually involves instantiation of processor IP cores or generation of processors with customized features (customized cache size, datapath, bitwidth, or pipeline stages, etc.). Behavioral synthesis is also called high-level synthesis. It is a process that takes a given behavioral description of a hardware circuit and produces an RTL (register transfer level) design automatically. We will introduce more details about behavioral synthesis in the next section. Every time the designer explores a different system architecture with hardware/software partitioning, the system interfaces must be redesigned. Interface synthesis is the process of automatic derivation of both the hardware and software interfaces to bind hardware/software elements together and permit them to communicate correctly and efficiently. Interface synthesis results need to meet bandwidth and performance requirements. The end product of ESL is an integrated system-level IC (e.g., system on a chip,

658

Part D

Automation Design: Theory and Methods for Integration

38.2.2 Typical Design Flow Behavioral description

Behavioral synthesis

RTL synthesis

Logic synthesis

Synthesis

Routing

Placement

Partitioning & floorplan

Physical design

Design stages

Semicustom/ASIC flow

PLD flow

GDSII generation

Bitstream generation

IC fabrication

Bitstream to program PLD

Fig. 38.3 A typical design flow

system in an FPGA, etc.) that aggregates softwareprogrammable processors, customized hardware, and interface logic to satisfy the overall area, delay, and power constraints of the design. Interested readers can refer to [38.1, 12, 13] and [38.15–23] for further study. a)

b) 2

CS: 1 a

op3

+ b

op1

3 Op1 (t1 = a+b) →ADD1 Op2 (t2 = d+e) →ADD2 Op3 (t3 = t1+c) →ADD1 Op4 (y = t2 · t3)→MUL1

op4

+ c

y

*

+ e

op2

c) Computation task: y = (a+ b+ c) (d + e) s2 a

s1 +

c b

s1,2

s2 s1

*

Part D 38.2

s2,3 d e

FF

+

FF

s3

FF

s1

Fig. 38.4a–c A behavioral synthesis example: (a) scheduling solution, (b) binding solution, and (c) final datapath (after [38.24])

The majority of the development effort for CAD techniques is devoted to the design of single-purpose processors using semicustom or PLD IC technologies. We will introduce a typical design flow step by step as shown in Fig. 38.3. Behavior Synthesis The basic problem of behavioral synthesis or high-level synthesis is the mapping of a behavioral description of a circuit into a cycle-accurate RTL design consisting of a datapath and a control unit. Designers can skip behavioral synthesis and directly write RTL codes for circuit design. This design style is facing increasing challenges due to the growing complexity of circuit design. A datapath is composed of three types of components: functional units (e.g., ALUs, multipliers, and shifters), storage units (e.g., registers and memory), and interconnection units (e.g., buses and multiplexers). The control unit is specified as a finite-state machine which controls the set of operations for the datapath to perform during every control step (clock cycle). The behavioral synthesis process mainly consists of three tasks: scheduling, allocation, and binding. Scheduling determines when a computational operation will be executed; allocation determines how many instances of resources (functional units, registers, or interconnection units) are needed; binding binds operations, variables, or data transfers to these resources. In general, it has been shown that the code density and simulation time can be improved by tenfold and hundredfold, respectively, when moving to behavior-level synthesis from RTL synthesis [38.23]. Such an improvement in efficiency is much needed for design in the deep-submicron era. Figure 38.4 shows the scheduling and the binding solution for a computation y = (a + b + c) × (d + e). Figure 38.4a shows the scheduling result, where CS means control step or clock cycle number. Figure 38.4b shows the binding solution for operations, which is a mapping between operations and functional units (t1, t2, t3 are temporary values). Figure 38.4c shows the final datapath. Note that the marks s1, s2, and s3 in the multiplexers indicate how the operands are selected for control steps 1, 2, and 3, respectively. A controller will be generated accordingly (not shown in the figure) to control the data movement in the datapath. Behavior synthesis is a well-studied problem [38.2, 24–27]. Most of the behavioral synthesis problems are NP-hard problems due to various constraints, including latency and resource constraints. The subtasks of behav-

Design Automation for Microelectronics

ioral synthesis are highly interrelated with one another; for example, the scheduling of operations is directly constrained by resource allocation. Behavioral synthesis also faces challenges on how to connect better to the physical reality. Without physical layout information, the interconnect delay cannot be accurately estimated. In addition, there is a need of powerful data-dependence analysis tools to analyze the operational parallelism available in the design before one can allocate proper amount of resources to carry out the computation in parallel. In addition, how to carry out memory partitioning, bitwidth optimization, and memory access pattern optimization, together with behavioral synthesis for different application domains are important problems. Given all these challenges, much research is still needed in this area. Some recent representative works are presented in [38.28–35].

3 LUT

659

3 LUT

3 LUT

Fig. 38.5 An example of technology mapping for FPGAs (af-

ter [38.36])

research topics for further study in RTL synthesis, such as retiming for glitch power reduction, resource sharing for multiplexer optimization, and layout-driven RTL synthesis, to name just a few. Logic Synthesis Logic synthesis is the task of generating a structural view of the logic-level implementation of the design. It can take the generic Boolean network generated from the RTL synthesis and perform logic optimization on top of it. Such optimizations include both sequential logic optimization and combinational logic optimization. Typical sequential optimization includes finite-state machine encoding/minimization and retiming for the controller, and typical combinational optimization includes constant propagation, redundancy removal, logic network restructuring, and optimization, and don’t-care-based optimizations. Such optimizations can also be carried out either in a general sense or targeting a specific IC technology. General optimization is also called technology-independent optimization with objectives such as minimizing the total amount of gates or reducing the logic depth of the Boolean network. Famous examples include the two-level logic minimizer ESPRESSO [38.38], the sequential circuit optimization system SIS [38.39], binary decision diagram (BDD)-based optimizations [38.40], and satisfiability (SAT)-based optimizations [38.41]. Logic optimization targeting a specific IC technology is also called technology-dependent optimization. The main task in this type of optimization is technology mapping, which transforms a Boolean network into an interconnection of logic cells provided from a cell library. Figure 38.5 demonstrates an example of mapping a Boolean network into an FPGA. In Fig. 38.5, each subcircuit in the dotted box is mapped into a three-input LUT.

Part D 38.2

RTL Synthesis The next step after behavioral synthesis is RTL synthesis. RTL synthesis performs optimizations on the register-transfer-level design. Input to an RTL synthesis tool is a Verilog or VHDL design that includes the number of datapath components, the binding of operations/variables/transfers to datapath components, and a controller that contains the detailed schedule of computational, input/output (I/O), and memory operations. In general, an RTL synthesis tool would use a front-end parser to parse the design and generate an intermediate representation of the design. Then, the tool can traverse the intermediate representation and create a netlist that consists of typical circuit substructures, including memory blocks, if and case blocks, arithmetic operations, registers, etc. Next, synthesis and optimization can be performed on this netlist, which can include examining adders and multipliers for constants, operation sharing, expression optimization, collapsing multiplexers, re-encoding finite-state machines for controllers, etc. Finally, an inferencing stage can be invoked to search for structures in the design that could be mapped to specific arithmetic units, memory blocks, registers, and other types of logic blocks from an RTL library. The output of the RTL synthesis provides such a mapped netlist. For the controller and glue logic, generic Boolean networks can be generated. RTL synthesis may need to consider the target IC technologies; for example, if the target IC technology is PLD, the regularity of PLD logic fabric offers opportunities for directly mapping datapath components to PLD logic blocks, producing regular layout, and reducing chip delay and synthesis runtime [38.37]. There are interesting

38.2 Techniques of Electronic Design Automation

660

Part D

Automation Design: Theory and Methods for Integration

Representative works in technology mapping include DAGON [38.42], FlowMap [38.36], ABC [38.43], and others (e.g. [38.44–46]). Logic synthesis is a critical step in the design flow. Although this area in general is fairly mature, new challenges need to be addressed such as fault-aware logic synthesis and logic synthesis considering circuit parameter variations. Synthesis for specific design constraints is also challenging. One example is synthesis with multiple clock domains and false paths [38.47]. False paths will not be activated during normal circuit operation, and therefore can be ignored. Multicycle paths refer to signal paths that carry a valid signal every few clock cycles, and therefore have a relaxed timing requirement. Partitioning and Floorplan We now get into the domain of physical design (Fig. 38.3). The input of physical design is a circuit netlist, and the output is the layout of the circuit. Physical design includes several stages such as partitioning, floorplan, placement, and routing. Partitioning is usually required for multimillion-gate designs. For such a large design, it is not feasible to layout the entire chip in one step due to the limitation of memory and computation resources. Instead, the circuit will be first partitioned into subcircuits (blocks), and then these blocks can go through a process called floorplan to set up the foundation of a good layout. A disadvantage of the partitioning process, however, is that it may degrade the performance of the final design if the components on a critical path are distributed into different blocks in the design [38.48]. Therefore, setting timing constraints is important for partitioning. Meanwhile, partitioning should also work to minimize the total number of connections between the blocks to reduce global wire usage and interconnect delay. Represen-

L D

H

C

A

K

G

K

Part D 38.2

J E

H

I

E

D

C

I

B L

G

J

F

B F A

Fig. 38.6 Two different placements of the same problem (af-

ter [38.48])

tative partitioning works include Fiduccia–Mattheyses (FM) partitioning [38.49] and hMETIS [38.50]. Other works (e.g. [38.51, 52]) are also well known. Floorplan will select a good layout alternative for each block and for the entire chip as well. Floorplan will consider the area and the aspect ratio of the blocks, which can be estimated after partitioning. The number of terminals (pins) required by each block and the nets used to connect the blocks are also known after partitioning. The net is an important concept in physical design. It represents one wire (or a group of connected wires) that connect a set of terminals (pins) so these terminals will be made electrically equivalent. In order to complete the layout, we need to determine the shape and orientation of each block and place them on the surface of the layout. These blocks should be placed in such a way as to reduce the total area of the circuit. Meanwhile, the pin-to-pin wire delay needs to be optimized. Floorplan also needs to consider whether there is sufficient routing area between the blocks so that the routing algorithms can complete the routing task without experiencing routing congestions. Partitioning and floorplan are optional design stages. They are required only when the circuit is highly complex. Usually, physical design for PLDs can skip these two stages. The PLD design flow, especially for hierarchical-structured FPGAs, would require a clustering design stage after the technology mapping stage. The clustering stage would gather groups of LUTs into logic blocks (e.g., each logic block contains ten LUTs). The netlist of logic blocks is then fed to a placement engine to determine the locations of the logic blocks on the chip. Some floorplanning works are [38.53–56]. Placement Placement is a key step in the physical design flow. It deals with the similar problem as floorplan – determining the positions of physical objects (logic blocks and/or logic cells) on the layout surface. The difference is that, in placement, we can deal with a large number of objects (up to millions of objects) and the shape of each object is predetermined and fixed. Therefore, placement is a scaled and restricted version of the floorplan problem and is usually applied within regions created during floorplanning. Placement has a significant impact on the performance and routability of a circuit in nanometer design because a placement solution, to a large extent, defines the amount of interconnects, which have become the bottleneck of circuit performance. Figure 38.6 shows a simple example of a placement problem [38.48]. It shows

Design Automation for Microelectronics

two different placements for the same problem. The wire congestion in Fig. 38.6a is much less than that in Fig. 38.6b. Thus, the solution in Fig. 38.6a can be considered more easily routable than that in Fig. 38.6b. In placement, several optimization objectives may contradict each other; for example, minimizing layout area may lead to increased critical path delay and vice versa. Placement, like most of other physical design tasks, is an NP-hard problem and hence the algorithms used are generally heuristic in nature. Because of the importance of placement, an extensive amount of research has been carried out in the CAD community. Placement algorithms can be mainly categorized into simulated-annealing-based (e.g., [38.57, 58]), partitioning-based (e.g., CAPO [38.59]), analytical placement (e.g., BonnPlace [38.60]), and multilevel placement (e.g., mPL [38.61]). Some other well known placers include FastPlace [38.62], grid warping [38.63], Dragon [38.64], NTUplace [38.65], and APlace [38.66]. In general, for small placement instances ( 70%

Behavioral

40 –70 %

Scheduling, binding, pipelining, behavioral transformation

RTL

25 – 40%

Clock gating, power gating, precomputation, operand isolation, state assignment, retiming

Logic

15 – 25%

Logic restructuring, technology mapping, rewiring, pin ordering & phase assignment

Physical

10 –15%

Fanout optimization, buffering, transistor sizing, placement, routing, partitioning, clock tree design, glitch elimination

Design levels

Power savings

Power minimization techniques

Fig. 38.8 Power-saving opportunities at different design levels (after [38.76]) (ISA: instruction set architecture)

TCAD has become a critical tool in the development of next-generation IC processes and devices. The reference [38.75] summarizes applications of TCAD in four areas: 1. Technology selection: TCAD tools can be used to eliminate or narrow technology development options prior to starting experiments. 2. Process optimization: Tune process variables and design rules to optimize performance, reliability, cost, and manufacturability. 3. Process control: Aid the transfer of a process from one facility to another (including from development to manufacturing) and serve as reference models for diagnosing yield issues and aiding process control in manufacturing. 4. Design optimization: Optimize the circuits for cost, power, performance, and reliability.

Part D 38.2

The challenge for TCAD is that the physics and chemistry of fabrication processes are still not well understood. Therefore, TCAD cannot replace experiments except in very limited applications so far. It is worth mentioning that electromagnetic field solvers are considered part of TCAD as well. These solvers solve Maxwell’s equations, which govern the electromagnetic behavior, for the benefits of IC and PCB design; for example, one objective is to help account accurately for parasitic effects of complicated interconnect structures.

38.2.5 Design for Low Power With the exponential growth of the performance and capacity of integrated circuits, power consumption has become one of the most constraining factors in the IC design flow. There are three power sources in a circuit: switching power, short-circuit power, and static or leakage power. The first two types of power can only occur when a signal transition takes place at the gate output; together they are called dynamic power. There are two types of signal transitions: one is the signal transition necessary to perform the required logic functions; the other is the unnecessary signal transition due to the unbalanced path delays to the inputs of a gate (called spurious transition or glitch). Static power is the power consumption when there is no signal transition for a gate. As technology advances to feature sizes of 90 nm and below, static power starts to become a dominating factor in the total chip power dissipation. Design for low power is a vast research topic involving low-power device/circuit/system architecture design, device/circuit/system power estimation, and various CAD techniques for power minimization [38.77–80]. Power minimization can be performed in any of the design stages. Figure 38.8 shows the power-saving techniques and power saving potentials during each design level [38.76]. Note that some techniques are not unique to only one design level; for example, glitch elimination and retiming can be applied to logic-level design as well.

Design Automation for Microelectronics

38.3 New Trends and Conclusion

665

38.3 New Trends and Conclusion Due to technology scaling, nanoscale process technologies are fraught with nonidealities such as process variations, noise, soft errors, leakage, and others. Designers are also facing unprecedented design complexity due to these issues. CAD techniques need new innovations to continue to deliver high-quality IC designs in a short period of time. Under this vision, we introduce some new trends in CAD below. Design for Manufacturing (DFM) Nanometer IC designs are deeply challenged by manufacturing variations. The industry is currently using 193 nm photolithography for fabrication of ICs in 130 nm and down (to 32 nm or even 22 nm). Therefore, it is challenging for the photolithography process to precisely control the manufacturing quality of the circuit features. There are other manufacturing/process challenges, such as topography variations, random defects due to missing/extra material, via void/failure, etc. DFM will take the manufacturing issues into the design process to improve circuit manufacturability and yield. The essential task in DFM is the development of resolution enhancement techniques (RETs), such as tools for optical proximity correction (OPC) and phaseshift mask (PSM) [38.81–85]. As an example, Fig. 38.9 shows the OPC optimization for a layout, which manipulates mask geometry to compensate for image distortions. Another area is to develop efficient engineering change order (ECO) tools, so that when some changes need to be made, as few layers as possible need to be modified [38.86, 87]. Meanwhile, post-silicon debug and repair techniques are gaining importance as well [38.88, 89].

Design for Nanotechnology Sustained exponential growth of complex electronic systems will require new breakthroughs in fabrication and assembly with controlled engineering of nanoscale components. Bottom-up approaches, in which integrated functional device structures are assembled from chemically synthesized nanoscale building blocks (socalled nanomaterials), such as carbon nanotubes, nanowires, and other molecular electronic devices, have the potential to revolutionize the fabrication of electronic systems. Nanoelectronic circuits always have a certain percentage of defects as well as nanomaterialspecific variations over and above process variations introduced by lithography. Using simplified nanode-

Conventional (no OPC)

Silicon image w/o OPC

OPC layout

Silicon image with OPC

Fig. 38.9 An illustration of optical proximity correction (after [38.81])

Part D 38.3

Original layout

Statistical Static Timing Analysis (SSTA) Large variation in process parameters makes worstcase design too expensive in terms of power and delay. Meanwhile, nominal case design will result in a loss in yield as performance specifications may not be met for a large percentage of chips. SSTA is an effort to specifically improve performance yield to combat manufacturing variations. SSTA treats the delay of each gate as a random variable and propagates gates’ probability density functions (PDFs) through the circuit to create a PDF of the output delay random variable. Spatial correlations among the circuit components need to be considered. A vast amount of research has been reported (e.g., [38.90–98]) in the past 5 years. SSTA is critical to guide statistical design methodologies. An important application is SSTA-driven placement and routing to improve performance yield.

666

Part D

Automation Design: Theory and Methods for Integration

vice assumptions and traditional scaled design flows will lead to suboptimal and impractical nanocircuit designs and inaccurate system evaluation results. For nanotechnology to fulfill its promise, there is a need to understand and incorporate nano-specific design techniques, such as nanosystems modeling, statistical approaches, and fault-tolerant design, systematically from devices all the way up to systems. Initial effort has been made in this important area [38.99–107], but much more research has to be done to enable the large integration capability of nanosystems. Chapter 53 provides more information on micro and nano manipulation related to design of nanotechnology. Design for 3-D ICs One promising way to improve circuit performance, logic density or power efficiency is to develop threedimensional integration, which increases the number of active die layers and optimizes the interconnect network vertically [38.108–115]. Potentially, three-dimensional (3-D) IC provides improved bit bandwidth with reduced wire length, delay, and power. There are different bonding technologies for 3-D ICs, including die-to-die, die-to-wafer, and wafer-to-wafer, and the two parties can be bonded face-to-face or face-to-back. One disadvantage of the 3-D IC is its thermal penalty. The 3-D stacks will increase heat density, leading to degraded chip performance and reliability if not handled properly.

Part D 38.3

Design for Reliability Besides fabrication defects, soft errors and aging errors have emerged as the new sources of circuit unreliability for nanometer circuit designs. A soft error occurs when a cosmic particle, such as a neutron, strikes a portion of the circuit, upsetting the state of a bit. Aging errors are due to the wearing effect of an operating circuit. As device dimensions scale down faster than the supply voltage [38.9], the resulting high electric fields combined with temperature stresses lead to device aging and hence failure. Especially, transistor aging due to negative-bias temperature instability (NBTI) has become the determining factor in circuit lifetime. Reliability analysis and error mitigation techniques under soft errors, aging effects, and process variations have been proposed [38.116–126]. Ultimately, chip reliability would need to become a crit-

ical design metric incorporated into mainstream CAD methodologies. Design with Parallel Computing An important way to deal with design complexity is to take advantage of the latest advances of parallel computing with multicore computer systems so that computation can be carried out in parallel for acceleration. Although there are some studies on parallel CAD algorithms (e.g., [38.127, 128]), much more work is needed to come up with parallel CAD algorithms to improve design productivity. Design for Network on Chip (NoC) The increasing complexity and heterogeneity of future SoCs (system-on-a-chip) prompt significant system scalability challenge using conventional on-chip communication schemes, such as the point-to-point (P2P) and bus-based communication architectures. NoC emerged recently as a promising solution for the future [38.129–133]. In a NoC system, modules such as processor cores, memories, and other IP blocks exchange data using a network on a single chip. NoC communication is constructed from a network of data links interconnected by switches (or routers) such that messages can be relayed from any source module to any destination module. Because all links in the NoC can operate simultaneously on different data packets, a high level of parallelism can be achieved with a great scaling capability. However, many challenging research problems remain to be solved for NoC, from the design of the physical link through the network-level structure, all the way up to the system architecture and application software. Electronic design automation or computer-aided design as an engineering field has been evolving through the past several decades since its birth shortly after the invention of integrated circuits. On the one hand, it has become a mature engineering area to provide design tools for the electronic semiconductor industry. On the other hand, many challenges and unsolved problems still remain in this exciting field as on-chip device density continues to scale. As long as electronic circuits are impacting our daily lives, design automation will continue to diversify and evolve to further facilitate the growth of the semiconductor industry and revolutionize our future.

Design Automation for Microelectronics

References

667

References 38.1

38.2 38.3

38.4

38.5

38.6 38.7

38.8

38.9 38.10

38.11

38.12

38.13 38.14

38.15

38.16

38.18

38.19

38.20

38.21

38.22

38.23

38.24

38.25

38.26

38.27 38.28

38.29

38.30

38.31

38.32

38.33

processor Systems-on-Chips, ed. by A. Jerraya, W. Wolf (Morgan Kaufmann, New York 2004), Chap. 16 R.P. Dick, N.K. Jha: MOCSYN: multi-objective corebased single-chip system synthesis, Proc. IEEE Des. Autom. Test Eur. (1999) P. Petrov, A. Orailoglu: Tag compression for low power in dynamically customizable embedded processors, IEEE Trans. CAD Integr. Circuits Syst. 23(7), 1031–1047 (2004) S.P. Levitan, R.R. Hoare: Structural Level SoC Design Course (The Technology Collaborative, Pittsburgh 1991) B. Bailey, G. Martin, A. Piziali: ESL Design and Verification: A Prescription for Electronic System Level Methodology (Elsevier, Amsterdam 2007) K. Wakabayashi, T. Okamoto: C-based SoC design flow and EDA tools: an ASIC and system vendor perspective, IEEE Trans. CAD Integr. Circuits Syst. 19(12), 1507–1522 (2000) J.P. Elliott: Understanding Behavioral Synthesis: A Practical Guide to High-Level Design (Kluwer, Dordrecht 1999) D. Gajski, N. Dutt, A. Wu: High-Level Synthesis: Introduction to Chip and System Design (Kluwer, Dordrecht 1992) A. Raghunathan, N.K. Jha, S. Dey: High-Level Power Analysis and Optimization (Kluwer, Dordrecht 1998) R. Camposano, W. Wolf: High-Level VLSI Synthesis (Springer, New York 2001) J. Chang, M. Pedram: Power Optimization and Synthesis at Behavioral and System Levels Using Formal Methods (Kluwer, Boston 1999) A. Chandrakasan, M. Potkonjak, J. Rabaey, R. Brodersen: Hyper-LP: a system for power minimization using architectural transformations. In: The Best of ICCAD, 20 Years of Excellence in Computer-Aided Design, ed. by A. Kuehlman (Kluwer, Boston 2003) S. Gupta, N.D. Dutt, R. Gupta, A. Nicolau: SPARK: A Parallelizing Approach to the High-Level Synthesis of Digital Circuits (Kluwer, Norwell 2004) S. Memik, E. Bozorgzadeh, R. Kastner, M. Sarrafzadeh: A scheduling algorithm for optimization and early planning in high-level synthesis, ACM Trans. Des. Autom. Electron. Syst. 10(1), 33–57 (2005) J. Jeon, D. Kim, D. Shin, K. Choi: High-level synthesis under multi-cycle interconnect delay, Proc. Asia South Pac. Des. Autom. Conf. (2001) P. Brisk, A. Verma, P. Ienne: Optimal polynomialtime interprocedural register allocation for highlevel synthesis and ASIP design, Proc. Int. Conf. Comput.-Aided Des. (2007)

Part D 38

38.17

F. Vahid, T. Givargis: Embedded System Design: A Unified Hardware/Software Introduction (Wiley, New York 2002) G. De Micheli: Synthesis and Optimization of Digital Circuits (McGraw-Hill, Upper Saddle River 1994) N. Weste, D. Harris: CMOS VLSI Design: A Circuits and Systems Perspective, 3rd edn. (Addison Wesley, Indianapolis 2004) K. Keutzer, S. Malik, R. Newton, J. Rabaey, A. Sangiovanni-Vincentelli: System level design: orthogonalization of concerns and platform-based design, IEEE Trans. CAD Integr. Circuits Syst. 19(12), 1523–1543 (2000) A. Sangiovanni-Vincentelli, G. Martin: A vision for embedded systems: platform-based design and software methodology, IEEE Des. Test Comput. 18(6), 23–33 (2001) G. Martin, H. Chang: Winning the SoC Revolution: Experiences in Real Design (Kluwer, Dordrecht 2003) I. Kuon, J. Rose: Measuring the gap between FPGAs and ASICs, IEEE Trans. CAD Integr. Circuits Syst. 26(2), 203–215 (2007) D. Orecchio: FPGA explosion will test EDA, Electronic Design Update (2007), http://electronicdesign.com/ Articles/ArticleID/15910/15910.html. Accessed 18 June 2007 L. Wilson: International Technology Roadmap for Semiconductors. http://www.itrs.net/ (2008) G.G.E. Gielen, R.A. Rutenbar: Computer-aided design of analog and mixed-signal integrated circuits, Proc. IEEE 88(12), 1825–1854 (2000) P. Wambacq, G. Vandersteen, J. Phillips, J. Roychowdhury, W. Eberle, B. Yang, D. Long, A. Demir: CAD for RF circuits, Proc. Des. Autom. Test Eur. (2001) L. Scheffer, L. Lavagno, G. Martin (eds.): Electronic Design Automation for Integrated Circuits Handbook (CRC, Boca Raton 2006) D. Jansen (ed.): The Electronic Design Automation Handbook (Springer, Norwell 2003) C.J. Alpert, D.P. Mehta, S.S. Sapatnekar (eds.): The Handbook of Algorithms for VLSI Physical Design Automation (CRC, Boca Raton 2007) S. Kumar, J. Aylor, B.W. Johnson, W.A. Wulf: The Codesign of Embedded Systems: A Unified Hardware/Software Representation (Kluwer, Dordrecht 1996) Y.T. Li, S. Malik: Performance Analysis of Real-Time Embedded Software (Kluwer, Dordrecht 1999) G. De Micheli, R. Ernst, W. Wolf (eds.): Readings in Hardware/Software Codesign (Morgan Kaufmann, New York 2001) F. Balarin, H. Hsieh, L. Lavagno, C. Passerone, A. Pinto, A. Sangiovanni-Vincentelli, Y. Watanabe, G. Yang: Metropolis: a design environment for heterogeneous systems. In: Multi-

668

Part D

Automation Design: Theory and Methods for Integration

38.34

38.35

38.36

38.37

38.38

38.39

38.40

38.41

38.42

38.43

38.44

38.45

38.46

38.47

Part D 38

38.48 38.49

38.50

D. Chen, J. Cong, Y. Fan, G. Han, W. Jiang, Z. Zhang: xPilot: a platform-based behavioral synthesis system, Proc. SRC Techcon Conf. (2005) F. Wang, X. Wu, Y. Xie: Variability-driven module selection with joint design time optimization and post-silicon tuning, Proc. Asia South Pac. Des. Autom. Conf. (2008) J. Cong, Y. Ding: FlowMap: an optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs, IEEE Trans. CAD Integr. Circuits Syst. 13(1), 1–12 (1994) T.J. Callahan, P. Chong, A. DeHon, J. Wawrzynek: Fast module mapping and placement for datapaths in FPGAs, Proc. Int. Symp. FPGAs (1998) R. Brayton, G. Hachtel, C. McMullen, A. Sangiovanni-Vincentelli: Logic Minimization Algorithms for VLSI Synthesis (Kluwer, Boston 1984) E. Sentovich, K. Singh, L. Lavagno, C. Moon, R. Murgai, A. Saldanha, H. Savoj, P. Stephan, R. Brayton, A. Sangiovanni-Vincentelli: SIS: A System for Sequential Circuit Synthesis, Memo. UCB/ERL M92/41 (Univ. of California, Berkeley 1992) R. Bryant: Graph-Based Algorithms for Boolean Function Manipulation, IEEE Trans. Comput. 35(8), 677–691 (1986) J. Marques Silva, K. Sakallah: Boolean satisfiability in electronic design automation, Proc. Des. Autom. Conf. (2000) K. Keutzer: DAGON: technology mapping and local optimization, Proc. IEEE/ACM Des. Autom. Conf. (1987) Berkeley ABC: A system for sequential synthesis and verification. http://www.eecs.berkeley.edu/ ˜alanmi/abc/ (2005) C.-W. Kang, A. Iranli, M. Pedram: A synthesis approach for coarse-grained, antifuse-based FPGAs, IEEE Trans. CAD Integr. Circuits Syst. 26(9), 1564–1575 (2007) A. Ling, D. Singh, S. Brown: FPGA PLB architecture evaluation and area optimization techniques using boolean satisfiability, IEEE Trans. CAD Integr. Circuits Syst. 26(7), 1196 (2007) A.K. Singh, M. Mani, R. Puri, M. Orshansky: Gainbased technology mapping for minimum runtime leakage under input vector uncertainty, Proc. Des. Autom. Conf. (2006) L. Cheng, D. Chen, D.F. Wong, M. Hutton, J. Govig: Timing constraint-driven technology mapping for FPGAs considering false paths and multi-clock domains, Proc. Int. Conf. Comput.-Aided Des. (2007) N. Sherwani: Algorithms for VLSI Physical Design Automation (Kluwer, Dordrecht 1999) C.M. Fiduccia, R.M. Matheysses: A linear-time heuristic for improving network partitions, Proc. IEEE/ACM Des. Autom. Conf. (1982) pp. 175–181 G. Karypis, R. Aggarwal, V. Kumar, S. Shekhar: Multilevel hypergraph partitioning: application in VLSI domain, Proc. IEEE/ACM Des. Autom. Conf. (1997)

38.51

38.52

38.53

38.54 38.55

38.56

38.57

38.58

38.59

38.60

38.61

38.62

38.63

38.64

38.65

38.66

38.67

Y.C. Wei, C.K. Cheng: Ratio cut partitioning for hierarchical designs, IEEE Trans. CAD Integr. Circuits Syst. 10, 911–921 (1991) H. Liu, D.F. Wong: Network-flow-based multiway partitioning with area and pin constraints, IEEE Trans. CAD Integr. Circuits Syst. 17(1), 50–59 (1998) L. Stockmeyer: Optimal orientation of cells in slicing floorplan designs, Inf. Control 57(2–3), 91–101 (1984) D.F. Wong, C.L. Liu: A new algorithm for floorplan design, Proc. Des. Autom. Conf. (1986) P. Sarkar, C.K. Koh: Routability-driven repeater block planning for interconnect-centric floorplanning, IEEE Trans. CAD Integr. Circuits Syst. 20(5), 660–671 (2001) M. Healy, M. Vittes, M. Ekpanyapong, C. Ballapuram, S.K. Lim, H. Lee, G. Loh: Multi-objective microarchitectural floorplanning for 2-D and 3-D ICs, IEEE Trans. CAD Integr. Circuits Syst. 26(1), 38–52 (2007) W. Sun, C. Sechen: Efficient and effective placement for very large circuits, IEEE Trans. CAD Integr. Circuits Syst. 14(3), 349–359 (1995) V. Betz, J. Rose, A. Marquardt: Architecture and CAD for Deep-Submicron FPGAs (Kluwer, Dordrecht 1999) A. Caldwell, A.B. Kahng, I. Markov: Can recursive bisection produce routable placements?, Proc. IEEE/ACM Des. Autom. Conf. (2000) pp. 477–482 U. Brenner, A. Rohe: An effective congestiondriven placement framework, Proc. Int. Symp. Phys. Des. (2002) T. Chan, J. Cong, T. Kong, J. Shinnerl: Multilevel circuit placement. In: Multilevel Optimization in VLSICAD, ed. by J. Cong, J. Shinnerl (Kluwer, Boston 2003), Chap. 4 C. Chu, N. Viswanathan: FastPlace: efficient analytical placement using cell shifting, iterative local refinement, and a hybrid net model, Proc. Int. Symp. Phys. Des. (2004) pp. 26–33 Z. Xiu, J. Ma, S. Fowler, R. Rutenbar: Large-scale placement by grid warping, Proc. Des. Autom. Conf. (2004) T. Taghavi, X. Yang, B. Choi, M. Wang, M. Sarrafzadeh: Dragon2006: blockage-aware congestion-controlling mixed-size placer, Proc. Int. Symp. Phys. Des. (2006) T. Chen, Z. Jiang, T. Hsu, H. Chen, Y. Chang: NTUplace2: a hybrid placer using partitioning and analytical techniques, Proc. Int. Symp. Phys. Des. (2006) A.B. Kahng, S. Reda, Q. Wang: APlace: a general analytic placement framework, Proc. Int. Symp. Phys. Des. (2005) C.Y. Lee: An algorithm for path connections and its applications, Proc. IRE Trans. Electron. Comput. (1961)

Design Automation for Microelectronics

38.68

38.69

38.70

38.71

38.72

38.73

38.74

38.75 38.76

38.77

38.78 38.79 38.80

38.81

38.82

38.83

F.M. Schellenberg, L. Capodieci: Impact of RET on physical layouts, Proc. Int. Symp. Phys. Des. (2001) 38.86 Y.M. Kuo, Y.T. Chang, S.C. Chang, M. MarekSadowska: Engineering change using spare cells with constant insertion, Proc. Int. Conf. Comput.Aided Des. (2007) 38.87 S. Ghiasi: Incremental component implementation selection: enabling ECO in compositional system synthesis, Proc. Int. Conf. Comput.-Aided Des. (2007) 38.88 A. Krstic, L.C. Wang, K.T. Cheng, T.M. Mak: Diagnosis-based post-silicon timing validation using statistical tools and methodologies, Proc. Int. Test Conf. (2003) 38.89 K.H. Chang, I. Markov, V. Bertacco: Automating post-silicon debugging and repair, Proc. Int. Conf. Comput.-Aided Des. (2007) 38.90 S. Sapatnekar: Timing (Springer, New York 2004) 38.91 A. Srivastava, D. Sylvester, D. Blaauw: Statistical Analysis and Optimization for VLSI: Timing and Power (Springer, New York 2005) 38.92 V. Mehrotra, S. Sam, D. Boning, A. Chandrakasan, R. Vallishayee, S. Nassif: A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance, Proc. Des. Autom. Conf. (2000) 38.93 M. Guthaus, N. Venkateswaran, C. Visweswariah, V. Zolotov: Gate sizing using incremental parameterized statistical timing analysis, Proc. Int. Conf. Comput.-Aided Des. (2005) 38.94 J. Le, X. Li, L.T. Pileggi: STAC: statistical timing analysis with correlation, Proc. IEEE/ACM Des. Autom. Conf. (2004) 38.95 M. Orshansky, A. Bandyopadhyay: Fast statistical timing analysis handling arbitrary delay correlations, Proc. IEEE/ACM Des. Autom. Conf. (2004) 38.96 V. Khandelwal, A. Srivastava: A general framework for accurate statistical timing analysis considering correlations, Proc. IEEE/ACM Des. Autom. Conf. (2005) 38.97 A. Ramalingam, A.K. Singh, S.R. Nassif, M. Orshansky, D.Z. Pan: Accurate waveform modeling using singular value decomposition with applications to timing analysis, Proc. Des. Autom. Conf. (2007) 38.98 J. Xiong, V. Zolotov, L. He: Robust extraction of spatial correlation, Proc. Int. Symp. Phys. Des. (2006) 38.99 J. Heath, P. Kuekes, G. Snider, S. Williams: A defecttolerant computer architecture: opportunities for nanotechnology, Science 280, 1716–1721 (1998) 38.100 A. DeHon, H. Naeimi: Seven strategies for tolerating highly defective fabrication, IEEE Des. Test Comput. 22(4), 306–315 (2005) 38.101 J. Deng, N. Patil, K. Ryu, A. Badmaev, C. Zhou, S. Mitra, H.S. Wong: Carbon nanotube transistor circuits: circuit-level performance benchmarking and design options for living with imperfections, Proc. IEEE Int. Solid-State Circuits Conf. (2007)

669

38.85

Part D 38

38.84

D.W. Hightower: A solution to the line routing problem on a continuous plane, Proc. Des. Autom. Workshop (1969) L. McMurchie, C. Ebeling: PathFinder: a negotiation-based performance-driven router for FPGAs, Proc. Int. Symp. FPGAs (1995) C. Chu, Y.C. Wong: FLUTE: fast lookup table based rectilinear steiner minimal tree algorithm for VLSI design, IEEE Trans. CAD Integr. Circuits Syst. 27(1), 70–83 (2008) J. Hu, S. Sapatnekar: A survey on multi-net global routing for integrated circuits, Integration: VLSI J. 31, 1–49 (2001) P. McGeer, R. Brayton: Efficient algorithms for computing the longest viable path in a combinational network, Proc. Des. Autom. Conf. (1989) P. Ashar, S. Dey, S. Malik: Exploiting multicycle false paths in the performance optimization of sequential logic circuits, IEEE Trans. CAD Integr. Circuits Syst. 14(9), 1067–1075 (1995) S. Zhou, B. Yao, H. Chen, Y. Zhu, M. Hutton, T. Collins, S. Srinivasan, N. Chou, P. Suaris, C.K. Cheng: Efficient timing analysis with known false paths using biclique covering, IEEE Trans. CAD Integr. Circuits Syst. 26(5), 959–969 (2006) J. Mar: The application of TCAD in industry, Proc. Int. Conf. Simul. Semiconduct. Process. Dev. (1996) M. Pedram: Low power design methodologies and techniques: an overview. http://atrak.usc.edu/ ˜massoud/ (1999) W. Nebel, J. Mermet (eds.): Low Power Design in Deep Submicron Electronics (Springer, New York 1997) K. Roy, S. Prasad: Low-Power CMOS VLSI Circuit Design (Wiley, New York 2000) M. Pedram, J.M. Rabaey: Power Aware Design Methodologies (Springer, New York 2002) R. Puri, L. Stok, J. Cohn, D. Kung, D. Pan, D. Sylvester, A. Srivastava, S. Kulkarni: Pushing ASIC performance in a power envelope, Proc. Des. Autom. Conf. (2003) L. Huang, D.F. Wong: Optical proximity correction (OPC): friendly maze routing, Proc. Des. Autom. Conf. (2004) P. Yu, S.X. Shi, D.Z. Pan: True process variation aware optical proximity correction with variational lithography modeling and model calibration, J. Micro/Nanolith. MEMS MOEMS 6, 031004 (2007) P. Berman, A.B. Kahng, D. Vidhani, H. Wang, A. Zelikovsky: Optimal phase conflict removal for layout of dark field alternating phase shifting masks, Proc. Int. Symp. Phys. Des. (1999) L.W. Liebmann, G.A. Northrop, J. Culp, M.A. Lavin: Layout optimization at the pinnacle of optical lithography, Proc. SPIE Des. Process Integr. Electron. Manuf. (2003)

References

670

Part D

Automation Design: Theory and Methods for Integration

Part D 38

38.102 S.C. Goldstein, M. Budiu: NanoFabric: spatial computing using molecular electronics, Proc. Int. Symp. Comput. Archit. (2001) pp. 178–189 38.103 D.B. Strukov, K.K. Likharev: A reconfigurable architecture for hybrid CMOS/nanodevice circuits, Proc. Int. Symp. FPGAs (2006) 38.104 A. Raychowdhury, A. Keshavarzi, J. Kurtin, V. De, K. Roy: Analysis of carbon nanotube field effect transistors for high performance digital logic – modeling and DC simulations, IEEE Trans. Electron. Dev. 53(11) (2006) 38.105 W. Zhang, N.K. Jha, L. Shang: NATURE: a CMOS/nanotube hybrid reconfigurable architecture, Proc. Des. Autom. Conf. (2006) 38.106 M. Ben Jamaa, K. Moselund, D. Atienza, D. Bouvet, A. Ionescu, Y. Leblebici, G. De Micheli: Faulttolerant multi-level logic decoder for nanoscale crossbar memory arrays, Proc. Int. Conf. Comput.Aided Des. (2007) 38.107 A. Nieuwoudt, M. Mondal, Y. Massoud: Predicting the performance and reliability of carbon nanotube bundles for on-chip interconnect, Proc. Asian South Pac. Des. Autom. Conf. (2007) 38.108 C. Ababei, P. Maidee, K. Bazargan: Exploring potential benefits of 3-D FPGA integration, Proc. Field Programmable Logic and Application, Vol. 3203 (Springer, Berlin Heidelberg 2004) pp. 874–880 38.109 K. Banerjee, S.J. Souri, P. Kapur, K.C. Saraswat: 3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration, Proc. IEEE 89(5), 602–633 (2001) 38.110 M. Lin, A. El Gamal, Y.C. Lu, S. Wong: Performance benefits of monolithically stacked 3-D-FPGA, Proc. Int. Symp. FPGAs (2006) 38.111 W.R. Davis, J. Wilson, S. Mick, J. Xu, H. Hua, C. Mineo, A.M. Sule, M. Steer, P.D. Franzon: Demystifying 3-D ICs: the pros and cons of going vertical, IEEE Des. Test Comput. 22(6), 498–510 (2005) 38.112 C. Dong, D. Chen, S. Haruehanroengra, W. Wang: 3-D nFPGA: a reconfigurable architecture for 3-D CMOS/nanomaterial hybrid digital circuits, IEEE Trans. Circuits Syst. 54(11), 2489–2501 (2007) 38.113 Y. Xie, G. Loh, B. Black, K. Bernstein: Design space exploration for 3-D architecture, ACM J. Emerg. Technol. Comput. Syst. 2(2), 65–103 (2006) 38.114 J. Cong, Y. Ma, Y. Liu, E. Kursun, G. Reinman: 3-D architecture modeling and exploration, Proc. Int. VLSI/ULSI Multilevel Interconnect. Conf. (2007) 38.115 M. Pathak, S.K. Lim: Thermal-aware steiner routing for 3-D stacked ICs, Proc. Int. Conf. Comput.-Aided Des. (2007) 38.116 M. Zhang, N.R. Shanbhag: Soft error-rate analysis (SERA) methodology, IEEE Trans. CAD Integr. Circuits Syst. 25(10), 2140–2155 (2006)

38.117 N. Miskov-Zivanov, D. Marculescu: MARS-C: modeling and reduction of soft errors in combinational circuits, Proc. Des. Autom. Conf. (2006) 38.118 R.R. Rao, K. Chopra, D. Blaauw, D. Sylvester: An efficient static algorithm for computing the soft error rates of combinational circuits, Proc. Des. Autom. Test Eur. (2006) 38.119 S. Mitra, N. Seifert, M. Zhang, Q. Shi, K.S. Kim: Robust system design with built-in soft error resilience, IEEE Comput. 38(2), 43–52 (2005) 38.120 B. Paul, K. Kang, H. Kufluoglu, A. Alam, K. Roy: Impact of NBTI on the temporal performance degradation of digital circuits, IEEE Electron. Dev. Lett. 26(8), 560–562 (2005) 38.121 D. Marculescu: Energy bounds for fault-tolerant nanoscale designs, Proc. Des. Autom. Test Eur. (2005) 38.122 W. Wang, S. Yang, S. Bhardwaj, R. Vattikonda, S. Vrudhula, F. Liu, Y. Cao: The impact of NBTI on the performance of combinational and sequential circuits, Proc. IEEE/ACM Des. Autom. Conf. (2007) 38.123 W. Wu, J. Yang, S.X.D. Tan, S.L. Lu: Improving the reliability of on-chip caches under process variations, Proc. Int. Conf. Comput. Des. (2007) 38.124 A. Mitev, D. Canesan, D. Shammgasundaram, Y. Cao, J.M. Wang: A robust finite-point based gate model considering process variations, Proc. Int. Conf. Comput.-Aided Des. (2007) 38.125 S. Sarangi, B. Greskamp, J. Torrellas: A model for timing errors in processors with parameter variation, Proc. Int. Symp. Qual. Electron. Des. (2007) 38.126 L. Cheng, Y. Lin, L. He, Y. Cao: Trace-based framework for concurrent development of process and FPGA architecture considering process variation and reliability, Proc. Int. Symp. FPGAs (2008) 38.127 P. Banerjee: Parallel Algorithms for VLSI ComputerAided Design (Prentice-Hall, Englewood Cliffs 1994) 38.128 A. Ludwin, V. Betz, K. Padalia: High-quality, deterministic parallel placement for FPGAs on commodity hardware, Proc. Int. Symp. FPGAs (2008) 38.129 G. De Micheli, L. Benini: Networks on Chips: Technology and Tools (Morgan Kaufmann, New York 2006) 38.130 A. Jantsch, H. Tenhunen (eds.): Networks on Chip (Kluwer, Dordrecht 2003) 38.131 A. Hemani, A. Jantsch, S. Kumar, A. Postula, J. Öberg, M. Millberg, D. Lindqvist: Network on a chip: an architecture for billion transistor era, Proc. IEEE NorChip Conf. (2000) 38.132 H.G. Lee, N. Chang, U.Y. Ogras, R. Marculescu: On-chip communication architecture exploration: a quantitative evaluation of point-to-point, bus, and network-on-chip approaches, ACM Trans. Des. Autom. Electron. Syst. 12(3) (2007) 38.133 H. Wang, L.S. Peh, S. Malik: Power-driven design of router microarchitectures in on-chip networks, Proc. Int. Symp. Microarchit. (2003)

671

Mark R. Lehto, Mary F. Lesch, William J. Horrey

Automated systems can provide tremendous benefits to users; however, there are also potential hazards that users must be aware of to safely operate and interact with them. To address this need, safety warnings are often provided to operators and others who might be placed at risk by the system. This chapter discusses some of the roles safety warnings can play in automated systems, from both the traditional perspective of warnings as a form of hazard control and the perspective of warnings as a form of automation. During this discussion, the chapter addresses some of the types of warnings that might be used, along with issues and challenges related to warning effectiveness. Design recommendations and guidelines are also presented.

39.1 Warning Roles ...................................... 672 39.1.1 Warning as a Method of Hazard Control ....................... 672 39.1.2 Warning as a Form of Automation 674

Automated systems have become increasingly prevalent in our society, in both our work and personal lives. Automation involves the execution by a computer (or machine) of a task that was formerly executed by human operators [39.1]; for example, automation may be applied to a particular function in order to complete tasks that humans cannot perform or do not want to perform, to complete tasks that humans perform poorly or that incur high workload demands, or to augment the capabilities and performance of the human operator [39.2]. The potential benefits of automation include increased productivity and quality, greater system safety and reliability, and fewer human errors, injuries or occupational illnesses. These benefits follow because some demanding or dangerous tasks previously performed by the operator can be completely eliminated through automa-

39.2 Types of Warnings ................................ 676 39.2.1 Static Versus Dynamic Warnings ... 676 39.2.2 Warning Sensory Modality ........... 678 39.3 Models of Warning Effectiveness ............ 39.3.1 Warning Effectiveness Measures ... 39.3.2 The Warning Compliance Hypothesis ................................ 39.3.3 Information Quality .................... 39.3.4 Information Integration .............. 39.3.5 The Value of Warning Information .............. 39.3.6 Team Decision Making ................ 39.3.7 Time Pressure and Stress .............

680 680

39.4 Design Guidelines and Requirements ..... 39.4.1 Hazard Identification.................. 39.4.2 Legal Requirements .................... 39.4.3 Voluntary Standards ................... 39.4.4 Design Specifications ..................

684 684 685 687 687

680 681 682 682 683 683

39.5 Challenges and Emerging Trends ........... 690 References .................................................. 691

tion, and many others can be made easier. On the other hand, automation can create new hazards and increase the potential for catastrophic human errors [39.3]; for example, in advanced manufacturing settings, the use of robots and other forms of automation has reduced the need to expose workers to potentially hazardous materials in welding, painting, and other operations, but in turn has created a more complex set of maintenance, repair, and setup tasks, for which human errors can have serious consequences, such as damaging expensive equipment, long periods of system downtime, production of multiple runs of defective parts, and even injury or death. As implied by the above example, a key issue is that automation increases the complexity of systems [39.4]. A second issue is that the introduction of automation

Part D 39

Safety Warnin 39. Safety Warnings for Automation

672

Part D

Automation Design: Theory and Methods for Integration

Part D 39.1

into a system or task does not necessarily remove the human operator from the task or system. Instead, the role and responsibilities of the operator change. One common result of automation is that operators may go from active participants in a task to passive monitors of the system function [39.5, 6]. This shift in roles from active participation to passive monitoring can reduce the operator’s situation awareness and ability to respond appropriately to automation failures [39.7]. Part of the problem is that the operator may have few opportunities to practise their skills because automation failures tend to be rare events. Further complicating the issue, system monitoring might be done from a remote location using one or more displays that show the status of many different subsystems. This also can reduce situation awareness, for many different reasons. Another common problem is that workload may be too low during routine operation of the automated system, causing the operator to become complacent and easily distracted. Furthermore, designers may assign additional unrelated tasks to operators to make up for the

reduced workload due to automation. This again can impair situation awareness, as performing these unrelated tasks can draw the operator’s attention away from the automated system. The need to perform these additional tasks can also contribute to a potentially disastrous increase in workload in nonroutine situations in which the operator has to take over control from the automated system. Many other aspects of automated systems can make it difficult for operators and others to be adequately aware of the hazards they face and how to respond to them [39.4, 8]. To address this issue, safety warnings are often employed in such systems. This chapter discusses some of the roles safety warnings can play in automated systems, from both the traditional perspective of warnings as a form of hazard control and the perspective of warnings as a form of automation. During this discussion, the chapter addresses some of the types of warnings that might be used. We also discuss issues related to the effectiveness of warnings and provide design recommendations and guidelines.

39.1 Warning Roles The role of warnings in automated systems can be viewed from two overlapping perspectives: (1) warnings as a method of hazard control, and (2) warnings as a form of automation.

39.1.1 Warning as a Method of Hazard Control Warnings are sometimes viewed as a method of last resort to be relied upon when more fundamental solutions to safety problems are infeasible. This view corresponds to the so-called hierarchy of hazard control, which can be thought of as a simple model that prioritizes control methods from most to least effective. One version of this model proposes the following sequence: (1) eliminate the hazard, (2) contain or reduce the hazard, (3) contain or control people, (4) train or educate people, and (5) warn people [39.9]. The basic idea is that designers should first consider design solutions that completely eliminate the hazard. If such solutions are technically or economically infeasible, solutions that reduce but do not eliminate the hazard should then be considered. Warnings and other means of changing human behavior, such as training, education, and supervision, fall in this latter category for obvious reasons. Sim-

ply put, these behavior-oriented approaches will never completely eliminate human errors and violations. On the other hand, this is also true for most design solutions. Consequently, warnings are often a necessary supplement to other methods of hazard control [39.10]. There are many ways warnings can be used as a supplement to other methods of hazard control; for example, warnings can be included in safety training materials, hazard communication programs, and within various forms of safety propaganda, including safety posters and campaigns, to educate workers about risks and persuade them to behave safely. Particularly critical procedures include start-up and shut-down procedures, setup procedures, lock-out and tag-out procedures during maintenance, testing procedures, diagnosis procedures, programming and teaching procedures, and numerous procedures specific to particular applications. The focus here is to reduce errors and intentional violations of safety rules by improving worker knowledge of what the hazards are and their severity, how to identify and avoid them, and what to do after exposure. Inexperienced workers are often the target audience at this stage. Warnings can also be included in manuals or job performance aids (JPAs), such as written procedures, checklists, and instructions. Such warnings usually con-

Safety Warnings for Automation

a)

b)

673

Part D 39.1

sist of brief statements that either instruct less-skilled workers or remind skilled workers to take necessary precautions when performing infrequent maintenance or repair tasks. This approach can prevent workers from omitting precautions or other critical steps in a task. To increase their effectiveness, such warnings are often embedded at the appropriate stage within step-by-step instructions describing how to perform a task. Warning signs, barriers, or markings at appropriate locations, can play a similar role; for example, a warning sign placed on a safety barrier or fence surrounding a robot installation might state that no one except properly authorized personnel is allowed to enter the area. Placing a label on a guard to warn that removing the guard creates a hazard also illustrates this approach. Warning signals can also serve as a supplement to other safety devices such as interlocks or emergency

39.1 Warning Roles

Fig. 39.2 Safety laser scanner application (courtesy of

Sick Inc., Minneapolis)

braking systems; for example, presence sensing and interlock devices are sometimes used in installations of robots to sense and react to potentially dangerous workplace conditions. Sensors used in such systems include (1) pressure-sensitive floor mats, (2) light curtains, (3) end-effector sensors, (4) ultrasound, capacitive, infrared, and microwave sensing systems, and (5) computer vision. Floor mats and light curtains are used to determine whether someone has crossed the safety boundary surrounding the perimeter of the robot. Perimeter penetration will trigger a warning signal and in some cases will cause the robot to stop. End-effector sensors detect the beginning of a collision and trigger emergency stops. Ultrasound, capacitive, infrared, and microwave sensing systems are used to detect intrusions. Computer vision theoretically can play a similar role in detecting safety problems. Figure 39.1a and b illustrate how a presence sensing system, in this case a safety light curtain device in an

Fig. 39.1a,b Auto-body assembly line safety light curtain

Fig. 39.3 C4000 safety light curtain hazardous point pro-

system (courtesy of Sick Inc., Minneapolis)

tection (courtesy of Sick Inc., Minneapolis)

674

Part D

Automation Design: Theory and Methods for Integration

Part D 39.1 Fig. 39.4 Safety laser scanner AGV (automated guided vehicle) application (courtesy of Sick Inc., Minneapolis)

auto-body assembly line, might be installed for point of operation, area, perimeter, or entry/exit safeguarding. Figure 39.2 illustrates a safety laser scanner. By reducing or eliminating the need for physical barriers, such systems make it easier to access the robot system during setup and maintenance. By providing early warnings prior to entry of the operator into the safety zone, such systems can also reduce the prevalence of nuisance machine shut-downs (Fig. 39.3). Furthermore, such systems can prevent the number of accidents by providing warning signals and noises to alert personnel on the floor (Fig. 39.4).

39.1.2 Warning as a Form of Automation Automated warning systems have been implemented in many domains, including aviation, medicine, process control and manufacturing, automobiles and other surface transportation, military applications, and weather forecasting, among others. Some specific examples of automated systems include collision warning systems and ground proximity warning systems in automobiles and aircraft, respectively. These systems will alert drivers or pilots when a collision with another vehicle or the ground is likely, so that they can take evasive action. In medicine, anesthesiologists and medical care

workers must monitor patients’ vitals, sometimes remotely. Similarly, in process control, such as nuclear power plants, workers must continuously monitor multiple subsystems to ensure that they are at safe and tolerable levels. In these situations, automated alerts can be used to inform operators of any significant departures from normal and acceptable levels, whether in the patients’ condition or in plant operation and safety. Automation may be particularly important for complex systems, which may involve too much information (sometimes referred to as raw data), creating difficulties for operators in finding relevant information at the appropriate times. In addition to simply informing or alerting the human operator, automation can play many different roles, from guiding human information gathering to taking full control of the system. As implied by the above examples, automated warning systems come in many forms, across a wide variety of domain applications. Parasuraman et al. [39.11] propose a taxonomy of human–automation interaction that provides a useful way of categorizing the function of these systems according to the psychological process they are intended to replace or supplement. As shown in Fig. 39.5, automation can be applied at any of four stages: (1) information acquisition, (2) information analysis (3) decision selection, and (4) action implementation. These four stages are based on a simple model of human information processing (sensory processing, cognition/working memory, decision making, response execution). The model proposed by Parasuraman et al. [39.11] also maps onto Endsley’s [39.12] model of situation awareness (SA), with early stages of automation contributing to the establishment and maintenance of SA (as also shown in Fig. 39.5). Good situation awareness is an important precursor to accurate decision making and action selection. For any given automated system, the level of automation at each stage of the model can vary from low to high and this level will dictate how much control the human is afforded in the operation of the system. As expanded upon in the following discussion, the functions performed by automated warning systems tend to fall into the second and third stages of automation (information analysis and decision selection, respectively), depending on whether they simply provide human operators with alerts or whether they indicate also the appropriate course of action. Stage 1: Information Acquisition At the first stage, automation involves the acquisition and registration of multiple sources of input data. Au-

Safety Warnings for Automation

39.1 Warning Roles

Stage 2

Stage 3

Stage 4

Automation

Information acquisition

Information analysis

Decision selection

Action implementation

Psychological process

Sensation, perception, attention

Cognition, working memory

Cognition, decision making

Response execution

Situation awareness

Perception of elements

Comprehension of situation

Projection of future status

Fig. 39.5 Stages of automation [39.13] and the corresponding psychological processes and level of situation awareness [39.12] (after Horrey et al. [39.14])

tomation in this stage acts to support human sensory and attentional processes (e.g., detection of input data). A high level of automation at this stage may filter out all information deemed to be irrelevant or less critical to the current task, presenting only the most critical information to the operator. Thus, only relevant cues and reports (at least those deemed relevant by the system) would pass through the filters, allowing operators the capacity to make more effective decisions, especially when under time duress. Systems using a lower level of automation, on the other hand, may present all of the available input data but guide attention to what the automation infers to be the most relevant features (e.g., target cueing; information highlighting). There has been extensive research into the effects of stage 1 automation (attention guidance) in target detection tasks. Basic research has reliably demonstrated the capacity for visual cues to reduce search times in target search tasks [39.15]. Applied research has also demonstrated these benefits in military situations [39.16, 17], helicopter hazard detection [39.18], aviation and air-traffic control [39.6, 19], and a number of other domains. These generally positive results support the potential value of stage 1 automation in applications where operators can receive an excessive number of warnings. Example applications might filter the warnings in terms of urgency or limit the warnings to relevant subsystems.

Stage 2: Information Analysis Information analysis involves higher cognitive functions such as working memory, information integration, and cognitive inference. Automation at stage 2 may help operators by integrating the raw data, drawing inferences, and/or generating predictions. In this stage, lower levels of automation may extrapolate current information and predict future status (e.g., cockpit predictor displays [39.20]). Higher levels of automation at this stage may reduce information from a number of sources into a single hypothesis regarding the state of the world; for example, collision warning systems in automobiles will use information regarding the speed of the vehicle ahead, the intervehicle separation, and the driver’s own velocity (among other potential information) to indicate to the driver when a forward collision is likely [39.21–24]. In general, operators are quicker to respond to the relevant event when provided with these alerts. Studies of automated alerts have been performed in many different domains, including aviation [39.25], process control [39.26], unmanned aerial vehicle operation [39.27], medicine [39.28], air-traffic control [39.6], and battlefield operations [39.14]. Stage 3: Decision Selection The third stage involves the selection, from among many alternatives, of the appropriate decision or action. This will typically follow from some form of informa-

Part D 39.1

Stage 1

675

676

Part D

Automation Design: Theory and Methods for Integration

Part D 39.2

tion integration performed at stage 2. Lower levels of stage 3 automation may provide users with a complete set (or subset) of alternatives from which the operator will select which one to execute (whether correct or no). Higher levels may only present the optimal decision or action or may automatically select the appropriate course of action. At this stage the automation will utilize implicit or explicit assumptions about the costs and benefits of different decision outcomes; for example, the ground proximity warning system in aviation – a system designed to help avoid aircraft–ground collisions – will recommend a single maneuver to pilots when a given threshold is exceeded (pull up). Stage 4: Action Implementation Finally, automation in the fourth stage aids the user in the execution of the selected action. A low level

of automation may simply provide assistance in the execution of the action (e.g., power steering). High levels of automation at this stage may take control from the operator; for example, adaptive cruise control (ACC) systems in automobiles will automatically adjust the vehicle’s headway by speeding up or slowing down in order to maintain the desired separation. In general, one of the ironies of automation is that those systems that incorporate higher stages of automation tend to yield the greatest performance in normal situations; however, these also tend to come with the greatest costs in off-normal situations, where the automated response to the situation is inappropriate or erroneous [39.29]. We will discuss the issue of automation failure in a later section.

39.2 Types of Warnings Warning systems serve many functions. Typically, they provide the user/operator with information on the status of the system. This information source aids the user in maintaining situational awareness, and does not necessarily require that specific action be taken (stage 2 automation). Alternatively, other warning systems signal the user that a specific response needs to be made at a specific time in order to reduce associated risks (stage 3 automation). Below, we will review the different methods and modes of presenting warning information that can be used to accomplish these goals.

39.2.1 Static Versus Dynamic Warnings Perhaps the most familiar types of warnings are the visually based signs and labels that we encounter everyday whether on the road (e.g., slippery when wet), in the workplace (industrial warnings such as entanglement hazard – keep clear of moving gears), or on consumer products (e.g., do not take this medication if you might be pregnant). These signs and labels indicate the presence of a hazard and may also indicate required or prohibited actions to reduce the associated risk, as well as the potential consequences of failing to comply with the warning. This type of warning is static in the sense that its status does not change over time [39.30]. However, as noted by Lehto [39.31], even these static displays have a dynamic component in that they are no-

ticed at particular points in time. In order to increase the likelihood that a static warning, such as a sign or label, is received (i. e., noticed, perceived, and understood) by the user at the appropriate moment, it should be physically as well as temporally placed such that using the product requires interaction with the label prior to the introduction of the hazard to the situation; for example, Duffy et al. [39.32] examined the effectiveness of a label on an extension cord which stated: “Warning. Electric shock and fire. Do not plug more than two items into this cord.” Interactive labels in which the label was affixed to the outlet cover on the female receptacle were found to produce greater compliance than a no-label control condition, and a tag condition in which the warning label was attached to the extension cord 5 cm above the female receptacle. Other studies [39.33, 34] have found that a warning that interrupts a user’s script for interacting with a product increases compliance. A script consists of a series of temporally ordered actions or events which are typical of a user’s interactions with a class of objects [39.35]. Additionally, varying the warning’s physical characteristics can also increase its conspicuity or noticeability [39.36]; for example, larger objects are more likely to capture attention than smaller objects. Brightness and contrast are also important in determining whether an object is discernible from a background. As a specific form of contrast, highlighting can be used to emphasize different portions of a warning label or sign [39.37]. Ad-

Safety Warnings for Automation

39.2 Types of Warnings

Visual

Auditory

Haptic

Persistent – signal typically persistent in time so that information about past has same sensory status as information about present Localized – can be sensed only from specific locations such as monitors or other projections (eyeballs needed) Optional – there are proximal physical means for completely eliminating signal (eyeballs, eyelids, turn) Moderately socially inclusive – others aware of signal but need not look at screen

Transitory – signal happens in time and recedes into past: creating persistent information or information about past are design challenges Ubiquitous – can be sensed from any location unless technology is used to create localized qualities Obligatory – there are no proximal physical means for completely eliminating signal (unless earplugs block signal) Socially inclusive – others always receive signal unless signal is sent only to an earpiece Peripheral monitoring – temporal properties of process locked into temporal properties of display Moderate information density – several variables and relationships can be simultaneously presented

Transitory – signal happens in time and recedes into past: creating persistent information or information about past are design challenges

Sampling-based monitoring – temporal sampling process needed for coverage of all needed variables High information density – many variables and relationships can be simultaneously presented

ditionally, lighting conditions influence detectability of signs and labels (i. e., reduced contrast). In contrast to static warnings, dynamic warning systems produce different messages or alerts based on input received from a sensing system – therefore, they indicate the presence of a hazard that is not normally present [39.30, 39]. Environmental variables are monitored by a sensor, and an alert is produced if the monitored variables exceed some threshold. The threshold can be changed based on the criticality of potential consequences – the more trivial the consequences, the higher the threshold and, for more serious consequences, the threshold would be set lower so as reduce the likelihood of missing the critical event. However, the greater the system’s sensitivity, the greater the

Personal – can be sensed only by the person whom the display is directed (unless network or shared) Obligatory – there are no proximal physical means for completely eliminating signal (unless remove device) Not socially inclusive – others probably unaware of signal

Interrupt-based monitoring – monitoring based on interrupts

Low information density – few variables and relationships can be simultaneously presented

likelihood that an alert will be generated when there is no hazard present. Ideally, an alert should always be produced when there is a hazard present, but never be produced in the absence of a hazard. Implications of system departures from this ideal will be discussed later in this chapter. The remainder of this chapter will focus on the implementation and functioning of dynamic warning systems. These warnings serve to alert users to the presence of a hazard and its associated risks. Accordingly, they must readily capture attention and be easily/quickly understood. The ability of the warning to capture attention is especially important in the case of complex systems, in which an abundance of available information may overload the operator’s lim-

Part D 39.2

Table 39.1 Contrast between the fundamental properties of visual, auditory, and haptic modalities of information processing (after Sanderson [39.38])

677

678

Part D

Automation Design: Theory and Methods for Integration

Part D 39.2

ited attentional resources. When designing a warning system, it is critical to take into account the context in which the warning will appear; for example, in a noisy construction environment, in which workers may be wearing hearing protection, an auditory warning is not likely to be effective. Whatever the context, the warning should be designed to stand out against any background information (i. e., visual clutter, ambient noise). Sanderson [39.38] has provided a taxonomy/terminology for thinking about sensory modality in terms of whether information is persistent in time; whether information delivery is localized, ubiquitous, or personal; whether sensing the information is optional or obligatory; whether the information is socially inclusive; whether monitoring occurs through sampling, peripheral awareness, or is interrupt based; and information density (Table 39.1). Next, advantages and disadvantages of different modes of warning presentation will be discussed in related terms.

39.2.2 Warning Sensory Modality Visual Warnings The primary challenge in using visual warnings is that the user/operator needs to be looking at a specific location in order to be alerted, or the warning needs to be sufficiently salient to cause the operator to reorient their focus towards the warning. As discussed earlier in the section on static warnings, the conspicuity of visually based signals can be maximized by increasing size, brightness, and contrast [39.36]. Additionally, flashing lights attract attention better than continuous indicatortype lights (e.g., traffic signals incorporating a flashing light into the red phase) [39.36]. Since flash rates should not be greater than the critical flicker fusion frequency (≈ 24 Hz; resulting in the perception of a continuous light), or so slow that the on time might be missed, Sanders and McCormick [39.40] recommend flash rates of around 10 Hz. Auditory Warnings Auditory stimuli have a naturally alerting quality and, unlike visual warnings, the user/operator does not have to be oriented towards an auditory warning in order to be alerted, that is, auditory warnings are omnidirectional (or ubiquitous in Sanderson’s terminology) [39.41, 42]. Additionally, localization is possible based on cues provided by the difference in time and intensity of the sound waves arriving at the two ears. To maximize the likelihood that the auditory warning is effective, the signal should be within the range of

about 800–5000 Hz (the human auditory system is most sensitive to frequencies within this range – frequencies contained in speech; e.g., Coren and Ward [39.43]) – and should have a tonal quality that is distinct from that of expected environmental sounds – to help reduce the possibility that it will be masked by those sounds (see Edworthy and Hellier [39.44] for an in-depth discussion of auditory warning signals). Verbal Versus Nonverbal Any auditory stimulus, from a simple tone to speech, can serve as an alert as long as it easily attracts attention. However, the human auditory system is most sensitive to sound frequencies contained within human speech. Speech warnings have the further advantage of being composed of signals (i. e., words) which have already been well learned by the user/operator. There is a redundancy in the speech signal such that, if part of the signal is lost, it can be filled in based on the context provided by the remaining sounds [39.45, 46]. However, since speech is a temporally based code that unfolds over time, it is only physically available for a very limited duration. Therefore, earlier portions of a warning message must be held in working memory while the remainder of the message continues to be processed. As a result, working memory may become overloaded and portions of the warning message may be lost. With visually based verbal warnings, on the other hand, there is the option of returning to, and rereading, earlier portions of the warning; that is, they persist over time. However, since the eyes must be directed towards the warning source, the placement of visually based warnings is critical so as to minimize the loss of other potentially critical information (i. e., such that other signals can be processed in peripheral vision). While verbal signals have the obvious advantage that their meaning is already established, speech warnings require the use of recorded, digitized, or synthesized speech which will be produced within a noisy background – therefore, intelligibility is a major issue [39.44]. Additionally, as indicated earlier, the speech signal unfolds over time and may take longer to produce/receive than a simpler nonverbal warning signal. However, nonverbal signals must somehow encode the urgency of the situation – that is, how quickly a response is required by the user/operator. Extensive research in the auditory domain indicates that higherfrequency sounds have a higher perceived urgency than lower-frequency sounds, that increasing the modulation of the amplitude or frequency of a pulse decreases urgency, that increases in number of harmonics in-

Safety Warnings for Automation

Haptic/Tactile Warnings While the visual and auditory channels are most often used to present warnings, haptic or tactile warnings are also sometimes employed; for example, the improper maneuvering of a jet will cause tactile vibrations to be delivered through the pilot’s control stick – this alert serves to signal the need to reorient the control. In the domain of vehicle collision warnings, Lee et al. [39.49] examined driver preferences for auditory or haptic warning systems as supplements to a visual warning system. Visual warnings were presented on a head-down display in conjunction with either an auditory warning or a haptic warning, in the form of a vibrating seat. Preference data indicated that drivers found auditory warnings to be more annoying and that they would be more likely to purchase a haptic warning system. In the domain of patient monitoring, Ng et al. [39.50] reported that a vibrotactile wristband results in a higher identification rate for heart rate alarms than does an auditory display. In Sanderson’s [39.38] terminology, a haptic alert is discrete, transitory, has low precision, has obligatory properties, and allows the visual and auditory modalities to continue to monitor other information sources. Therefore, patient monitoring represents a good use of haptic alarms. Another example of a tactile or haptic warning, though not technologically based, is the use of rumble strips on the side of the highway. When a vehicle crosses these strips, vibration and noise is created within the vehicle. Some studies have reported that the installation of these strips reduced drift-off road accidents by about 70% [39.51]. A similar application is the tactile ground surface indicators that have become more common as a result of the Americans with Disabilities Act (ADA). These surfaces are composed of truncated cones which provide a distinctive pattern which can be felt underfoot or through use of a cane. It is intended to alert individuals with visual impairments of hazards associated with blending pedestrian and vehicular traffic (i. e., on curb ramps on the approach to the street surface).

Multimodal Warnings For the most part, we have focused our discussion on the use of individual sensory channels for the presentation of automated warnings. However, research suggests that multimodal presentation results in significantly improved warning processing. Selcon et al. [39.52] examined multimodal cockpit warnings. These warnings must convey the nature of the problem to the pilot as quickly as possible so that immediate action can be taken. The warnings studied were visual (presented using pictorials), auditory (presented by voice), or both (incorporating visual and auditory components) and described real aircraft warning (high priority/threat) and caution (low priority/threat) situations. Participants were asked to classify each situation as either warning or caution and then to rate the threat associated with it. Response times were measured. Depth of understanding was assessed using a measure of situational awareness [39.53, 54]. Performance was faster in the condition incorporating both visual and auditory components (1.55 s) than in the visual (1.74 s) and auditory (3.77 s) conditions and there was some indication that this condition was less demanding and resulted in improved depth of understanding as well. Sklar and Sarter [39.55] examined the effectiveness of visual, tactile, and redundant visual and tactile cues for indicating unexpected changes in the status of an automated cockpit system. The tactile conditions produced higher detection rates and faster response times. Furthermore, provision of tactile feedback and performance of concurrent visual tasks did not result in any interference suggesting that tactile feedback may better support human–machine communication in information-rich domains (see also Ng et al.’s [39.50] findings reviewed earlier). Research also indicates that multimodal presentation provides comprehension and memory benefits for verbal warnings. Using a laboratory task in which participants measured and mixed chemicals, Wogalter and Young [39.42] (see also Wogalter et al. [39.41]) observed higher compliance rates in conditions in which warnings to wear a mask and gloves were presented using both text and voice (74% of participants complied) than in conditions in which the warnings were presented via voice (59%) or text alone (41%). A similar pattern was observed in a field experiment in which text and voice warnings warned of a wet floor in a shopping center. Voice warnings are more likely to capture attention. However, most of the participants in the text warning condition also reported awareness of the warning. Therefore, awareness alone cannot account for the

679

Part D 39.2

creases perceived urgency, and that spectral shape also impacts perceived urgency [39.44, 47, 48]. Edworthy et al. [39.48] found that faster, more repetitive bursts are judged to be more urgent; regular rhythms are perceived as more urgent than syncopated rhythms; bursts that are speeded up are perceived as more urgent than those that stay the same or slow down; and the larger the difference between the highest and lowest pitched pulse in a burst, the higher the perceived urgency.

39.2 Types of Warnings

680

Part D

Automation Design: Theory and Methods for Integration

Part D 39.3

higher compliance rates. Additionally, the combined text and voice condition resulted in higher compliance than the voice-alone condition. The combination of voice and print appears more persuasive than print or voice alone. In another study, Conzola and Wogalter [39.56] used voice and print warnings to supplement product manual instructions during the unpacking of a computer disk drive. The supplemental voice warnings were presented via digitized voice while the text was presented via printed placard. Compliance was higher in conditions with the supplemental messages than in the manual-only condition, but there was no significant difference in compliance between the voice and print conditions. As regards to memory, the voice message resulted in greater recall than the print or manualonly conditions. This finding is consistent with what is known as the modality effect in working memory

research – since verbal information is stored in memory in an auditory/acoustic format, memory for verbal information is better when the information is presented auditorily (i. e., by voice) than when that same information is presented visually (i. e., as text) (see Penney [39.57] for a review). Performance suffers when verbal information is presented visually as translation into an auditory code is required for storage in memory. Translation is unnecessary with voice presentation. To summarize, by providing redundant delivery channels, a multimodal approach helps to ensure that the warning attracts attention, is received (i. e., understood) by the user/operator, and is remembered. Future research should focus on further developing relatively underused channels for warning delivery (i. e., haptic) and using multiple modalities in parallel in order to increase the attentional and performance capacity of the user/operator [39.38].

39.3 Models of Warning Effectiveness Over the past 20 years, much research has been conducted on warnings and their effectiveness. The following discussion will first introduce some commonly used measures of effectiveness. Attention will then shift to modeling perspectives and related research findings which provide guidance as to when, where, and why warnings will be effective.

39.3.1 Warning Effectiveness Measures The performance of a warning can be measured in many different ways [39.39]. The ultimate measure of effectiveness is whether a warning reduces the frequency and severity of human errors and accidents in the real world. However, such data is generally unavailable, forcing effectiveness to be evaluated using other measures, sometimes obtained in controlled settings that simulate the conditions under which people receive the warning; for example, the effectiveness of a collision avoidance warning might be assessed by comparing how quickly subjects using a driving simulator notice and respond to obstacles with and without the warning system. For the most part, such measures can be derived from models of human information processing that describe what must happen after exposure to the warning, for the warning to be effective [39.31, 39]. That is, the human operator must notice the warning, correctly comprehend its meaning, decide on the ap-

propriate action, and perform it correctly. Analysis of these intervening events can provide substantial guidance into factors influencing the overall effectiveness of a particular warning. A complicating issue is that the design of warnings is a polycentric problem [39.58], that is, the designer will have to balance several conflicting objectives when designing a warning. The most noticeable warning will not necessarily be the easiest to understand, and so on. As argued by Lehto [39.31], this dilemma can be partially resolved by focusing on decision quality as the primary criterion for evaluating applications of warnings; that is, warnings should be evaluated in terms of their effect on the overall quality of the judgments and decisions made by the targeted population in their naturalistic environment. This perspective assumes that decision quality can be measured by comparing people’s choices and judgments to those prescribed by some objective gold standard. In some cases, the gold standard might be prescribed by a normative mathematical model, as expanded upon below.

39.3.2 The Warning Compliance Hypothesis The warning compliance hypothesis [39.59] states that people’s choices should approximate those obtained by applying the following optimality criterion:

Safety Warnings for Automation

The warning compliance hypothesis is based on statistical decision theory which holds that a rational decision-maker should make choices that maximize expected value or utility [39.60, 61]. The expected value of choosing an action Ai is calculated by weighting its consequences Cik over all events k, by the probability Pik that the event will occur. More generally, the decision-maker’s preference for a given consequence Cik might be defined by a value or utility function V (Cik ), which transforms consequences into preference values. The preference values are then weighted using the same equation. The expected value of a given action Ai becomes

EV [Ai ] = Pik V (Cik ) . (39.1) k

From this perspective, people who decide to ignore the warning feel that avoiding the typically small cost of compliance outweighs the large, but relatively unlikely cost of an accident or other potential consequence of not complying with the warning. The warning compliance hypothesis clearly implies that the effectiveness of warnings might be improved by 1. Reducing the expected cost of compliance, or 2. Increasing the expected cost of ignoring the warning. Many strategies might be followed to attain these objectives; for example, the expected cost of compliance might be reduced by modifying the task or equipment so the required precautionary behavior is easier to perform. The benefit of following this strategy is supported by numerous studies showing that even a small cost of compliance (i. e., a short delay or inconvenience) can encourage people to ignore warnings (for reviews see Lehto and Papastavrou [39.62], Wogalter et al. [39.63], and Miller and Lehto [39.64]). Some strategies for reducing the cost of compliance include providing the warning at the time it is most relevant or more convenient to respond to. Increasing the expected cost of ignoring the warning is another strategy for increasing warning effectiveness suggested by the warning compliance hypothesis. The potential value of this approach is supported by studies indicating that people will be more likely to take

precautions when they believe the danger is present and perceive a significant benefit to taking the precaution. This might be done through supervision and enforcement or other methods of increasing the cost of ignoring the warning. Also, assuming the warning is sometimes given when it does not need to be followed (i. e., a false alarm), the expected cost of ignoring the warning will increase if the warning is modified in a way that reduces the number of false alarms. This point leads us to the topic of information quality.

39.3.3 Information Quality In a perfect world, people would be given warnings if, and only if, a hazard is present that they are not already aware of. When warning systems are perfect, the receiver can optimize performance by simply following the warning when it is provided [39.65]. Imperfect warning systems, on the other hand, force the receiver to decide whether to consult and comply with the provided warning. The problem with imperfect warning systems is that they sometimes provide false alarms or fail to detect the hazard. From a short-term perspective, false alarms are often merely a nuisance to the operator. However, there are also some important long-run costs, because repeated false alarms shape people’s attitudes and influence their actions. One problem is the cry-wolf effect which encourages people to ignore (or mistrust) warnings [39.66, 67]. Even worse, people may decide to completely eliminate the nuisance by disconnecting the warning system [39.68]. Misses are also an important issue, because people may be exposed to hazards if they are relying on the warning system to detect the hazard. Another concern is that misses might reduce operator trust in the system [39.18]. Due to the potentially severe consequences of a miss, misses are often viewed as automation failures. The designers of warning systems consequently tend to focus heavily on designing systems that reliably provide a warning when a hazard is present. One concern, based on studies of operator overreliance upon imperfect automation [39.1, 19, 69], is that this tendency may encourage overreliance on warning systems. Another issue is that this focus on avoiding misses causes warning systems to provide many false alarms for each correct identification of the hazard. This tendency has been found for warning systems across a wide range of application areas [39.65, 67].

681

Part D 39.3

If the expected cost of complying with the warning is greater than the expected cost of not complying, then it is optimal to ignore the warning; otherwise, the warning should be followed.

39.3 Models of Warning Effectiveness

682

Part D

Automation Design: Theory and Methods for Integration

Part D 39.3

39.3.4 Information Integration As discussed by Edworthy [39.70] and many others, in real-life situations people are occasionally faced with choices where they must combine what they already know about a hazard with information they obtain from hazard cues and a warning of some kind. In some cases, this might be a warning sign or label. In others, it might be a warning signal or alarm that indicates a hazard is present that normally is not there. A starting point for analyzing how people might integrate the information from the warning with what they already know or have determined from other sources of information is given by Bayes’ rule, which describes how to infer the probability of a given event from one or more pieces of evidence [39.61]. Bayes’ rule states that the posterior probability of hypothesis Hi given that evidence E j is present, or P(Hi |E j ), is given by P(Hi |E j ) =

P(E j |Hi ) P(Hi ) , P(E j )

(39.2)

where P(Hi ) is the probability of the hypothesis being true prior to obtaining the evidence E j , and P(E j |Hi ) is the probability of obtaining the evidence E j given that the hypothesis Hi is true. When a receiver is given an imperfect warning, we can replace P(E j |Hi ) in the above equation with P(W|H) to calculate the probability that the hazard is present after receiving a warning. That is, P(W|H) (39.3) P(H) , P(H|W) = P(W) where P(H) is the prior probability of the hazard, P(W) is the probability of sending a warning, P(W|H) is the probability of sending a warning given the hazard is present, and P(H|W) is the probability that the hazard is present after receiving the warning. A number of other models have been developed in psychology that describe mathematically how people combine sources of information. Some examples include social judgment theory, policy capturing, multiple cue probability learning models, information integration theory, and conjoint measurement approaches [39.31]. From the perspective of warnings design, these approaches can be used to check which cues are actually used by people when they make safetyrelated decisions and how this information is integrated. A potential problem is that research on judgment and decision making clearly shows that people integrate information inconsistently with the prescriptions of Bayes’ rule in some settings [39.71]; for example, sev-

eral studies show that people are more likely to attend to highly salient stimuli. This effect can explain the tendency for people to overestimate the likelihood of highly salient events. One overall conclusion is that significant deviations from Bayes’ rule become more likely when people must combine evidence in artificial settings where they are not able to fully exploit their knowledge and cues found in their naturalistic environment [39.72–74]. This does not mean that people are unable to make accurate inferences, as emphasized by both Simon and researchers embracing the ecological [39.75, 76] and naturalistic [39.77] models of decision making. In fact, the use of simple heuristics in rich environments can lead to inferences that are in many cases more accurate than those made using naive Bayes, or linear, regression [39.75]. Unfortunately, many applications of automation place the operator in a situation which removes them from the rich set of naturalistic cues available in less automated settings, and forces the operator to make inferences from information provided on displays. In such situations, it may become difficult for operators to make accurate inferences since they no longer can rely on simple heuristics or decision rules that are adapted to particular environments.

39.3.5 The Value of Warning Information As mentioned earlier, the designers of warning systems tend to focus heavily on designing systems that reliably provide a warning when a hazard is present, which results in many false alarms. From a theoretical perspective it might be better to design the warning system so that it is less conservative. That is, a system that occasionally fails to detect the hazard but provides fewer false alarms might improve operator performance. From the perspective of warning design, the critical question is to determine how selective the warning should be to minimize the expected cost to the user as a function of the number of false alarms and correct identifications made by the warning [39.68, 78, 79]. Given that costs can be assigned to false alarms and misses, an optimal warning threshold can be calculated that maximizes the expected value of the provided information. If it is assumed that people will simply follow the recommendation of a warning system (i. e., the warning system is the sole decision-maker) the optimal warning threshold can be calculated using classical signal detection theory (SDT) [39.80, 81]. That is, a warning should be given when the likelihood ratio P(E|S)/P(E|N) exceeds the optimal warning thresh-

Safety Warnings for Automation

β=

1 − PS cr − ca × , PS ci − cm

(39.4)

where PS P(E|S) P(E|N) cr ca ci cm

the a priori probability of a signal (hazard) being present, the conditional probability of the evidence given a signal (hazard) is present, the conditional probability of the evidence given a signal (hazard) is not present, cost of a correct rejection, cost of a false alarm, cost of a correct identification, cost of a missed signal.

In reality, the problem is more complicated because, as mentioned earlier, people might consider other sources in addition to a warning when making decisions. The latter situation corresponds to a team decision made by a person and warning system working together, as expanded upon later.

39.3.6 Team Decision Making The distributed signal detection theoretic (DSDT) model focuses on how to determine the optimal decision thresholds of both the warning system and the human operator when they work together to make the best possible decision [39.65]. The proposed approach is based on the distributed signal detection model [39.82–86]. The key insight is that a warning system and human operator are both decision-makers who jointly try to make an optimal team decision. The DSDT model has many interesting implications and applications. One is that the warning system and human decision-maker should adjust their decision thresholds in a way that depends upon what the other is doing. If the warning system uses a low threshold and provides a warning even when there is not much evidence of the hazard, the DSDT model shows that the human decision-maker should adjust their own threshold in the opposite direction. That is, the rational human decision-maker will require more evidence from the environment or other source before complying with the warning. At some point, as the threshold for providing the warning gets lower, the rational decision-maker will ignore the warning completely. The DSDT model also implies that the warning system should use different thresholds depending upon how the receiver is performing. If the receiver is dis-

abled or unable to take their observation from the environment, the warning should take the role of primary decision-maker, and set its threshold accordingly to that prescribed in traditional signal detection theory. Along the same lines, if the decision-maker is not responding in an optimal manner when the warning is or not given, the DSDT model prescribes ways of modifying the warning systems threshold; for example, if the decision-maker is too willing to take the precaution when the warning is provided, the warning system should use a stricter warning threshold. That is, the warning system should require more evidence before sending a warning. Research has been performed that addresses predictions of the DSDT model [39.65, 87, 88]. One study showed that the performance of subjects on a simple inference task changed dramatically, depending on the warning threshold value [39.65]. The optimal warning threshold varied between subjects, and subjects changed their own decision thresholds, consistently with the DSDT model’s predictions, when the warning threshold was modified. A second study, using a similar inference task, also showed that the optimal threshold varied between subjects [39.87]. Performance was significantly improved by adjusting the warning threshold to the optimal DSDT value calculated for each particular subject based on their earlier performance. A third study, in a more realistic environment, compared the driving performance of licensed drivers on a driving simulator [39.88]. Overall, use of the DSDT threshold improved passing decisions significantly over the SDT warning threshold. Drivers also changed their own decision thresholds, in the way the DSDT model predicted they should, when the warning threshold changed. Another interesting result was that use of the DSDT threshold resulted in either risk-neutral or risk-averse behavior, while, on the other hand, use of the SDT threshold resulted in some risk-seeking behavior; that is, people were more likely to ignore the warning and visual cues indicating that a car might be coming. Overall, these results suggest that, for familiar decisions such as choosing when to pass, people can behave nearly optimally. One of the more interesting aspects of the DSDT model is that it suggests ways of adjusting the warning threshold in response to how the operator is performing.

39.3.7 Time Pressure and Stress Time pressure and stress is another important issue in many applications of warnings. Reviews of the litera-

683

Part D 39.3

old β, calculated as shown below.

39.3 Models of Warning Effectiveness

684

Part D

Automation Design: Theory and Methods for Integration

Part D 39.4

ture suggest that time pressure often results in poorer task performance and that it can cause shifts between the cognitive strategies used in judgment and decisionmaking situations [39.89,90]. One change is that people show a tendency to shift to noncompensatory decision rules. This finding is consistent with contingency theories of strategy selection. In other words, this shift may be justified when little time is available, because a noncompensatory rule can be applied more quickly. Maule and Hockey [39.89] also note that people tend to filter out low-priority types of information, omit processing information, and accelerate mental activity when they are under time pressure. The general findings above indicate that warnings may be useful when people are under time pressure and stress. People in such situations are especially likely to make mistakes. Consequently, warnings that alert people after they make mistakes may be useful. A second issue is that people under time pressure will not have

a lot of extra time available, so it will become especially important to avoid false alarms. A limited amount of research addresses the impact of time stress on warnings compliance. In particular, a study by Wogalter et al. [39.63] showed that time pressure reduced compliance with warnings. Interestingly, subjects performed better in both low- and high-stress conditions when the warnings were placed in the task instructions than on a sign posted nearby. The latter result supports the conclusion that warnings which efficiently and quickly transmit their information may be better when people are under time stress or pressure. In some situations, this may force the designer to carefully consider the tradeoff between the amount of information provided and the need for brevity. Providing more detailed information might improve understanding of the message but actually reduce effectiveness if processing the message requires too much time and attentional effort on the part of the receiver.

39.4 Design Guidelines and Requirements Safety warnings can vary greatly in behavioral objectives, intended audiences, content, level of detail, format, and mode of presentation. Accordingly, the design of adequate warnings will often require extensive investigations and development activities involving significant resources and time [39.91], well beyond the scope of this chapter. The following discussion will briefly address methods of identifying hazards for applications of automation, along with some legal requirements and design specifications found in warning standards.

39.4.1 Hazard Identification The first step in the development of warnings is to identify the hazards to be warned against. This process is guided by past experience, codes and regulations, checklists, and other sources, and is often organized by separately considering systems and subsystems, and potential malfunctions at each stage in their life cycles. Numerous complementary hazard analysis methods, which also guide the process of hazard identification, are available [39.92, 93]. Commonly used methods include work safety analysis, human error analysis, failure modes and effects analysis, and fault tree analysis. Work safety analysis (WSA) [39.94] and human error analysis (HEA) [39.95] are related approaches that organize the analysis around tasks rather than system

components. This process involves the initial division of tasks into subtasks. For each subtask, potential effects of product malfunctions and human errors are then documented, along with the implemented and the potential countermeasures. In automation applications, the tasks that would be analyzed fall into the categories of normal operation, programming, and maintenance. Failure modes and effects analysis (FMEA) is a systematic procedure for documenting the effects of system malfunctions on reliability and safety [39.93]. Variants of this approach include preliminary hazard analysis (PHA), and failure modes effects and criticality analysis (FMECA). In all of these approaches, worksheets are prepared which list the components of a system, their potential failure modes, the likelihood and effects of each failure, and both the implemented and the potential countermeasures that might be taken to prevent the failure or its effects. Each failure may have multiple effects. More than one countermeasure may also be relevant for each failure. Identification of failure modes and potential countermeasures is guided by past experience, standards, checklists, and other sources. This process can be further organized by separately considering each step in the operation of a system; for example, the effects of a power supply failure for a welding robot might be separately considered for each step performed in the welding process.

Safety Warnings for Automation

perience. Limited data is also available that documents error rates of personnel performing reliability-related tasks, such as maintenance (Table 39.3). Methods for estimating human error rates have been developed [39.103], such as technique for human error rate prediction (THERP) [39.104] and success likelihood index method-multiattribute utility decomposition (SLIM-MAUD) [39.105]. THERP follows an approach analogous to fault tree analysis, to estimate human error probabilities (HEPs). In SLIM-MAUD expert ratings are used to estimate HEPs as a function of performance shaping factors (PSFs). Given that system component failure rates or probabilities are known, the next step in quantitative analysis is to develop a model of the system showing how system reliability is functionally determined by each component. The two most commonly used models are: (1) the systems block diagram, and (2) the system fault tree. Fault trees and system block diagrams are both useful for describing the effect of component configurations on system reliability. The most commonly considered configurations in such analysis are: (1) serial systems, (2) parallel systems, and (3) mixed serial and parallel systems.

39.4.2 Legal Requirements In most industrialized countries, governmental regulations require that certain warnings be provided to

Table 39.2 Estimates of fire protection systems operational reliability (probability of success) [39.99] Protection system

Heat detector Home smoke system System smoke detector Beam smoke detectors Aspirated smoke detectors Sprinklers operate Sprinklers control but do not extinguish Sprinklers extinguish Masonry construction

Gypsum partitions

Warrington Delphi UK (Delphi Group) Smoldering Flaming 0 89 76 79 86 90 86 88 86 NA 95 64 48 81 29% probability an opening will be fixed open 69 29% probability an opening will be fixed open

Fire eng guidelines Australia (expert surveys) Smoldering Flaming 0 90/95 65 75/74 70 80/85 70 80/85 90 95/95 50 95/99 NA

Japanese studies (incident data) Tokyo FD Watanabe 94 89 NA NA 94 89 94 89 NA NA 97 NA NA NA

NA

96

NA

95% if no opening 90% if opening with auto closer

NA

NA

95% if no opening 90% if opening with auto closer

NA

NA

685

Part D 39.4

Fault tree analysis (FTA) is a closely related approach used to develop fault trees. The approach is top-down in that the analysis begins with a malfunction or accident and works downwards to basic events at the bottom of the tree [39.93]. Computer tools which calculate minimal cut-sets and failure probabilities and also perform sensitivity analysis [39.96] make such analysis more convenient. Certain programs help analysts draw fault trees [39.97,98]. Human reliability analysis (HRA) event trees are a classic example of this approach. FTA and FMEA are complementary tools for documenting sources of reliability and safety problems, and also help organize efforts to control these problems. The primary shortcoming of both approaches is that the large number of components in many automated systems imposes a significant practical limitation on the analysis, that is, the number of event combinations that might occur is an exponential function of the large number of components. Applications for complex forms of automation consequently are normally confined to the analysis of single-event failures [39.100]. FTA and FMEA both provide a way of estimating the system reliability from the reliability of its components. Dhillon [39.101] provides a comprehensive overview of documents, data banks, and organizations for obtaining failure data to use in robot reliability analysis. Component reliabilities used in such analysis can be obtained from sources such as handbooks [39.102], data provided by manufacturers (Table 39.2), or past ex-

39.4 Design Guidelines and Requirements

686

Part D

Automation Design: Theory and Methods for Integration

Part D 39.4

Table 39.3 Summary of predicted human error probabilities in offshore platform musters (reprinted from [39.108], with permission from Elsevier). MO – man overboard, GR – gas release, F&R – fire and explosion, TSR – temporary safe refuge, OIM – offshore installation manager, PA – public announcement No. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

16

17 18

Action Detect alarm Identify alarm Act accordingly Ascertain if danger is imminent Muster if in imminent danger Return process equipment to safe state Make workplace as safe as possible in limited time Listen and follow PA announcements Evaluate potential egress paths and choose route Move along egress route Assess quality of egress route while moving to TSR Choose alternate route if egress path is not tenable Assist others if needed or as directed Register at TSR Provide pertinent feedback attained while en route to TSR Don personal survival suit or TSR survival suit if instructed to abandon Follow OIM’s instructions Follow OIM’s instructions

HEP MO

GR

F&E

0.00499 0.00398 0.00547 0.00741

0.0308 0.0293 0.0535 0.0765

0.396 0.386 0.448 0.465

0.00589

0.0706

0.416

0.00866

0.0782

0.474

0.00903

0.0835

0.489

0.00507

0.0605

0.42

0.00718

0.0805

0.476

0.00453 0.00677

0.0726 0.0788

0.405 0.439

0.00869

0.1

0.5

0.0101

0.0649

0.358

0.00126 0.00781

0.01 0.0413

0.2 0.289

0.00517

0.026

0.199

0.0057 0.0057

0.0208 0.0208

0.21 0.21

workers and others who might be exposed to hazards. For example, in the USA, the Environmental Protection Agency (EPA) has developed several labeling requirements for toxic chemicals. The Department of Transportation (DOT) makes specific provisions regarding the labeling of transported hazardous materials. The most well-known governmental standards in the USA applicable to applications of automation are the general industry standards specified by the Occupation Safety and Health Administration (OSHA). The OSHA has also promulgated a hazard communication standard that applies to workplaces where toxic or hazardous materials are in use. Training, container labeling and

Phase

Loss of defences

Awareness

Do not hear alarm. Do not properly identify alarm. Do not maintain composure (panic) Misinterpret muster initiator seriousness and fail to muster in a timely fashion. Do not return process to safe state. Leave workplace in a condition that escalates initiator or impedes others’ egress

Evaluation

Egress

Misinterpret or do not hear PA announcements. Misinterpret tenability of egress path. Fail to follow a path which leads to TSR; decide to follow a different egress path with lower tenability. Fail to assist others. Provide incorrect assistance which delays or prevents egress

Recovery

Fail to register while in the TSR. Fail to provide pertinent feedback. Provide incorrect feedback. Do not don personal survival suit in an adequate time for evacuation. Misinterpret OIM’s instructions or do not follow OIM’s instructions

other forms of warnings, and material data safety sheets are all required elements of the OSHA hazard communication standard. Other relevant OSHA publications addressing automation are the Guidelines for Robotics Safety [39.106] and the Occupational Safety and Health Technical Manual [39.107]. In the USA, the failure to warn also can be grounds for litigation holding manufacturers and others liable for injuries incurred by workers. In establishing liability, the theory of negligence considers whether the failure to adequately warn is unreasonable conduct based on (1) the foreseeability of the danger to the manufacturer, (2) the reasonableness of the assumption that a user

Safety Warnings for Automation

39.4.3 Voluntary Standards A large set of existing standards provide voluntary recommendations regarding the use and design of safety information. These standards have been developed by both: (1) international groups, such as the United Nations, the European Economic Community (EURONORM), the International Organization for Standardization (ISO), and the International Electrotechnical Commission (IEC), and (2) national groups, such as the American National Standards Institute (ANSI), the British Standards Institute, the Canadian Standards Association, the German Institute for Normalization (DIN), and the Japanese Industrial Standards Committee. Among consensus standards, those developed by ANSI in the USA are of special significance. Over the last decade or so, five new ANSI standards focusing on safety signs and labels have been developed and one significant standard has been revised. The new standards are: (1) ANSI Z535.1 Safety Color Code, (2) ANSI Z535.2 Environmental and Facility Safety Signs, (3) ANSI Z535.3 Criteria for Safety Symbols, (4) ANSI Z535.4 Product Safety Signs and Labels, and (5) ANSI Z535.5 Accident Prevention Tags. The recently revised standard is ANSI Z129.1-1988, Hazardous Industrial Chemicals – Precautionary Labeling. Furthermore, ANSI has published a Guide for Developing Product Information. Warning requirements for automated equipment can also be found in many other standards. The most wellknown standard in the USA that addresses automation safety is ANSI/RIA R15.06. This standard was first published in 1986 by the Robotics Industries Association (RIA) and the American National Standards Institute (ANSI) as ANSI/RIA R15.06, the American National Standard for Industrial Robots and Robot Systems – Safety Requirements [39.109]. A revised version of the standard was published in 1992 and the standard is currently undergoing revisions once again. Several other standards developed by the ANSI are potentially important in automation applications. The latter standards address a wide variety of topics such as machine tool safety, machine guarding, lock-out/tagout procedures, mechanical power transmission, chemical labeling, material safety data sheets, personal pro-

tective equipment, safety markings, workplace signs, and product labels. Other potentially relevant standards developed by nongovernmental groups include the National Electric Code, the Life Safety Code, and the proposed UL1740 safety standard for industrial robots and robotics equipment. Literally thousands of consensus standards contain safety provisions. Also, many companies that use or manufacture automated systems will develop their own guidelines [39.110]. Companies often will start with the ANSI/RIA R15.06 robot safety standard, and then add detailed information that is relevant to their particular situation.

39.4.4 Design Specifications Design specifications can be found in consensus and governmental safety standards specifying how to design (1) material safety data sheets (MSDS), (2) instructional labels and manuals, (3) safety symbols, and (4) warning signs, labels, and tags. Material Safety Data Sheets The OSHA hazard communication standard specifies that employers must have a MSDS in the workplace for each hazardous chemical used. The standard requires that each sheet be written in English, list its date of preparation, and provide the chemical and common name of hazardous chemicals contained. It also requires the MSDS to describe (1) physical and chemical characteristics of the hazardous chemical, (2) physical hazards, including potential for fire, explosion, and reactivity, (3) health hazards, including signs and symptoms of exposure, and health conditions potentially aggravated by the chemical, (4) the primary route of entry, (5) the OSHA permissible exposure limit, the American Conference of Governmental Industrial Hygienists (ACGIH) threshold limit value, or other recommended limits, (6) carcinogenic properties, (7) generally applicable precautions, (8) generally applicable control measures, (9) emergency and first-aid procedures, and (10) the name, address, and telephone number of a party able to provide, if necessary, additional information on the hazardous chemical and emergency procedures. Instructional Labels and Manuals Few consensus standards currently specify how to design instructional labels and manuals. This situation is, however, quickly changing. The ANSI Guide for Developing User Product Information, was recently published in 1990, and several other consensus or-

687

Part D 39.4

would realize the danger and, (3) the degree of care that the manufacturer took to inform the user of the danger. The theory of strict liability only requires that the failure to warn caused the injury or loss.

39.4 Design Guidelines and Requirements

688

Part D

Automation Design: Theory and Methods for Integration

Part D 39.4

Table 39.4 Summary of recommendations in selected warning systems (after Lehto and Miller [39.39], and Lehto and Clark [39.9]) System

Signal words

Color coding

Typography

Symbols

Arrangement

ANSI Z129.1 Precautionary labeling of hazardous chemicals

Danger Warning Caution Poison optional words for delayed hazards Danger Warning Caution Notice [General safety] [Arrows]

Not specified

Not specified

Skull-andcrossbones as supplement to words. Acceptable symbols for three other hazard types

Label arrangement not specified; examples given

Red Orange Yellow Blue Green as above; B&W otherwise per ANSI Z535.1 Red Orange Yellow per ANSI Z535.1

Sans serif, Upper case, Acceptable typefaces, Letter heights

Symbols and pictographs per ANSI Z535.3

Defines signal word, word message, symbol panels in 1–3 panel designs. Four shapes for special use. Can use ANSI Z535.4 for uniformity

Sans serif, Upper case, Suggested typefaces, Letter heights

Symbols and pictographs per ANSI Z535.3; also Society of Automotive Engineers (SAE) J284 Safety Alert Symbol Electric shock symbol

Defines signal word, message, pictorial panels in order of general to specific. Can use ANSI Z535.2 for uniformity. Use ANSI Z129.1 for chemical hazards Defines signal word, hazard, consequences, instructions, symbol. Does not specify order

Layout to accommodate symbols; specific symbols/pictographs not prescribed Symbols and pictographs

Defines 3 areas: signal word panel, pictorial panel, message panel. Arrange in order of general to specific

ANSI Z535.2 Environmental and facility safety signs

ANSI Z535.4 Product safety signs and labels

Danger Warning Caution

National Electrical Manufacturers Association (NEMA) guidelines: NEMA 260 SAE J115 Safety signs

Danger Warning

Red Red

Not specified

Danger Warning Caution

Red Yellow Yellow

Sans serif, Typeface, Upper case

ISO standard: ISO R557, 3864

None. Three kinds of labels: Stop/prohibition Mandatory action Warning

Red Blue

Message panel is added below if necessary

Pictograph or symbol is placed inside appropriate shape with message panel below if necessary

Yellow

ganizations are working on draft documents. Without an overly scientific foundation, the ANSI Consumer Interest Council, which is responsible for the above

guidelines, has provided a reasonable outline to manufacturers regarding what to consider in producing instruction/operator manuals. They have included sec-

Safety Warnings for Automation

39.4 Design Guidelines and Requirements

System

Signal Words

Color Coding

Typography

Symbols

Arrangement

OSHA

Danger

Red

Readable at 5 ft

Biological hazard

Signal word and major

1910.145

Warning (tags

Yellow

or as required

symbol. Major

message (tags only)

Specification

only)

Yellow

by task

message can be

for accident

Caution

Fluorescent

supplied by

prevention

Biological

Orange/

pictograph (tags

signs and tags

Hazard,

Orange-Red

only). Slow-moving

BIOHAZARD,

Green

vehicle (SAE J943)

or symbol

Fluorescent

[Safety

Yellow-

instruction]

Orange &

[Slow-moving

Dark Red

vehicle]

per ANSI Z535.1

OSHA

Per applicable

1910.1200

requirements

[Chemical]

of Environmental

Hazard

Protection Agency

communication

(EPA), Food and

In English

Only as material safety data sheet

Drug Administration (FDA), and Consumer Product Safety Commission (CPSC) Westinghouse

Danger

Red

Helvetica bold

Symbols and

Recommends 5 components:

handbook;

Warning

Orange

and regular

pictographs

signal word, symbol/

FMC

Caution

Yellow

weights,

pictograph, hazard, result

guidelines

Notice

Blue

Upper/lower

of ignoring warning,

case

avoiding hazard

tions covering organizational elements, illustrations, instructions, warnings, standards, how to use language, and an instructions development checklist. While the guideline is brief, the document represents a useful initial effort in this area. Safety Symbols Numerous standards throughout the world contain provisions regarding safety symbols. Among such standards, the ANSI Z535.3 standard, Criteria for Safety Symbols, is particularly relevant for industrial practitioners. The standard presents a significant set of selected symbols shown in previous studies to be well understood by workers in the USA. Perhaps more importantly, the standard also specifies methods for designing and evaluating safety symbols. Important

provisions include: (1) new symbols must be correctly identified during testing by at least 85% of 50 or more representative subjects, (2) symbols which do not meet the understandability criteria should only be used when equivalent word messages are also provided, and (3) employers and product manufacturers should train users regarding the intended meaning of the symbols. The standard also makes new symbols developed under these guidelines eligible to be considered for inclusion in future revisions of the standard. Warning Signs, Labels, and Tags ANSI and other standards organizations provide very specific recommendations about how to design warning signs, labels, and tags. These include, among other factors, particular signal words and text, color coding

Part D 39.4

Table 39.4 (cont.)

689

690

Part D

Automation Design: Theory and Methods for Integration

Part D 39.5

schemes, typography, symbols, arrangement, and hazard identification (Table 39.4). Among the most popular signal words recommended are: danger, to indicate the highest level of hazard; warning, to represent an intermediate hazard; and caution, to indicate the lowest level of hazard. Color coding methods, also referred to as a color system, consistently associate colors with particular levels of hazard; for example, red is used in all of the standards to represent the highest level of danger. Explicit recommendations regarding typography are given in nearly all the systems. The most general commonality between the systems is the recommended use of sans-serif typefaces. Varied recommendations are given regarding the use of symbols and pictographs. The FMC and the Westinghouse systems advocate the use of symbols to define the hazard and to convey the level of hazard. Other standards recommend symbols only as a supplement to words. Another area of substantial variation shown in Table 39.4 pertains to the recommended label arrangements. The proposed arrangements generally include elements from the above discussion and specify the image’s graphic content and color, the background’s shape and color, the enclosure’s shape and color, and the surround’s shape and color. Many of the systems also precisely describe the arrangement of the written text and provide guidance regarding methods of hazard identification. Certain standards also specify the content and wording of warning signs or labels in some detail; for example, ANSI Z129.1 specifies that chemical warning labels include (1) identification of the chemical product or its hazardous component(s), (2) signal word, (3) statement of hazard(s), (4) precautionary measures, (5) instructions in case of contact or exposure, (6) an-

tidotes, (7) notes to physicians, (8) instructions in case of fire and spill or leak, and (9) instructions for container handling and storage. This standard also specifies a general format for chemical labels that incorporate these items and recommended wordings for particular messages. There are also standards which specifically address the design of automated systems and alerts; for example, the Department of Transportation, Federal Aviation Administration’s (FAA) Human Factors Design Standard (HFDS) (2003) indicates that alarm systems should alert the user to the existence of a problem, inform of the priority and nature of the problem, guide the user’s initial response, and confirm whether the user’s response corrected the problem. Furthermore, it should be possible to identify the first event in a series of alarm events (information valuable in determining the cause of a problem). Consistent with our earlier discussion, the standard suggests that information should be provided in multiple formats (e.g., visual and auditory) to improve communication and reduce mental workload, that auditory signals be used to draw attention to the location of a visual display, and that false alarms should not occur so frequently so as to undermine user trust in the system. Additionally, users should be informed of the inevitability of false alarms (especially in the case of low base rates). A more in-depth discussion of the FAA’s recommendations is beyond the scope of this chapter – for additional information, see the Human Factors Design Standard [39.111]. Recommendations also exist for numerous other applications including automated cruise control/collision warning systems for commercial trucks greater than 10 000 pounds [39.112] as well as for horns, backup alarms, and automatic warning devices for mobile equipment [39.113].

39.5 Challenges and Emerging Trends The preceding sections of this chapter reveal that warnings can certainly play an important role in automated systems. One of the more encouraging results is that people often tend to behave consistently with the predictions of normative models of decision making. From a general perspective, this is true both if people comply with warnings because they believe the hazard is more serious, and if people ignore warnings with little diagnostic value or when the cost of compliance is believed to be high. This result supports the conclusion that normative models can play an important role in suggesting and evaluating design solutions that address

issues such as operator mistrust of warnings, complacency, and overreliance on warnings. Perhaps the most fundamental design challenge is that of increasing the value of the information provided by automated warning systems. Doing so would directly address the issue of operator mistrust. The most fundamental method of addressing this issue is to develop improved sensor systems that more accurately measure important variables that are strongly related to hazards or other warned against events. Successful implementations of this approach could increase the diagnostic value of the warnings provided by auto-

Safety Warnings for Automation

Another challenge that has been barely, if it all, addressed in most current applications of warning systems is related to the tacit assumption that the perceived costs and benefits of correct detections, misses, false alarms, and correct rejections are constant across operators and situations. This assumption is clearly false, because operators will differ in their attitudes toward risk. Furthermore, the costs and benefits are also likely to change greatly between situations; for example, the expected severity of an automobile accident changes, depending on the speed of the vehicle. This issue might be addressed by algorithms based on normative models which treat the costs and benefits of correct detections, misses, false alarms, and correct rejections as random variables which are a function of particular operators and situations. Many other challenges and areas of opportunity exist for improving automated warnings; for example, more focus might be placed on developing warning systems that are easier for operators to understand. Such systems might include capabilities of explaining why the warning is being provided and how strong the evidence is. Other systems might give the user more control over how the warning operates.

References 39.1

39.2

39.3

39.4 39.5

39.6

39.7

39.8 39.9

R. Parasuraman, V.A. Riley: Humans and automation: use, misuse, disuse, abuse, Hum. Factors 39, 230–253 (1997) C.D. Wickens, J.G. Hollands: Engineering Psychology and Human Performance, 3rd edn. (Prentice Hall, Upper Saddle River 2000) N.B. Sarter, D.D. Woods: How in the world did we get into that mode? Mode error and awareness in supervisory control, Hum. Factors 37(1), 5–19 (1995) C. Perrow: Normal Accidents: Living with High-Risk Technologies (Basic Books, New York 1984) M.R. Endsley: Automation and situation awareness. In: Automation and Human Performance, ed. by R. Parasuraman, M. Mouloua (Lawrence Erlbaum, Mahwah 1996) pp. 163–181 U. Metzger, R. Parasuraman: The role of the air traffic controller in future air traffic management: an empirical study of active control versus passive monitoring, Hum. Factors 43(4), 519–528 (2001) M.R. Endsley, E.O. Kiris: The out-of-the-loop performance problem and level of control in automation, Hum. Factors 37(2), 381–394 (1995) J. Reason: Human Error (Cambridge Univ. Press, Cambridge 1990) M.R. Lehto, D.R. Clark: Warning signs and labels in the workplace. In: Workspace, Equipment and

39.10

39.11

39.12

39.13

39.14

39.15

Tool Design, ed. by W. Karwowski, A. Mital (Elsevier, Amsterdam 1990) pp. 303–344 M.R. Lehto, G. Salvendy: Warnings: a supplement not a substitute for other approaches to safety, Ergonomics 38(11), 2155–2163 (1995) R. Parasuraman, T.B. Sheridan, C.D. Wickens: A model for types and levels of human interaction with automation, IEEE Trans. Syst. Man Cyber. Part A: Syst. Hum. 30(3), 286–297 (2000) M.R. Endsley: Toward a theory of situation awareness in dynamic systems, Hum. Factors 37(1), 32–64 (1995) R. Parasuraman: Designing automation for human use: empirical studies and quantitative models, Ergonomics 43(7), 931–951 (2000) W.J. Horrey, C.D. Wickens, R. Strauss, A. Kirlik, T.R. Stewart: Supporting situation assessment through attention guidance and diagnostic aiding: the benefits and costs of display enhancement on judgment skill. In: Adaptive Perspectives on Human-Technology Interaction: Methods and Models for Cognitive Engineering and HumanComputer Interaction, ed. by A. Kirlik (Oxford Univ. Press, New York 2006) pp. 55–70 P. Flanagan, K.I. McAnally, R.L. Martin, J.W. Meehan, S.R. Oldfield: Aurally and visually guided

691

Part D 39

mated warning systems by reducing either false alarms or misses, and hopefully both. Given the significant improvements and reduced costs of sensor technology that have been observed in recent years, this strategy seems quite promising. Another promising strategy for increasing the diagnostic value of the warnings is to develop better algorithms for both integrating information from multiple sensors and deciding upon when to provide a warning. Such algorithms might include methods of adaptive automation that monitor the operator’s behavior, and respond accordingly; for example, if the system detects evidence that the user is ignoring the provided warnings, a secondary, more urgent warning that requires a confirmatory response might be given to determine if the user is disabled (i. e., unable to respond because they are distracted or even sleeping). Other algorithms might track the performance of particular operators over a longer period and use this data to estimate the skill of the operator or determine the types of information the operator uses to make decisions. Such tracking might reveal the degree to which the operator relies on the warning system. It also might reveal the extent to which the other sources of information used by operator are redundant or independent of the warning.

References

692

Part D

Automation Design: Theory and Methods for Integration

Part D 39

39.16

39.17

39.18

39.19

39.20

39.21

39.22

39.23

39.24

39.25

39.26

39.27

39.28

39.29

visual search in a virtual environment, Hum. Factors 40(3), 461–468 (1998) M. Yeh, C.D. Wickens, F.J. Seagull: Target cuing in visual search: the effects of conformality and display location on the allocation of visual attention, Hum. Factors 41(4), 524–542 (1999) S.E. Graham, M.D. Matthews: Infantry Situation Awareness: Papers from the 1998 Infantry Situation Awareness Workshop (US Army Research Institute, Alexandria 1999) H. Davison, C.D. Wickens: Rotorcraft hazard cueing: the effects on attention and trust, Proc. 11th Int. Symp. Aviat. Psychol. Columbus (The Ohio State Univ., Columbus 2001) K.L. Mosier, L.J. Skitka, S. Heers, M. Burdick: Automation bias: decision making and performance in high-tech cockpits, Int. J. Aviat. Psychol. 8(1), 47–63 (1998) C.D. Wickens, K. Gempler, M.E. Morphew: Workload and reliability of predictor displays in aircraft traffic avoidance, Transp. Hum. Factors 2(2), 99–126 (2000) J.D. Lee, D.V. McGehee, T.L. Brown, M.L. Reyes: Collision warning timing, driver distraction, and driver response to imminent rear-end collisions in a high-fidelity driving simulator, Hum. Factors 44(2), 314–334 (2001) A.F. Kramer, N. Cassavaugh, W.J. Horrey, E. Becic, J.L. Mayhugh: Influence of age and proximity warning devices on collision avoidance in simulated driving, Hum. Factors 49(5), 935– 949(2007) M. Maltz, D. Shinar: Imperfect in-vehicle collision avoidance warning systems can aid drivers, Hum. Factors 46(2), 357–366 (2004) T.A. Dingus, D.V. McGehee, N. Manakkal, S.K. Jahns, C. Carney, J.M. Hankey: Human factors field evaluation of automotive headway maintenance/collision warning devices, Hum. Factors 39(2), 216–229 (1997) N.B. Sarter, B. Schroeder: Supporting decision making and action selection under time pressure and uncertainty: the case of in-flight icing, Hum. Factors 43(4), 573–583 (2001) D.A. Wiegmann, A. Rich, H. Zhang: Automated diagnostic aids: the effects of aid reliability on users’ trust and reliance, Theor. Issues Ergon. Sci. 2(4), 352–367 (2001) S.R. Dixon, C.D. Wickens: Automation reliability in unmanned aerial vehicle control: a reliancecompliance model of automation dependence in high workload, Hum. Factors 48(3), 474– 486(2006) F.J. Seagull, P.M. Sanderson: Anesthesia alarms in context: an observational study, Hum. Factors 43(1), 66–78 (2001) L. Bainbridge: Ironies of automation, Automatica 19, 775–779 (1983)

39.30

39.31

39.32

39.33

39.34

39.35 39.36

39.37

39.38

39.39

39.40

39.41

39.42

39.43 39.44

39.45 39.46

39.47

J. Meyer: Responses to dynamic warnings. In: Handbook of Warnings, ed. by M.S. Wogalter (Lawrence Erlbaum, Mahwah 2006) pp. 89–108 M.R. Lehto: Optimal warnings: an information and decision theoretic perspective. In: Handbook of Warnings, ed. by M.S. Wogalter (Lawrence Erlbaum, Mahwah 2006) pp. 89–108 R.R. Duffy, M.J. Kalsher, M.S. Wogalter: Increased effectiveness of an interactive warning in a realistic incidental product-use situation, Int. J. Ind. Ergon. 15, 159–166 (1995) J.P. Frantz, J.M. Rhoades: A task-analytic approach to the temporal and spatial placement of product warnings, Hum. Factors 35, 719–730 (1993) M.S. Wogalter, T. Barlow, S.A. Murphy: Compliance to owner’s manual warnings: influence of familiarity and the placement of a supplemental directive, Ergonomics 38, 1081–1091 (1995) R.C. Schank, R. Abelson: Scripts, plans, goals, and understanding (Lawrence Erlbaum, Hillsdale 1977) M.S. Wogalter, W.J. Vigilante: Attention switch and maintenance. In: Handbook of Warnings, ed. by M.S. Wogalter (Lawrence Erlbaum, Mahwah 2006) pp. 89–108 S.L. Young, M.S. Wogalter: Effects of conspicuous print and pictorial icons on comprehension and memory of instruction manual warnings, Hum. Factors 32, 637–649 (1990) P. Sanderson: The multimodal world of medical monitoring displays, Appl. Ergon. 37, 501–512 (2006) M.R. Lehto, J.M. Miller: Warnings, Volume 1: Fundamentals, Design and Evaluation Methodologies (Fuller Technical, Ann Arbor 1986) M.S. Sanders, E.J. McCormick: Human Factors in Engineering and Design (McGraw-Hill, New York 1993), 7th edn. M.S. Wogalter, M.J. Kalser, B.M. Racicot: Behavioral compliance with warnings: effects of voice, context, and location, Saf. Sci. 16, 637–654 (1993) M.S. Wogalter, S.L. Young: Behavioural compliance to voice and print warnings, Ergonomics 34, 79–89 (1991) S. Coren, L.M. Ward: Sensation and Perception, 3rd edn. (Harcourt Brace Jovanovich, San Diego 1989) J. Edworthy, E. Hellier: Complex nonverbal auditory signals and speech warnings. In: Handbook of Warnings, ed. by M.S. Wogalter (Lawrence Erlbaum, Mahwah 2006) pp. 89–108 R.M. Warren, R.P. Warren: Auditory illusions and confusions, Sci. Am. 223, 30–36 (1970) A.G. Samuel: Phonemic restoration: insights from a new methodology, J. Exp. Psychol. Gen. 110, 474– 494 (1981) K.L. Momtahan: Mapping of psychoacoustic parameters to the perceived urgency of auditory warning signals (Carleton Univ., Ottawa Ontario 1990), unpublished master’s thesis

Safety Warnings for Automation

39.49

39.50

39.51

39.52

39.53

39.54

39.55

39.56

39.57 39.58

39.59

39.60

39.61 39.62

J. Edworthy, S.L. Loxley, I.D. Dennis: Improving auditory warning design: relationship between warning sound parameters and perceived urgency, Hum. Factors 33, 205–231 (1991) J.D. Lee, J.D. Hoffman, E. Hayes: Collision warning design to mitigate driver distraction. In: Proceedings of the SIGCHI Conference on Human Factors in Computing Systems (ACM, New York 2004) pp. 65–72 J.Y.C. Ng, J.C.F. Man, S. Fels, G. Dumont, J.M. Ansermino: An evaluation of a vibro-tactile display prototype for physiological monitoring, Anesth. Analg. 101, 1719–1724 (2005) J.J. Hickey: Shoulder Rumble Strip Effectiveness: Drift-off-road Accident Reductions on the Pennsylvania Turnpike (Transportation Research Record 1573) (National Research Council, Washington 1997) pp. 105–109 S.J. Selcon, R.M. Taylor, R.A. Shadrake: Multimodal cockpit warnings: pictures, words or both?, Proc. Hum. Factors Soc. 36th Annu. Meet. (Human Factor Society, Santa Monica 1992) pp. 57–61 S.J. Selcon, R.M. Taylor: Evaluation of the situational awareness rating technique (SART) as a tool for aircrew systems design, Proc. AGARD AMP Symp. Situational Awareness in Aerospace Operations (Copenhagen 1989) R.M. Taylor: Situational awareness rating technique (SART): the development of a tool for aircrew systems design, Proc. AGARD AMP Symp. Situational Awareness in Aerospace Operations (Copenhagen 1989) A.E. Sklar, N.B. Sarter: Good vibrations: tactile feedback in support of attention allocation and human-automation coordination in event-driven domains, Hum. Factors 41, 543–552 (1999) V.C. Conzola, M.S. Wogalter: Using voice and print directives and warnings to supplement product manual instructions – conspicuous print and pictorial icons, Int. J. Ind. Ergon. 23, 549–556 (1999) C.G. Penney: Modality effects in short-term verbal memory, Psychol. Bull. 82, 68–84 (1975) J.A. Henderson, A.D. Twerski: Doctrinal collapse in products liability: the empty shell of failure to warn, New York Univ. Law Rev. 65(2), 265–327 (1990) J. Papastavrou, M.R. Lehto: Improving the effectiveness of warnings by increasing the appropriateness of their information content: some hypotheses about human compliance, Saf. Sci. 21, 175–189 (1996) J. von Neumann, O. Morgenstern: Theory of Games and Economic Behavior (Princeton Univ. Press, Princeton 1947) L.J. Savage: The Foundations of Statistics (Dover, New York 1954) M.R. Lehto, J. Papastavrou: Models of the warning process: important implications towards effectiveness, Saf. Sci. 16, 569–595 (1993)

39.63

39.64

39.65

39.66 39.67

39.68

39.69

39.70

39.71

39.72

39.73 39.74

39.75

39.76

39.77

39.78

39.79

39.80

M.S. Wogalter, D.M. Dejoy, K.R. Laughery: Organizing theoretical framework: a consolidated-human information processing (C-HIP) model. In: Warnings and Risk Communication, ed. by M. Wogalter, D. DeJoy, K. Laughery (Taylor and Francis, London 1999) J.M. Miller, M.R. Lehto: Warnings and Safety Instructions: The Annotated Bibliography, 4th edn. (Fuller Technical, Ann Arbor 2001) J. Papastavrou, M.R. Lehto: A distributed signal detection theory model: implications for the design of warnings, Int. J. Occup. Saf. Ergon. 1(3), 215–234 (1995) S. Breznitz: Cry-Wolf: The Psychology of False Alarms (Lawrence Erlbaum, Hillsdale 1984) J.P. Bliss, C.K. Fallon: Active warnings: false alarms. In: Handbook of Warnings, ed. by M.S. Wogalter (Lawrence Erlbaum, Mahwah 2006) pp. 231–242 R.D. Sorkin, B.H. Kantowitz, S.C. Kantowitz: Likelihood alarm displays, Hum. Factors 30, 445–459 (1988) N. Moray: Monitoring, complacency, scepticism and eutactic behaviour, Int. J. Ind. Ergon. 31(3), 175–178 (2003) J. Edworthy: Warnings and hazards: an integrative approach to warnings research, Int. J. Cogn. Ergon. 2, 2–18 (1998) M.R. Lehto, H. Nah: Decision making and decision support. In: Handbook of Human Factors and Ergonomics, 3rd edn., ed. by G. Salvendy (Wiley, New York 2006) pp. 191–242 M.S. Cohen: The naturalistic basis of decision biases. In: Decision Making in Action: Models and Methods, ed. by G.A. Klein, J. Orasanu, R. Calderwood, E. Zsambok (Ablex, Norwood 1993) pp. 51–99 H.A. Simon: A behavioral model of rational choice, Q. J. Econ. 69, 99–118 (1955) H.A. Simon: Alternative visions of rationality. In: Reason in Human Affairs, ed. by H.A. Simon (Stanford Univ. Press, Stanford 1983) G. Gigerenzer, P. Todd, ABC Research Group: Simple Heuristics That Make Us Smart (Oxford University Press, New York 1999) K.R. Hammond: Human Judgment and Social Policy: Irreducible Uncertain, Inevitable Error, Unavoidable Injustice (Oxford Univ. Press, New York 1996) G.A. Klein, J. Orasanu, R. Calderwood, E. Zsambok: Decision Making in Action: Models and Methods (Ablex, Norwood 1993) D.A. Owens, G. Helmers, M. Sivak: Intelligent vehicle highway systems: a call for user-centred design, Ergonomics 36(4), 363–369 (1993) P.A. Hancock, R. Parasuraman: Human factors and safety in the design of intelligent vehicle-highway systems (IVHS), J. Saf. Res. 23, 181–198 (1992) D.M. Green, J.A. Swets: Signal Detection Theory and Psychophysics (Wiley, New York 1966)

693

Part D 39

39.48

References

694

Part D

Automation Design: Theory and Methods for Integration

Part D 39

39.81

39.82

39.83

39.84

39.85

39.86 39.87

39.88

39.89

39.90

39.91

39.92

39.93

39.94

39.95

39.96

C.D. Wickens: Engineering Psychology and Human Performance, 2nd edn. (Harper Collins, New York 1992) R.R. Tenney, N.R. Sandell Jr.: Detection with distributed sensors, IEEE Trans. Aerosp. Electron. Syst. 17(4), 501–510 (1981) L.K. Ekchian, R.R. Tenney: Detection networks, Proc. 21st IEEE Conf. Decis. Control (1982) pp. 686– 691 J.D. Papastavrou, M. Athans: On optimal distributed decision architectures in a hypothesis testing environment, IEEE Trans. Autom. Control 37(8), 1154–1169 (1992) J.D. Papastavrou, M. Athans: The team ROC curve in a binary hypothesis testing environment, IEEE Trans. Aerosp. Electron. Syst. 31(1), 96–105 (1995) J.N. Tsitsiklis: Decentralized detection, Adv. Stat. Signal Proc. 2, 297–344 (1993) M.R. Lehto, J.P. Papastavrou, W. Giffen: An empirical study of adaptive warnings: human vs. computer adjusted warning thresholds, Int. J. Cogn. Ergon. 2(1/2), 19–33 (1998) M.R. Lehto, J.P. Papastavrou, T.A. Ranney, L. Simmons: An experimental comparison of conservative versus optimal collision avoidance system thresholds, Saf. Sci. 36(3), 185–209 (2000) A.J. Maule, G.R.J. Hockey: State, stress, and time pressure. In: Time Pressure and Stress in Human Judgment and Decision Making, ed. by O. Svenson, A.J. Maule (Plenum, New York 1993) pp. 83–102 E. Edland, O. Svenson: Judgment and decision making under time pressure. In: Time Pressure and Stress in Human Judgment and Decision Making, ed. by O. Svenson, A.J. Maule (Plenum, New York 1993) pp. 27–40 J.P. Frantz, T.P. Rhoades, M.R. Lehto: Warnings and risk communication. In: Warnings and Risk Communication, ed. by M.S. Wogalter, D.M. DeJoy, K. Laughery (Taylor and Francis, London 1999) pp. 291–312 M.R. Lehto, G. Salvendy: Models of accident causation and their application: review and reappraisal, J. Eng. Technol. Manag. 8, 173–205 (1991) W. Hammer: Product Safety Management and Engineering, 2nd edn. (American Society of Safety Engineers (ASSE), Des Plaines 1993) J. Suoakas, V. Rouhiainen: Work Safety Analysis: Method Description and User’s Guide, Research Report 314 (Technical Research Center of Finland, Tempere 1984) J. Suoakas, P. Pyy: Evaluation of the Validity of Four Hazard Identification Methods with Event Descriptions, Research Report 516 (Technical Research Center of Finland, Tempere 1988) S. Contini: Fault tree and event tree analysis, Conf. Adv. Inf. Tools Saf. Reliab. Anal. ISPA (1988) pp. 24– 28

39.97

39.98

39.99

39.100

39.101 39.102

39.103

39.104

39.105

39.106 39.107

39.108

39.109

39.110

39.111

39.112

S. Ruthberg: DORISK – a system for documentation and analysis of fault trees, SRE Symp. (Trondheim 1985) M. Knochenhauer: ABB Atom’s SUPER NET programme package for reliability and risk analysis, Conf. Adv. Inf. Tools Saf. Reliab. Anal. ISPA (1988) R.W. Bukowski, E.K. Budnick, C.F. Schemel: Estimates of the operational of fire protection systems, Proc. Soc. Fire Prot. Eng. Am. Inst. Archit. (2002) pp. 111–124 M.L. Visinsky, J.R. Cavallaro, I.D. Walker: Robotic fault detection and fault tolerance: a survey, Reliab. Eng. Syst. Saf. 46:2, 139–158 (1994) B.S. Dhillon: Robot Reliability and Safety (Springer, Berlin, Heidelberg 1991) Department of Defense: MIL-HBDK-217F: Reliability Prediction of Electronic Equipment (Rome Laboratory, Griffiss Air Force Base, NY 1990) D.I. Gertman, H.S. Blackman: Human Reliability, Safety Analysis Data Handbook (Wiley, New York 1994) A.D. Swain, H. Guttman: Handbook for Human Reliability Analysis with Emphasis on Nuclear Power Plant Applications (US Nuclear Regulatory Commission, Washington 1983), NUREG/CR-1278 D.E. Embrey: SLIM-MAUD: An Approach to Assessing Human Error Probabilities Using Structured Expert Judgment (US Nuclear Regulatory Commission, Washington 1984), NUREG/CR-3518, Vols. 1 and 2 OSHA: Guidelines for Robotics Safety (US Department of Labor, Washington 1987) OSHA: Industrial robots and robot system safety. In: Occupational Safety and Health Technical Manual (US Department of Labor, Washington 1996) D.G. DiMattia, F.I. Khan, P.R. Amyotte: Determination of human error probabilities for offshore platform musters, J. Loss Prev. Proc. Ind. 18, 488– 501 (2005) American National Standard for Industrial Robots and Robot Systems: Safety Requirements, ANSI/RIA R15.06, (Robotic Industries Association, Ann Arbor, MI, and the American National Standards Institute, New York 1992) K.M. Blache: Industrial practices for robotic safety. In: Safety, Reliability, and Human Factors in Robotic Systems, ed. by J.H. Graham (Van Nostrand Reinhold, Dordrecht 1991), Chap. 3 Department of Transportation, Federal Aviation Administration: Human Factors Design Standard (DTFAA, Springfield 2003), Report No. DOT/FAA/CT03/05 HF-STD-001 A. Houser, J. Pierowicz, R. McClellan: Concept of operations and voluntary operational requirements for automated cruise control/collision warning systems (ACC/CWS) on-board commercial motor vehicles (Federal Motor Carrier Safety Administration, Washington 2005), report No. FMCSA-MCRR-05–007, retrieved from

Safety Warnings for Automation

alarms, and automatic warning devices, Title 30 Code of Federal Regulations (30 CFR 56.14132, 57.1413230, 77.410, 77.1605), retrieved from http://www.msha.gov/STATS/Top20Viols/tips/ 14132.htm

695

Part D 39

http://www.fmcsa.dot.gov/facts-research/research -technology/report/forward-collision-warningsystems.htm 39.113 US Department of Labor, Mine Safety and Health Administration, (n.d.): Horns, backup

References

“This page left intentionally blank.”

697

Part E

Automati Part E Automation Management

40 Economic Rationalization of Automation Projects José A. Ceroni, Valparaiso, Chile 41 Quality of Service (QoS) of Automation Heinz-Hermann Erbe (Δ), Berlin, Germany 42 Reliability, Maintainability, and Safety Gérard Morel, Vandoeuvre, France Jean-François Petin, Vandoeuvre, France Timothy L. Johnson, Niskayuna, USA 43 Product Lifecycle Management and Embedded Information Devices Dimitris Kiritsis, Lausanne, Switzerland 44 Education and Qualification for Control and Automation Bozenna Pasik-Duncan, Lawrence, USA Matthew Verleger, West Lafayette, USA 45 Software Management Peter C. Patton, Oklahoma City, USA Bijay K. Jayaswal, Minneapolis, USA 46 Practical Automation Specification Wolfgang Mann, Seibersdorf, Austria 47 Automation and Ethics Srinivasan Ramaswamy, Little Rock, USA Hemant Joshi, Conway, USA

698

Automation Management. Part E The main aspects of automation management are covered by the chapters in this part: Cost effectiveness and economic reasons for the design, feasibility analysis, implementation, rationalization, use, and maintenance of particular automation; performance and functionality measures and criteria, such as quality of service, energy cost, reliability, safety, usability, and other criteria; issues involved with managing automation over its life cycles, and the use of embedded automation for this management; how to best prepare the next generation of automation engineers, practitioners, inventors, developers, scientists, operators, and general users, and how to best prepare and qualify automation professionals. Related also to the above topics are the issues of how to manage automatically and control the increasingly complex and rapidly evolving software assets, their maintenance, replacement, and upgrading; how to simplify the specification of increasingly more integrated, inter-dependent and complex automation; and what are some of the ethical concerns with automation and solutions being developed to prevent abuse of automation and with automation. This part concludes the first portion of the Handbook, which is devoted to the science, theory, design, and management aspects and challenges of automation. The next portion is devoted to the main functional areas of automation, demonstrating the role of the previously discussed theories, techniques, models, tools and guidelines, as they are applied and implemented specifically and successfully and the obstacles they face in those functional areas.

699

José A. Ceroni

The future of any investment project is undeniably linked to its economic rationalization. The chance that a project is realized depends on our ability to demonstrate the benefits that it can convey to a company. However, traditional investment evaluation must be enhanced and used carefully in the context of rationalization to reflect adequately the characteristics of modern automation systems. Nowadays automation systems often take the form of complex, strongly related autonomous systems that are able to operate in a coordinated fashion in distributed environments. Reconfigurability is a key factor affecting automation systems’ economic evaluation due to the reusability of equipment and software for the manufacturing of several products. A new method based on an analytical hierarchy process for project selection is reviewed. A brief discussion on risk and salvage consideration is included, as are aspects needing further development in future rationalization techniques.

Worldwide adoption of automation advanced technologies such as robotics, flexible manufacturing, and computer-integrated manufacturing systems has been key to continued improvement of competitiveness in present global markets [40.1]. Adequate definition and selection of automation technology offers substantial potential for cost savings, increased flexibility, better product consistency, and higher throughput. However, justifying automation technology based only on traditional economic criteria is at least biased and often wrong. Lack of consideration of automation strategic and long-term benefits has often led to failure in adopting it [40.2–5]. Ignoring automation’s long-term benefits and impact on company strategy leads to poor decision-making on technologies to implement. Usually nowadays, the long-range cost of not automating can

40.1 General Economic Rationalization Procedure ............................................ 40.1.1 General Procedure for Automation Systems Project Rationalization..... 40.1.2 Pre-Cost-Analysis Phase .............. 40.1.3 Cost-Analysis Phase..................... 40.1.4 Additional Considerations ............

700 700 701 704 707

40.2 Alternative Approach to the Rationalization of Automation Projects................... 708 40.2.1 Issues in Strategic Justification of Advanced Technologies ............ 708 40.2.2 Analytical Hierarchy Process (AHP) . 708 40.3 Future Challenges and Emerging Trends in Automation Rationalization............... 711 40.3.1 Adjustment of Minimum Acceptable Rate of Return in Proportion to Perceived Risk ..... 711 40.3.2 Depreciation and Salvage Value Profiles............ 712 40.4 Conclusions .......................................... 712 References .................................................. 713

turn out to be considerably greater than the short-term cost of acquiring automation technology [40.6]. A primary objective of any automation project must be to develop a new integrated system able to provide financial, operational, and strategic benefits. Thus the automation project should avoid replicating current operational methods and support systems. In this way, the automation project should make clear the four differences from any other capital equipment project:

• •

Automation provides flexibility in production capability, enabling companies to respond effectively to market changes, an aspect with clear economic value. Automation solutions force users to rethink and systematically define and integrate the functions of

Part E 40

Economic Rat

40. Economic Rationalization of Automation Projects

700

Part E

Automation Management

Part E 40.1

• •

their operations. This reengineering process creates major economic benefits. Modern automation solutions are reprogrammable and reusable, with components often having lifecycles longer than the planned production facility. Using automation significantly reduces requirements for services and related facilities.

These differences lead to operational benefits that include:

• • • • • • • •

Increased flexibility Increased productivity Reduced operating costs Increased product quality Elimination of health and safety hazards Higher precision Ability to run longer shifts Reduced floor space.

Time-based competition and mass customization of global markets are key competitive strategies of presentday manufacturing companies [40.7]. Average product lifecycle in marketplaces has changed from years to months for products based on rapidly evolving technologies. This demands agile automated systems, created through the concept of common manufacturing processes organized modularly to allow rapid deployment in alternative configurations. These reconfigurable automated systems represent the cornerstone in dealing with time-based competition and mass customization. Justification of reconfigurable automation systems must necessarily include strategic aspects when comparing them with traditional manufacturing systems

developed under the product-centric paradigm. Productspecific systems generally lack the economical reconfiguration ability that would allow them to meet the needs of additional products. Consequently traditional systems are typically decommissioned well before their capital cost can be recovered, and are then held in storage until fully depreciated for tax purposes before being sold at salvage value. However, it must be kept in mind that reconfigurability claims for additional investment in design, implementation, and operation of the system. Quick-change tooling are an example of reconfigurability equipment which allows rapid product changeover. It is estimated that generic system capabilities can increase the cost of reconfigurable system hardware by as much as 25% over that of a comparable dedicated system. On the other hand, software required to configure and run reconfigurable automation systems is often much more expensive to develop than simple part-specific programs. Traditional economic evaluation methods fail to consider benefits from capital reutilization over multiple projects and also disregard strategic benefits of technology. Upgrade of traditional economic evaluation methods is required to account for the short-term economic and long-term strategic value of investing in reconfigurable automation technologies to support the evolving production requirements of a family of products. In this chapter the traditional economic justification approach to automation system justification is first addressed. A related discussion on economic aspects of automation not discussed in this chapter can be found in Chap. 7. New approaches to automated system justification based on strategic considerations are presented next. Finally, a discussion on justification approaches currently being researched for reconfigurable systems is presented.

40.1 General Economic Rationalization Procedure In general terms, an economic rationalization enables us to compare the financial benefits expected from a given investment project with alternative use of investment capital. Economic evaluation measures capital cost plus operating expenses against cash-flow benefits estimated for the project. This section describes a general approach to economic rationalization and justification of automation system projects.

40.1.1 General Procedure for Automation Systems Project Rationalization The general procedure for rationalization and analysis of automation projects presented here consists of a precost-analysis phase, followed by a cost-analysis phase. Figure 40.1 presents the procedure steps and their sequence. The sequence of steps in Fig. 40.1 is reviewed

Economic Rationalization of Automation Projects

40.1.2 Pre-Cost-Analysis Phase The pre-cost-analysis phase evaluates the feasibility of the automation project. Feasibility is evaluated in terms of the technical capability to achieve production capacity and utilization as estimated in production schedules. The first six steps of the procedure include determining the most suitable manufacturing method, selecting the tasks to automate, and the feasibility of these options (Fig. 40.1). Noneconomic considerations must be studied and all data pertinent to product volumes and operation times gathered. Alternative Automated Manufacturing Methods Production unit cost at varying production volumes for three main alternative manufacturing methods (manual labor, flexible automation, and hard automation) are compared in Fig. 40.2 [40.8]. Manual labor is usually the most cost-effective method for low production volumes; however, reconfigurable assembly is changing this situation drastically. Flexible, programmable automation is most effective for medium production volumes, ranging from a few tens or hundreds of products per year per part type to hundreds of thousands of products per year. Finally, annual production volumes of 500 000 or above seem to justify the utilization of hard automation systems. Boothroyd et al. [40.9] have derived specific formulas for assembly cost (Table 40.1). By using these formulas they compare alternative assembly systems such as the one-operator assembly line, assembly center with two arms, universal assembly center, free-transfer machine with programmable workheads, and dedicated machine. The last three systems are robotics-based automated systems. The general expression derived by [40.9] is   WM  , Cpr = tpr Wt + SQ

where Cpr = unitary assembly cost, tpr = average assembly time per part, Wt = labor cost per time, W = operator’s rate in dollars per second, M  = assembly equipment cost per time, S = number of shifts, and Q = operator cost in terms of capital equivalent.

Parameters and variables in this expression present alternative relationships, depending on the type of assembly system. Figures 40.3 and 40.4 show the unitary cost for the assembly systems at varying annual production volumes. It can be seen that production of multiple products increases costs, by approximately 100%, for the assembly center with two arms and free-transfer ma-

2.2.1 Alternative automated manufacturing methods

2.2.2 Technical feasibility evaluation

New development or improve present method Develop new methods without automation

Fails

Hold the plan

Passes 2.2.3 Selection of tasks to automate 2.2.4 Noneconomic and intangible considerations 2.2.5 Determination of costs and benefits

2.2.6 Utilization analysis

Fails

Pre-cost-analysis phase

Passes 2.3.1 Period evaluation, depreciation, and tax data requirements 2.3.2 Project cost analysis 2.3.3 Economic evaluation 2.3.3.a Net present value

2.3.3.b Return on invested capital Decision

2.3.3.c Payback period

Cost-analysis phase

Fig. 40.1 Automation project economic evaluation procedure

701

Part E 40.1

in detail in the rest of this section and an example costanalysis phase is described.

40.1 General Economic Rationalization Procedure

702

Part E

Automation Management

Part E 40.1

feasibility review must consider aspects such as the answers to the following questions in case of automated assembly:

Cost per unit produced ($) 1000 Programmable automation

• •

100 Dedicated automation

10



Manual

1 0.1 2 10

• 103

104

105 106 Units per year

Fig. 40.2 Comparison of manufacturing methods for dif-

ferent production volumes

chine with programmable workheads, and by 1000% for the dedicated hybrid machine. Evaluation of Technical Feasibility for Alternative Methods Feasibility of the automation system plan must be reviewed carefully. It is perfectly possible for an automation project to have a positive economic evaluation but have problems with its feasibility. Although this situation may seem strange it must be considered that an automation project is rather complex and demands specific operational conditions, far more complex than those in conventional production systems. A thorough

• • • •

Is the product designed for automated assembly? Is it possible to do the job with the planned procedure and within the given cycle time? Can reliability be ensured as a component of the total system? Is the system sufficiently staffed and operated by assigned engineers and operators? Is it possible to maintain safety and the designed quality level? Can inventory and material handling be reduced in the plant? Are the material-handling systems adequate? Can the product be routed in a smooth batch-lot flow operation?

Following the feasibility analysis, alternatives are considered further in the evaluation. If the plan fails due to lack of feasibility, a search for other type of solutions is in order. Alternative solutions may involve the development of new equipment, improvement of proposed equipment or development of other alternatives. Selection of Tasks to Automate Selection of tasks for automation is a difficult process. The following five job grouping strategies may assist the determination of tasks to automate:

Table 40.1 Comparison of assembly systems cost Assembly system

tpr

Wt

M

Operator assembly line no feeders Operator assembly line with feeders Dedicated hybrid machine

Kt0 (1 + x) Kt0 (1 + x) t + xT

nW/k nW/k 3W

Free-transfer machine with programmable workheads Assembly center with two arms Universal assembly center

k(t + xT )

3W

n(t/2 + xT ) n(t/2 + xT )

3W 3W

(n/k)(2CB + Np CC ) (n/k)(2CB + Np CC ) + Np (n y + Nd CF ) [n yCT + (T/t)(n y)CB ] + Np {(n y + Nd )(CF + Cw ) +[n y + (T/2t)(n y)]CC } (n/k)[CdA + (T/t + 1)CB + Np [(n y + Nd )CM + nCg +(n/k)(T/2t + 0.5)CC ] 2CdA + Np [CC + nCg + (n y + Nd )CM ] (2CdA + n yCPF + 2Cug ) + Np CC

where: Cpr = product unit assembly cost, S = number of shifts, Q = equivalent cost of operator in terms of capital equivalent, W = operator’s rate in dollars per second, d = degrees of freedom, k = number of parts assembled by each operator or programmable workhead, n = total number of parts, Np = number of products, Nd = number of design changes, CdA = cost of a programmable robot or workhead, CB = cost of a transfer device per workstation, CC = cost of a work carrier, CF = cost of an automatic feeding device, Cg = cost of a gripper per part, CM = cost of a manually loaded magazine, CPF = cost of a programmable feeder, CS = cost of a workstation for a single station assembly, Ct = cost of a transfer device per workstation, Cug = cost of a universal gripper, CW = cost of a dedicated workhead, T = machine downtime for defective parts, t0 , t0 = machine downtime due to defective parts, t = mean time of assembly for one part, x = ratio of faulty parts to acceptable parts, and y = product styles

Economic Rationalization of Automation Projects

• •

Components of products of the same family Products presently being manufactured in proximity Products consisting of similar components that could share part-feeding devices Products of similar size, dimensions, weight, and number of components Products with simple design possible to manufacture within a short cycle time.

Assembly cost per part Cpr /n (US $) 0.5 Assembly center with two arms

0.1 Free-transfer machine with programmable workheads

Universal assembly center Operator

Noneconomic and Intangible Considerations Issues related to specific company characteristics, company policy, social responsibility, and management policy need to be addressed both quantitatively and qualitative in the automation project. Adequate justification of automation systems needs to consider aspects such as:

• • • • • •

• • • •

50 parts in one assembly (n = 50) One product (Np = 1) One style of each product (y = 1) No design changes (Nd = 0)

0.001 0.01

0.1

Dedicated hybrid machine

1 10 Annual product volume V (millions of assemblies per year)

Fig. 40.3 Comparison of alternative assembly systems (one prod-

Compliance with the general direction of the company’s automation Satisfaction of equipment and facilities standardization policies Adequate accommodation of future product model changes or production plans Improvement of working life quality and workers morale Positive impact on company reputation Promotion of technical progress at the company.

Special differences among automated solutions (e.g., robots) and other case-specific capitalization equipment also provide numerous intangible benefits, as the following list illustrates:

• •

0.01 assembly line

Robots are reusable. Robots are multipurpose and can be reprogrammed for many different tasks. Because of reprogrammability, robotic systems service life can often be three or more times longer than that of fixed (hard) automation devices. Tooling costs for robotic systems also tend to be lower owing to the programming capability around certain physical constraints. Production startup occurs sooner because of less construction and tooling constraints. Plant modernization can be implemented by eliminating discontinued automation systems.

Determination of Costs and Benefits Although costs and benefits expected from automation projects vary according to each particular case being analyzed, a general classification of costs can

uct)

include operators wages, capital, maintenance, design, and power costs. However, it must be noted that, while usually wages decrease at higher levels of automation, the rest of the cost tend to increase. Figure 40.5 shows the behavior of assembly costs at different automation levels [40.10]. Consequently, it would be possible to determine the optimal degree of automation based on the minimum total operational cost of the system. Questions regarding benefits of automation systems often arise concerning long-range, unmeasurable effects on economic issues. A few such issues include the impact of the automation system on: Assembly cost per part Cpr /n (US $) 0.5 Assembly center with two arms

Dedicated hybrid machine

Free-transfer machine with programmable workheads

0.1

Operator

0.01 assembly line 50 parts in one assembly (n = 50) 20 product (Np = 20) One style of each product (y = 1) No design changes (Nd = 0)

0.001 0.01

0.1

Universal assembly center

1 10 Annual product volume V (millions of assemblies per year)

Fig. 40.4 Comparison of alternative assembly systems (20 prod-

ucts)

703

Part E 40.1

• • •

40.1 General Economic Rationalization Procedure

704

Part E

Automation Management

Part E 40.1

Costs Km ln Assembly cost

Capital cost

• • • • • •

Decrease of direct and indirect labor costs Decrease of overhead rate Full utilization of automated equipment Decrease of setup time and cost Decrease of material-handling cost Decrease of damage and scrap costs.

Personnel cost

Table 40.2 lists additional difficult-to-quantify benefits usually associated with automation projects.

Maintenance and energy cost

Degree of automation α op l

Fig. 40.5 Assembly costs as functions of the degree of

automation in the assembly case

• • • • • • •

Product value and price Increase of sales volume Decrease of production cost Decrease of initial investment requirements Reduction of products lead time Decrease of manufacturing costs Decrease of inventory costs

Utilization Analysis Underutilized automated systems usually cannot be cost-justified, mainly due to the high initial startup expenses and low labor savings they result in. Consideration of additional applications or planned future growth are required to drive the potential cost-effectiveness up; however, there are also additional costs to consider, for example, tooling and feeder costs associated with new applications.

40.1.3 Cost-Analysis Phase This phase of the methodology focuses on detailed cost analysis for investment justification and includes five

Table 40.2 Difficult-to-quantify benefits of automation. Analyzing the amount of change in each of these categories in

response to automation and assigning quantitative values to these intangible factors is necessary if they are to be included in the financial analysis. Otherwise they can only be used as weighting factors when determining the best alternative

Automation can improve

Automation can reduce or eliminate

Flexibility Plant modernization Labor skills of employees Job satisfaction Methods and operations Manufacturing productivity capacity Reaction to market fluctuations Product quality Business opportunities Share of market Profitability Competitive position Growth opportunities Handling of short product lifecycles Handling of potential labor shortages Space utility of plant Level of management

Hazardous, tedious jobs Safety violations and accidents Personnel costs for training Clerical costs Cafeteria costs Need for restrooms, need for parking spaces Burden, direct, and other overhead costs Manual material handling Inventory levels Scrap and errors New product launch time

Economic Rationalization of Automation Projects

Project costs Machine cost Tooling cost Software integration Part feeders Installation cost Total Actual realizable salvage

$ 80 000 $ 13 000 $ 30 000 $ 20 000 $ 25 000 $ 168 000 $ 12 000

Table 40.4 MACRS percentages Year

Percentage

1 2 3 4 5 6

20.00 32.00 19.20 11.52 11.52 5.76

Project Cost Analysis The project cost is as given in Table 40.3 (US$ 168 000). To continue it is necessary to determine (estimate) the yearly changes in operational cost and cost savings (benefits). For the example these are as shown in Table 40.5.

steps (Fig. 40.1). To evaluate economically the automation project installation, the following data are required:

• •

Period Evaluation, Depreciation, and Tax Data Requirements Before proceeding with the economic evaluation, the evaluation period, tax rates, and tax depreciation method must be specified. We will consider in the example an evaluation period of 6 years. We will use the US Internal Revenue Service’s Modified Accelerated Cost Recovery System (MACRS) for 5 years (Table 40.4). Tax rate considered is 40%. These values are not fixed and can be changed if deemed appropriate.

Capital investment of the project Estimated changes in gross incomes (revenue, sales, savings) and costs expected from the project.

To illustrate the remaining steps of the methodology, an example will be developed. Installation cost, operation costs, and salvage for the example are as given in Table 40.3.

Economic Rationalization Techniques used for the economic analysis of automation applications are similar to those for any manufacturing equipment purchase. They are usually based on net present value, rate of return or payback (payout) methods. All of these methods require the determination of the yearly net cash flows, which are defined as

X j = (G − C) j − (G − C − D) j (T ) − K + L j , where X j = net cash flow in year j, G j = gross income (savings, revenues) for year j, C j = total costs for year j, D j = tax depreciation for year j, T = tax rate (as-

Table 40.5 Costs and savings (dollars per year) Year

1

2

3

4

5

6

Labor savings Quality savings Operating costs (increase)

70 000 22 000 (25 000)

70 000 22 000 (25 000)

88 000 28 000 (19 000)

88 000 28 000 (12 000)

88 000 28 000 (12 000)

88 000 28 000 (12 000)

Table 40.6 Net cash flow End of year

K &L

Total G a

C

Db

X

0 1 2 3 4 5 6

168 000



L = 12 000

92 000 92 000 116 000 116 000 116 000 116 000

– 25 000 25 000 19 000 12 000 12 000 12 000

– 33 600 53 760 32 256 19 354 19 354 9677

−168 000 53 640 61 704 71 102 70 142 70 142 78 271

a:

These are the sums of labor and quality savings (Table 40.5) b : Computed with the MACRS for each year

705

Part E 40.1

Table 40.3 Example data

40.1 General Economic Rationalization Procedure

706

Part E

Automation Management

Part E 40.1

Table 40.7 Pairwise comparison of criteria and weights Criteria

Price

Weight

Power

Spindle

Diameter

Stroke

Price Weight Power Spindle Diameter Stroke

1.00 1.93 1.61 2.44 1.80 1.69

0.52 1.00 0.38 0.83 0.77 0.42

0.62 2.60 1.00 2.47 2.40 0.61

0.41 1.21 0.40 1.00 2.49 2.17

0.55 1.30 0.41 0.40 1.00 0.42

0.59 2.39 1.64 0.46 2.40 1.00

sumed constant), K = project cost (capital expenditure), and L j = salvage value in year j. The net cash flows are given in Table 40.6. Net Present Value (NPV). Once the cash flows have been

determined, the net present value (NPV) is determined using the equation NPV =

n

j=0

Xj = X j (P/F, k, j) , j (1 + k) n

j=0

where X j = net cash flow for year j, n = number of years of cash flow, k = minimum acceptable rate of return (MARR), and 1/(1 + k) j = discount factor, usually designated as (P/F, k, j). With the cash flows of Table 40.6 and k = 25%, the NPV is NPV = −168 000 + 53 640(P/F, 25, 1) + 61 704(P/F, 25, 2) + · · · + 78 271(P/F, 25, 6) = $ 18 431 . The project is economically acceptable if its NPV is positive. Also, a positive NPV indicates that the rate of return is greater than k. Return on Invested Capital (ROIC). The ROIC or rate of return is the interest rate that makes the NPV = 0. It is sometimes also referred to as the internal rate of return (IRR). Mathematically, the ROIC is defined as

0=

n

j=0

Xj = X j (P/F, i, j) , (1 + i) j n

j=0

where i = ROIC. For this example the ROIC is determined from the following expression 0 = −168 000 + 53 640(P/F, i, 1) + 61 704(P/F, i, 2) + · · · + 78 271(P/F, i, 6) .

To solve the previous expression for i, a trialand-error approach is needed. Assuming 25%, the right-hand side gives $ 18 431 (NPV calculation) and with 35% it is $ −11 719. Therefore the ROIC using linear interpolation is approximately 31%. This ROIC is now compared with the minimum acceptable rate of return (MARR). In this example the MARR is that used for calculating the NPV. If ROIC ≥ MARR the project is acceptable; otherwise it is unacceptable. Consequently the NPV and the rate-of-return methods will give the same decision regarding the economic desirability of a project (investment). It is pointed out that the definitions of cash flow and MARR are not independent. Also, the omission of debt interest in the cash-flow equation does not necessarily imply that the initial project cost (capital expenditure) is not being financed by some combination of debt and equity capital. When total cash flows are used, the debt interest is included (approximately) in the definition of MARR as MARR = ke (1 − c) + kd (1 − T )c , where ke = required return for equity capital, kd = required return for debt capital, T = tax rate, and c = debt ratio of the pool of capital used for current capital investments. It is not uncommon in practice to adjust (increase) ke and kd to account for project risk and uncertainties in economic conditions. The effects of automation on ROIC (Fig. 40.6) are documented elsewhere in the literature [40.11]. The main effects of automation can be classified into reduction of capital or increased profits or, more desirably, both simultaneously. Automation may generate investment capital savings in project engineering, procurement costs, purchase price, installation, configuration, calibration or project execution. Working capital requirements may be lowered by reducing raw material (quantity or price), product inventories, spares parts for equipment, reduced energy and utilities utilization or

Economic Rationalization of Automation Projects

40.1 General Economic Rationalization Procedure

Part E 40.1

Increased ROIC

Reduce capital

Increase profit

Reduce costs

Reduced: Capital investment Product, Input, WIP Inventory Warehouse Spares

Increase revenue

Reduced: Energy and utilities Maintenance Waste Staff Exceptions

Increasee price

Increase production

Increased: Production yield Improved: Products quality

Increased: Equipment capacity Reduced: Unscheduled downtime Scheduled shutdowns

Fig. 40.6 Effects of automation on ROIC

increased product yields. Maintenance cost are diminished in automation solutions by reducing unscheduled maintenance, number of routine checks, time required for maintenance tasks, materials purchase, and number and cost of scheduled shutdown tasks. Automation also contributes to reduce impacts (often hard to quantify) due to health, safety, and environmental issues in production systems. Profits could increase due to automation by increasing the yield of more valuable products. Reduced work-in-process inventory and waste result in higher revenue per unitary input to the system. Although higher production yield will be meaningful only if the additional products can be sold, today’s global markets will surely respond positively to added production capacity. Payback (Payout) Period. An alternative method used

for economic evaluation of a project is the payback period (or payout period). The payback period is the number of years required for incoming cash flows to balance the cash outflows. The payback period ( p) is obtained from the expression 0=

p

j=0

Xj .

This is one definition of the payback period, although an alternative definition that employs a discounting procedure is most often used in practice. Using the cash flow given in Table 40.6, the payback equations for 2 years gives −168 000 + 53 640 + 61 704 = $−52 656 and for 3 years it is −168 000 + 53 640 + 61 704 + 71 102 = $18 446 . Therefore, using linear interpolation, the payback period is p = 2+

52 656 = 2.74 years . 71 102

40.1.4 Additional Considerations The following aspects must be kept in mind when applying the general procedure and related techniques described in this section. Careful review of these issues will ensure that the evaluation is proven correct:



707

Cash-flow equation component values are incremental. They represent increases or decreases resulting directly from the project (investment) under consideration.

708

Part E

Automation Management

Part E 40.2

• • •

The higher the NPV and rate of return, the better (shorter) the payback period. Utilization of the payback period as a primary criterion is questionable since it does not consider the cash flows generated after the payback period is achieved. When evaluating mutually exclusive alternatives, select the highest NPV alternative. Using the high-



est rate of return is incorrect. This point is made clear by Stevens [40.12], Blank [40.13], Thuesen and Fabrycky [40.14]. When selecting a subset of projects from a larger group of independent projects due to some constraint (restriction), the objective should be to maximize the NPV of the subset of projects subject to the constraint(s).

40.2 Alternative Approach to the Rationalization of Automation Projects 40.2.1 Issues in Strategic Justification of Advanced Technologies The analysis typically performed for justifying advanced technology as outlined in Sect. 40.1 is financial and short term in nature. This has caused difficulty in adopting systems, and technology having both strategic implications and intangible benefits usually not captured by traditional justification approaches. Elsewhere in the literature a number of other issues that make justification and adoption of strategic technologies difficult can be found, including high capital costs and risks, difficulty in quantifying indirect and intangible benefits, inappropriate capital budgeting procedures, and technological uncertainties [40.15]. Another complicating factor in the justification of integrated technologies is the cultural and organizational issues involved. The impact of implementing a flexible manufacturing system crosses many organizational boundaries. The success or failure of this implementation depends on the buy-in of all organizations and individuals involved. Traditional methods of justification often do not consider these organizational impacts and are not designed for group consensus building. The literature discusses many of the intangible and nonquantifiable benefits of implementing automated systems; the most often mentioned is flexibility. Zald [40.16] discusses four kinds of flexibility provided by automated systems:

• • •

Mix flexibility: the ability to have multiple products in the same product process at the same time Volume flexibility: the ability to change the process so that additional or less throughput is achieved Multifunction flexibility: the ability to have the same device do different tasks by changing tools on the device



New product flexibility: the ability to change and reprogram the process as the manufactured product changes.

Other frequently mentioned benefits include improved product quality, better customer service, improved response time, improved product consistency, reduction in inventories, improved safety, better employee morale, improved management and operation of processes, shorter cycle times and setups, and support for continuous improvement and just-in-time (JIT) efforts [40.3– 6].

40.2.2 Analytical Hierarchy Process (AHP) Rarely do automation systems comprise out-of-the-box solutions. In fact, most automated systems nowadays comprise a collection of equipment properly integrated into an effective solution. This integration process makes evaluation of alternative solutions more complex, due to the many combinations possible for the configuration of all available components. To assist the equipment selection process AHP has been implemented in the form of decision support systems (DSSs) [40.17]. AHP was developed by Saaty [40.18] as a way to convey the relative importance of a set of activities in a quantitative and qualitative multicriteria decision problem. The AHP method is based on three principles: the structure of the model [40.19], comparative judgment of alternatives and criteria [40.20], and synthesis of priorities. Despite the wide utilization of AHP, selection of casting process [40.21], improvement of human performance in decision-making [40.19], and improvement of quality-based investments [40.22], the method has shortcomings related to its inability to handle decision-maker’s uncertainty and imprecision in determining values for the pairwise comparison process involved. Another difficulty with AHP lies in the fact

Economic Rationalization of Automation Projects

40.2 Alternative Approach to the Rationalization of Automation Projects

Forming decision-making team

Step 2:

Determining alternative equipment

Step 3:

Determining the criteria to be used in evaluation

Step 4:

Structuring decision hierarchy

Part E 40.2

Step 1:

Stage 1: Data gathering

Approve decision hierarchy ?

No

Step 5:

Step 6:

Assigning criteria weights via AHP

Structuring decision hierarchy Stage 2: AHP calculations

Approve decision weights ?

No

Step 7:

Determining the preference functions and parameters for the criteria

Step 8:

Approve preference functions ?

No

Step 9:

Stage 3: PROMETHEE calculations

Step 10:

Partial ranking via PROMETHEE I

Step 11:

Complete ranking via PROMETHEE II

Step 12:

Determining GAIA plane

Step 13:

Determining the best equipment

Stage 4: Decision making

Fig. 40.7 Steps of AHP-PROMETHEE method

Selection of the best equipment

Price

Weight

Machine 1

Fig. 40.8 Decision structure

Machine 2

Power

709

Spindle

Machine 3

Diameter

Machine 4

Machine 5

Stroke

710

Part E

Automation Management

Part E 40.2

Table 40.8 AHP results

Table 40.10 Preference functions

Criteria

Weights (ω)

λmax , CI, RI

CR

Price Weight Power Spindle Diameter Stroke

0.090 0.244 0.113 0.266 0.186 0.101

λmax = 6.201

0.032

Criteria

PF

Thresholds q

CI = 0.040 RI = 1.24

CR: Consistency ratio RI: Random index CI: Consistency index

p 600

s

Price

Level

Weight

Gaussian

Power

Level

800

1200



Spindle

Level

20 000

23 000



Diameter

Gaussian





6

Stroke

V-shape





800





4

50



Table 40.11 PROMETHEE flows

that not every decision-making problem may be cast into a hierarchical structure. Next, a proposed method implementing AHP is reviewed using a numerical application for computer numerical control (CNC) machine selection. The AHP-PROMETHEE Method The preference ranking organization method for enrichment evaluation (PROMETHEE) is a multicriteria decision-making method developed by Brans et al. [40.23, 24]. Implementation of PROMETHEE requires two types of information: (1) relative importance (weights of the criteria considered), and (2) decision-maker’s preference function (for comparing the contribution of alternatives in terms of each separate criterion). Weights coefficients are calculated in this case using AHP. Figure 40.7 presents the various steps of the AHP-PROMETHEE integration method. The AHP-PROMETHEE method is applied to a manufacturing company wanting to purchase a number of milling machines in order to reduce work-inprocess inventory and replace old equipment [40.17]. A decision-making team was devised and its first task was to determine the five milling machines candidates for the purchasing and six evaluation criteria:

Alternatives

Φ+

Φ−

Machine 1

0.0199

0.0139

Machine 2

0.0553

0.0480

0.0073

Machine 3

0.0192

0.0810

− 0.0618

Machine 4

0.0298

0.0130

0.0168

Machine 5

0.0478

0.0163

0.0315

Φ 0.0061

price, weight, power, spindle, diameter, and stroke. The decision structure is depicted in Fig. 40.8. The next step is for decision team experts to assign weights on a pairwise basis to decision criteria, as presented in Table 40.7. Results from AHP calculations are shown in Table 40.8 and show that the top three criteria for the case are spindle, weight, and diameter. The consistency ratio of the pairwise comparison matrix is 0.032 < 0.1, which indicates weights consistency and validity. Following the application of AHP, PROMETHEE steps are carried out. The first step comprises the evaluation of five alternative milling machines according to the evaluation criteria previously defined. The resulting evaluation matrix is shown in Table 40.9. Next, a preference function (PF) and related thresholds are defined by the decision-making team for each criterion. PF and thresholds consider features of the milling machines and the company’s purchasing pol-

Table 40.9 Evaluation matrix for the milling machine case Criteria Unit

Price US $

Weight kg

Power W

Spindle rpm

Diameter mm

Stroke mm

Max/min Weight Machine 1 Machine 2 Machine 3 Machine 4 Machine 5

Min 0.090 936 1265 680 650 580

Min 0.244 4.8 6.0 3.5 5.2 3.5

Max 0.113 1300 2000 900 1600 1050

Max 0.266 24 000 21 000 24 000 22 000 25 000

Max 0.186 12.7 12.7 8.0 12.0 12.0

Max 0.101 58 65 50 62 62

Economic Rationalization of Automation Projects

40.3 Future Challenges and Emerging Trends in Automation Rationalization

3

5

Machine 5

Machine 5

Machine 2

Machine 3

Φ+

0.05

Φ

Φ

Φ

Φ–

0.02

0.03

0.01

2 2

4

5

Machine 4

Machine 1

Machine 3

Φ+

0.03

Φ+

0.02

Φ+

0.02

Φ–

0.01

Φ–

0.01

Φ–

0.08

–0.06

4 Machine 4

Machine 1

Φ

Φ

0.02

0.01

Fig. 40.10 PROMETHEE II complete ranking

3

Criterion 3

Machine 2 Φ+

0.06

Φ–

0.05

Machine 3 Machine 1

Machine 2

Criterion 4

Fig. 40.9 PROMETHEE I partial ranking

Criterion 2 Machine 5

icy. Table 40.10 shows preference functions and their thresholds. The partial ranking of alternatives is determined according to PROMETHEE I, based on the positive and negative flows shown in Table 40.11. The resulting partial ranking is shown in Fig. 40.9 and reveals that machine 5, machine 2, machine 4, and machine 1 are preferred over machine 3, and machine 4 is preferred over machine 1. The partial ranking also shows that machine 5, machine 4, and machine 2 are not comparable, as well as machine 5 and machine 1, and machine 2 and machine 1. PROMETHEE II uses the net flow in Table 40.11 to compute a complete ranking and identify the best alternative. According to the complete ranking, machine 5 is selected as the best alternative, while the other machines are ranked accordingly as machine 4, machine 2, machine 1, then machine 3 (Fig. 40.10).

Machine 4

Criterion 6 π Criterion 5

Criterion 1

Fig. 40.11 GAIA decision plane

The geometrical analytic for interactive aid (GAIA) plane [40.25] representing the decision (Fig. 40.11) shows that: price has great differentiation power, criteria 1 (price) and 3 (power) are conflicting, machine 2 is very good in terms of criterion 3 (power), and machine 3 is very good in terms of criteria 2 and 4. The vector π (decision axis) represents the compromise solution (selection must be in this direction).

40.3 Future Challenges and Emerging Trends in Automation Rationalization 40.3.1 Adjustment of Minimum Acceptable Rate of Return in Proportion to Perceived Risk Since capital investments involve particular levels of risk, it is common practice for management to increase the MARR for automation projects involving higher risk. Assigning a higher MARR forces the proposed

projects to generate greater return on their capital investment. A similar strategy is proposed to recognize the fact that capital equipment that can be reused for additional projects is less likely to experience a decline in its value due to unforeseen reductions in a given product’s demand and market life. Traditionally, more stringent MARR requirements are applied to the entire capital investment. It is pro-

Part E 40.3

1

1

711

712

Part E

Automation Management

Part E 40.4

posed that only the portion of the capital investment that is at risk due to sudden changes in market demand or operating conditions should be forced to meet these more demanding rate of return. This approach to assigning risk will explicitly recognize and promote the development and reuse of reconfigurable automation by compensating for its higher initial development and implementation costs through lower rate-of-return requirements.

40.3.2 Depreciation and Salvage Value Profiles Mechanisms for capital depreciation and estimated project salvage values also have a significant effect on the financial justification of automation. Two mechanisms of depreciation must be considered: tax and book depreciation. Tax depreciation methods provide a systematic mechanism to acknowledge the reduction of capital asset value over time. Since depreciation is taxdeductible, it is generally in the best interests of the company to depreciate the asset as quickly as possible. Allowable depreciation schedules are determined by the country tax code. Tax depreciation can become a factor when comparing product-specific automation for reconfigurable systems when the projected product life is less than the legislated tax depreciation life. Under these circumstances the product-specific systems can be sold on the open market and the remaining tax depreciation would be forfeited. A second alternative is that the system would be decommissioned and stored by the company until it is fully depreciated and then

sold for salvage. Instead, it is unlikely that the life of a reconfigurable system would be shorter than the established tax depreciation period due to its redeployment for a new application project. Tax depreciation terms are determined by tax and accounting conventions rather than the expected service life of the asset. Book depreciation schedules are therefore developed to predict the realizable salvage value at the end of an asset’s useful life. Two approaches for determining realizable salvage value can be utilized: the internal asset value in the organization (when it is used in an additional project) and the asset value when sold for salvage. Automation equipment profitably redeployed within the same company is clearly more valuable to that organization than to an equipment reseller. Product-specific automation, when sold off for scrap, has value for potential buyers only due to their interest in key system components. Product- and process-specific tooling will represent very little value for equipment resellers and, most likely, all the custom engineering and software development required to field the system will be lost. On the other hand, if the system has been developed based on modular components, well understood by the user and other manufacturing organizations, it may represent more value than a completely new set of system components. A redeployed system may result in lower time and cost to provide useful automation resources. Investments made in the development of process technology may be of value in subsequent projects [40.26]. Application software, if developed in a modular fashion, also has the potential for reutilization.

40.4 Conclusions Although computation of economic performance indicators for automation projects is often straightforward, rationalization of automation technology is fraught with difficulty and many opportunities for long-term improvements are lost because purely economic evaluation apparently showed no direct economic benefit. Modern methods, taking into account risks involved

in technology implementation or comparison of complex projects, are emerging to avoid such high-impact mistakes. In this chapter, in addition to providing the traditional economic rationalization methodology, strategic considerations are included plus a discussion on current trends of automation systems towards agility and reconfigurability.

Economic Rationalization of Automation Projects

References

40.1

40.2

40.3

40.4 40.5

40.6

40.7

40.8

40.9 40.10 40.11

40.12

S. Brown, B. Squire, K. Blackmon: The contribution of manufacturing strategy involvement and alignment to world-class manufacturing performance, Int. J. Oper. Prod. Manag. 27(3-4), 282–302 (2007) A.M.A. Al-Ahmari: Evaluation of CIM technologies in Saudi industries using AHP, Int. J. Adv. Manuf. Technol. 34(7-8), 736–747 (2007) K. Feldmann, S. Slama: Highly flexible assembly – scope and justification, CIRP Ann. Manuf. Technol. 50(2), 489–498 (2001) D. Dhavale: Justifying manufacturing cells, Manuf. Eng. 115(6), 31–37 (1995) J.F. Kao, J.L. Sanders: Analysis of operating policies for manufacturing cells, Int. J. Prod. Res. 33(8), 2223–2239 (1995) R. Quaile: What does automation cost – calculating total life-cycle costs of automated production equipment such as automotive component manufacturing systems isn’t straightforward, Manuf. Eng. 138(5), 175 (2007) D. Vazquez-Bustelo, L. Avella, E. Fernandez: Agility drivers, enablers and outcomes – empirical test of an integrated agile manufacturing model, Int. J. Oper. Prod. Manag. 27(12), 1303–1332 (2007) J.J. Mills, G.T. Stevens, B. Huff, A. Presley: Justification of robotics systems. In: Handbook of Industrial Robotics, ed. by S.Y. Nof (Wiley, New York 1999) pp. 675–694 G. Boothroyd, C. Poli, L.E. Murch: Automatic Assembly (Marcel Dekker, New York 1982) S.Y. Nof, W.E. Wilhelm, H.-J. Warnecke: Industrial Assembly (Chapman Hall, London 1997) D.C. White: Calculating ROI for automation projects, Emerson Process Manag. (2007), available at www.EmersonProcess.com/solutions/ Advanced Automation (Last access date: March 20, 2009) G.T. Stevens Jr.: The Economic Analysis of Capital Expenditures for Managers and Engineers (Ginn, Needham Heights 1993)

40.13 40.14 40.15

40.16 40.17

40.18 40.19

40.20

40.21

40.22

40.23

40.24

40.25

40.26

L.T. Blank, A.J. Tarquin: Engineering Economy, 6th edn. (McGraw-Hill, New York 2004) G.J. Thuesen, W.J. Fabrycky: Engineering Economy, 9th edn. (Prentice Hall, Englewood Cliffs 2000) O. Kuzgunkaya, H.A. ElMaraghy: Economic and strategic perspectives on investing in RMS and FMS, Int. J. Flex. Manuf. Syst. 19(3), 217–246 (2007) R. Zald: Using flexibility to justify robotics automation costs, Ind. Manag. 36(6), 8–9 (1994) M. Daˇ gdeviren: Decision making in equipment selection: an integrated approach with AHP and PROMETHEE, J. Intell. Manuf. 19, 397–406 (2008) T.L. Saaty: The Analytic Hierarchy Process (McGrawHill, New York 1980) E. Albayrak, Y.C. Erensal: Using analytic hierarchy process (AHP) to improve human performance: an application of multiple criteria decision making problem, J. Intell. Manuf. 15, 491–503 (2004) J.J. Wang, D.L. Yang: Using hybrid multi-criteria decision aid method for information systems outsourcing, Comput. Oper. Res. 34, 3691–3700 (2007) M.K. Tiwari, R. Banerjee: A decision support system for the selection of a casting process using analytic hierarchy process, Prod. Plan. Control 12, 689–694 (2001) Z. Güngör, F. Arikan: Using fuzzy decision making system to improve quality-based investment, J. Intell. Manuf. 18, 197–207 (2007) J.P. Brans, P.H. Vincke: A preference ranking organization method, Manag. Sci. 31, 647–656 (1985) J.P. Brans, P.H. Vincke, B. Mareschall: How to select and how to rank projects: the PROMETHEE method, Eur. J. Oper. Res. 14, 228–238 (1986) A. Albadvi, S.K. Chaharsooghi, A. Esfahanipour: Decision making in stock trading: an application of PROMETHEE, Eur. J. Oper. Res. 177, 673–683 (2007) S.L. Jämsä-Jounela: Future trends in process automation, Annu. Rev. Control 31(2), 211–220 (2007)

Part E 40

References

713

“This page left intentionally blank.”

715

Quality of Ser 41. Quality of Service (QoS) of Automation

Quality of service (QoS) of automation involves issues of cost, affordability, energy, maintenance, and dependability. This chapter focuses on cost, affordability, and energy. (The next chapter addresses the other aspects.) Cost-effective or cost-oriented automation is part of a strategy called low-cost automation. It considers the life cycle of an automation system with respect to their owners: design, production, operating, and maintenance, refitting or recycling. Affordable automation is another part of the strategy. It considers automation or automatic control in small enterprises to enhance their competitiveness in manufacturing and service. Despite relative expensive components the automation system can be cheap with respect to operation and maintenance. As examples are discussed: numerical controls of machine tools; shop floor control with distributed information processing; programmable logic controllers (PLCs) shifting to general-purpose (PC); smart devices, i. e. information processing integrated in sensors and actuators; and distributed manufacturing, and maintenance. Energy saving can be supported by automatic control of consumption in households, office buildings, plants, and transport. Energy intensity is decreasing in most developing countries, caused by changing habits of people and by new control strategies. Centralized generation of electrical energy has advantages in terms of economies of scale, but also wastes energy. Decentralized generation

The term low-cost automation was born in 1986 at a symposium in Valencia sponsored by the International Federation of Automatic Control [41.1]. However, the use of this term led to a misunderstanding of low-cost automation as a technology with poor performance, although the intention was to promote affordable au-

41.1 Cost-Oriented Automation..................... 718 41.1.1 Cost of Ownership ........................ 718 41.1.2 Robotics ...................................... 719 41.2 Affordable Automation ......................... 41.2.1 Smart Devices .............................. 41.2.2 Programmable Logic Controllers as Components for Affordable Automation............. 41.2.3 Production Technology..................

721 721

41.3 Energy-Saving Automation.................... 41.3.1 Energy Generation ....................... 41.3.2 Residential Sector ........................ 41.3.3 Commercial Building Sector ........... 41.3.4 Transportation Sector.................... 41.3.5 Industrial Sector...........................

725 725 726 726 727 727

722 723

41.4 Emerging Trends .................................. 728 41.4.1 Distributed Collaborative Engineering................................. 728 41.4.2 e-Maintenance and e-Service ....... 730 41.5 Conclusions .......................................... 731 References .................................................. 732

of electricity and heat in regional or local units are of advantage. A combination of wind energy, solar energy, hydropower, energy from biomass, and fossil fuel in small units could provide electrical energy and heat in regions isolated from grids. These hybrid energy concepts are demanding advanced, but low-cost, controls.

tomation devices and to reduce the life cycle cost or cost of ownership of automation systems. The intention was also to bridge the gap between control theory and control engineering practice by applications using low-cost techniques. Ortega [41.2] pointed out that the transfer of knowledge between the academic

Part E 41

Heinz-Hermann Erbe (Δ)

716

Part E

Automation Management

Part E 41

community and the industrial user is far from satisfactory, and it still is, particular regarding small- and medium-sized industry. However, developments in control theory are based on principles that can appeal to concepts with which the practical engineer is familiar. Despite the successfully demonstration of advances of modern control methods over classical ones, actual implementations of automatic control in manufacturing plants show a preference for classical proportional– integral–derivative (PID) control. Two key factors are considered [41.3]: 1. Return on investment (better, cheaper, and faster) 2. Ease of application. To be utilized broadly, a new technology must demonstrate tangible benefits, be easier to implement and maintain, and/or substantially improve performance and efficiency. Sometimes a new control method is not pursued due to poor usability during operation and troubleshooting in an industrial environment. The PID, due to its simplicity, whether implemented analog or digital, provides advantages in its application. However, manufacturing systems are becoming more complex. Control needs include single-input/singleoutput SISO and multiple-input/multiple-output MIMO controllers. Therefore control techniques beyond PID control become necessary. State-space methods are well developed and provide many advantages if well understood [41.3]. Low-cost automation is now established as a strategy to achieve the same performance as sophisticated automation but with lower costs. The designers of automation systems have a cost frame within which they have to find solutions. This is a challenge to theory and technology of automatic control, as the main parts of automation. Low-cost automation is not an oxymoron, like military intelligence or jumbo shrimps. It opposes the rising cost of sophisticated automation and propagates the use of innovative and intelligent solutions at affordable cost. The concept can be regarded as a collection of methodologies aiming at the exploitation of tolerance to imprecision or uncertainties to achieve tractability, robustness, and finally low-cost solutions. Mathematically elegant designs of automation systems are often not feasible because of their neglect of real-world problems, and in addition are often very expensive for their owners. Cost aspects are mostly considered when designing automation systems. However, in the end, industry is looking for intelligent solutions and engineering strategies for saving cost but that nevertheless have secure,

high performance. Field robots in several domains such as manufacturing plants, buildings, offices, agriculture, and mining are candidates for reducing operation cost. Enterprise integration and support for networked enterprises are considered as cost-saving strategies. Human–machine collaboration is a new technological challenge, and promises more than cooperation. Last but not least, condition monitoring of machines to reduce maintenance cost and avoid downtime of machines and equipment, if possible, is also a new challenge, and promotes e-Maintenance [41.4] and e-Service [41.5]. The reliability of low-cost automation is independent of the grade of automation, i. e., it covers all possible circumstances in its field of application. Often it is more suitable to reduce the grade of automation and involve human experience and capabilities to bridge the gap between theoretical findings and practical requirements [41.6]. On the other hand, theoretical findings in control theory and practice foster intelligent solutions with respect to saving costs. Anyway, reliability is a must for all automation systems, although this requirement has no one-to-one relation to cost. As an example one may consider computerintegrated manufacturing (CIM). The original concept of CIM connected automatically the design of parts to machines at the workshop via shop floor planning and scheduling software, and therefore used a lot of costly components and instruments. After a while this kind of automation turned out not to be cost effective, because the centralized control system had to fight against uncertainties and unexpected events. Decentralization of control and the involvement of human experience and knowledge along the added-value chain of the production process required less sophisticated hardware and software and reduced manufacturing cost, which allowed CIM to break through even in small and medium-sized enterprises [41.7]. Low-cost automation also concerns the implementation of automation systems. This should be as easy as possible and also facilitate maintenance. Maintenance is very often the crucial point and an important cost factor to be considered. Standardization of components of automation systems could also be very helpful to reduce cost, because it fosters usability, distribution, and innovation in new applications, for example fieldbus technology in manufacturing and building automation. The components of an automation/control system, such as sensors, actuators and the controller itself, can incorporate advances in information technology. Lo-

Quality of Service (QoS) of Automation

for work environments but also for learning or training environments [41.12, 13]. (See also Chaps. 15, 86.) The changing global context is having an impact on local and regional economies, particularly on small and medium-sized enterprises. Global integration and international competitive pressures are intensifying at a time when some of the traditional competitive advantages – such as relatively low labor costs – enjoyed by certain countries are vanishing. One can see growing emphasis on strategies for encouraging supply-chain (vertical) and horizontal networking. These could be means for facilitating agile manufacturing. Agile manufacturing is built around the synthesis of a number of independent enterprises forming a network to join their core skills, competencies, and capacities to be able to operate profitably in a competitive environment characterized by unpredictable and continually changing customer demands. Agile manufacturing is underpinned by collaborative design and manufacturing in networks of legally separate and spatially distributed companies. Such networks are useful in optimizing current processes and mastering sporadic change in demand, material, and technology [41.14]. Distributed collaborative automation in manufacturing networks is therefore an emerging area for automatic control. Energy-saving strategies and also individual solutions for reducing energy consumption are challenging politicians, the public, and researchers. The cost aspect is very important. Components of controllers such as sensors and actuators may be expensive, but one has to calculate savings in energy costs over a certain period or life cycle of a building or plant. Energy provision and consumption based on finite resources can cause conflicts between customers, and between customers and suppliers. Energy savings are necessary due to these finite resources. Effective use of available energy can be supported by automatic control of consumption in households, office buildings, plants, and transportation. Energy intensity is decreasing in most developing countries. This is caused by changing habits of people but also by new control strategies. The use of energy in a country, in the residential sector, the commercial building sector, the transportation sector, and the industrial sector, influences the competitiveness of the economy, the environment, and the comfort of the inhabitants. Building automation is generally a highly costoriented business. The goal is to provide acceptable comfort conditions at the lowest possible cost in terms

Part E 41

cal information processing allows for integration at the level of components, reducing total cost and providing new features in control lines. They may be wireless connected because of low data stream rates. Smart sensors and actuators are new developments for industrial automation [41.8]. The cost of wireless links will fall while the cost of wired connections will remain about constant, making wireless increasingly a logical choice for communication links. However, wireless links will not be applicable in all situations, notably those cases where high reliability and low latencies are required. The implication for factory automation systems is that processing and storage will become cheap: every sensor, actuator, and network node can be economically provided with unlimited processing power. If processing and storage systems become inexpensive relative to wiring costs, then the trend will be to locate processing power near where it is needed in order to reduce wiring costs. The trend will be to apply more processing and storage systems when and where they will reduce the cost of interconnections. The cost of radio and networking technology has fallen to the point where a wireless connection is already less expensive than many wired connections. New technology promises to further reduce the cost of wireless connections [41.9]. Distributed collaborative engineering, i. e., the control of common work over remote sites, is now an emerging topic in cost-oriented automation. Integrated product and process development as a cost-saving strategy has been partly introduced in industry. However, as Nnaji et al. [41.10] mention, lack of information from suppliers and working partners, incompleteness and inconsistency of product information/knowledge within the collaborating group, and incapability of processing information/data from other parties due to the problem of interoperability hamper effective use. Hence, collaborative design tools are needed to improve collaboration among distributed design groups, enhance knowledge sharing, and assist in better decision making. (See also Chaps. 26, 88.) Mixed-reality concepts could be useful for collaborative distributed work because they address two major issues: seamlessness and enhancing reality. In mixed-reality distributed environments information flow can cross the border between reality and virtuality in an arbitrary bidirectional way. Reality may be the continuation of virtuality, or vice versa [41.11], which provides a seamless connection between the two worlds. This bridging or mixing of reality and virtuality opens up some new perspectives not only

717

718

Part E

Automation Management

Part E 41.1

of implementation, operation, and maintenance. Energy saving is one of the most important goals in building automation [41.15]. (See also Chap. 62.) In Sect. 41.1 the strategy of cost-oriented automation will be explained with the examples of cost of ownership and robotics. Section 41.2 continues, covering affordable automation with subsections on smart

devices, i. e., information processing embedded in sensors, and actuators, programmable logic controllers as important components of an affordable automation, and examples of low-cost production technology. Section 41.3 covers energy saving with automatic control using the aforementioned strategies. (See also Chap. 40.)

41.1 Cost-Oriented Automation Cost-oriented automation as part of the strategy of lowcost automation considers the cost of ownership with respect to the life cycle of the system:

• • • • • •

Designing Implementing Operating Reconfiguring Maintenance Recycling.

Components and instruments could be expensive if life cycle costs are to decrease. An example is enterprise integration or networked enterprises as production systems that are vertically (supply chain) or horizontally and vertically (network) organized. Cost-effective product and process realization has to consider several aspects regarding automatic control [41.13]:

• • •

Virtual manufacturing supporting integrated product and process development Tele- or web-based maintenance (cost reduction with e-Maintenance systems in manufacturing) Small and medium-sized (SME)-oriented agile manufacturing.

Agile manufacturing here is understood as the synthesis of a number of independent enterprises forming a network to join their core skills. As mentioned above, life cycle management of automation systems is important regarding cost of ownership. The complete production process has to be considered with respect to its performance, where maintenance is the most important driver of cost. Nof et al. [41.16] consider the performance of the complete automation system, which interests the owner in terms of cost, rather than only the performance of the control system; i. e., a compromise between cost of maintenance and cost of downtime of the automation system has to be found.

41.1.1 Cost of Ownership A large amount of the cost of a manufacturing plant over its lifetime is spent on implementation, ramp up, maintenance, and reconfiguration. Cost-of-ownership analysis makes life cycle costs transparent regarding purchase of equipment, implementation, operation costs, energy consumption, maintenance, and reconfiguration. It can be used to support acquisition and planning decisions for a wide range of assets that have significant maintenance or operating costs throughout their usable life. Cost of ownership is used to support decisions involving computing systems, vehicles, laboratory and test equipment, manufacturing equipment, etc. It brings out the hidden or nonobvious ownership costs that might otherwise be overlooked in making purchase decisions or planning budgets. The analysis is not a complete cost–benefit analysis. It pays no attention to benefits other than cost savings when different scenarios are compared. When this approach is used in decision support, it is assumed that the benefits from all alternatives are more or less equal, and that choices differ only on the cost side. In highly industrialized countries the type and amount of automation mostly depends on the cost of labor. However, automation in general is not always effective [41.17]. A recent study carried out by the Fraunhofer Institute of Innovation and System Technology regarding the grade of automation within the German industry [41.6] found that companies are refraining from implementing highly automated systems. The costs of maintenance and reconfiguration are considered too high; it would be more cost effective to involve well-qualified operators. The same considerations were discussed by Blasi and Puig [41.18]: Automation is not useful by itself: there are a lot of additional requirements to accomplish its func-

Quality of Service (QoS) of Automation

tion as needed, not only putting automation into the factories, putting automation just where it is needed and economically justified.

41.1.2 Robotics Robots were created in order to automate, optimize, and ameliorate work processes that had up to then been

719

Design Stage Engineering design cost Drawing cost Computer processing cost Design modification cost Management cost

Disposal and recycling stage Retrieval cost Reconfiguration cost Disassembly cost Recycling cost

Production preparation cost Implementation cost

Lifecycle of machine and equipment

Ramp-up stage

Material cost Facility cost Production cost Maintenance cost

Manufacturing stage

Fig. 41.1 Cost contributions of the life cycle of machine and equip-

ment

carried out by humans alone. For reasons of safety, humans may not enter the working space of the robot. Recently it has been shown, however, that robots cannot come close to matching the abilities or intelligence of humans. Therefore, new systems which enable collaboration between humans and robots are becoming increasingly important. The advantages of using innovative robots can especially be seen in medical or other service-oriented areas as well as in the emerging area of humanoid robots. Although robot technology is mostly regarded as costly, one can see today applications not only in customized mass production (automobile industry) but also in small and medium-sized enterprises (SMEs), manufacturing small lots of complex parts. Increasing product variety and customer pressure for short delivery times put robots in the focus. Robots can be used for loading and unloading of machine tools or other equipment such as casting machines, injection molding machines, etc. Here mostly the robots are stationary, and their control is coordinated with the control of the machine or equipment they serve. Even field robots are applicable with affordable cost. Ollero et al. [41.20] describe as an example (among other applications) an autonomous truck, which could also be an unmanned vehicle in a manufacturing site. Low cost should be referred to the components to be used as well as to system design and maintenance. Sometimes a trade-off between general-purpose components and components tailored to the application has

Part E 41.1

Blasi and Puig [41.18] consider the challenges of manufacturing processes of consumer goods. They stress that automation helps in providing homogeneous operation, but for many operations humans can sometimes carry out the task better than automation systems. However, humans are failure prone, emotionally driven, and do not constantly operate at the same level, which also has to be considered. Morel et al. [41.19], as mentioned in the Introduction, stress the consideration of the whole performance of a plant, rather than the control performance only, which is what interests the owner regarding cost; i. e., a compromise between cost of maintenance and cost of downtime of the automation systems in a plant regarding commitments to customers or market must be considered. Scheduled maintenance can be shifted, but it is of course better to implement condition-based maintenance, in which degradation of parts can be observed and the decision to run a machine or piece of equipment for a certain extra time to fulfill a relevant customer order can be made reasonably based on collected data. Blasi and Puig [41.18] consider the conditions for successful automation in industrial applications. Based on their experiences, a manufacturing engineer dealing with plant automation should bear in mind that proper automation is much more than a matter of machines and equipment. The organization of work in the whole plant involving the human experience at all stages and always considering possible improvements with automation or not is the challenge for engineers and management to save costs. Automation helps in providing homogeneous manufacturing processes. In many operations, humans can sometimes carry out the task better without automation. Humans may perform better than any automatic machine, for the time being, but it is impossible for them to assure constant quality. Where reasonable cost is critical, inspecting the image quality of a screen, for example, is a task reserved, for the moment, to humans, because computer vision is too expensive. To summarize this section Fig. 41.1 shows the main cost contributions which are of interest to the owner of the machine and manufacturing equipment.

41.1 Cost-Oriented Automation

720

Part E

Automation Management

gra Pro

mming

Guid ance

Part E 41.1

Passive handling manipulator Safety Low costs Simple operation Industrial robot Precision Path control Sensor-based control Intelligent power assisting device Realistic approach for complex assembly and handling processes in industry and service branche

Fig. 41.2 Cobots, a new class of handling devices, which combine the characteristics of robots and hand-guided manip-

ulators [41.24]

to be considered. Given the high cost of components, the design of the field robot should consider modularity, and simple assembly, reliability maintenance, and faultdetection properties are important for reducing cost in terms of the life cycle. Sasiadek and Wang [41.21] report on low-cost positioning of autonomous robots fusing, data sensed by global positioning system (GPS) and inertial navigation system (INS). Automation in deep mining with autonomous guided vehicles (AGV) saves cost in terms of healthcare of workers, provision of energy (using fuel cells), and compressed air required to maintain working conditions. For navigation, GPS is not available for this application, therefore radio beacons together with INS can be used. The vehicles and their control are discussed by Dragt et al. [41.22] and Sasiadek and Lu [41.23]. Wang et al. [41.25] developed a low-cost robot platform for control education. Applications of robots in automated assembly and disassembly fail mostly because the environment cannot be sufficiently structured. This was the reason for the development of collaborative robots (cobots) or intelligent (power) assisting devices (I(P)AD) (Fig. 41.2). It was also motivated by ergonomic problems in assembly of parts, where parts weight endangered the

human body. Cobots offer a cost-effective solution for material handling. Complete automation of assembly processes is complicated, if not impossible. Reconfiguration of robots performing assembly tasks could be very costly. Humans, on the other hand, have capabilities that are difficult to automate, such as parts-picking from unstructured environments, identifying defective parts, fitting parts together despite minor shape variations, etc. Cobots are passive systems which are set in motion and guided by humans. The cobot concept supposes that shared control, rather than amplification of human power, is the key enabler [41.26]. The main task of the cobot is to convert a virtual environment, defined in software, into physical effect on the motion of a real payload, and thus also on the motion of the worker. Overhead gantry-style rail systems used in many shops can be considered cobots but without the virtual surface. Virtual surfaces separate the region where the worker can freely move the payload from the region that cannot be penetrated. These surfaces or walls have an effect on the payload like a ruler guiding a pencil. Technically cobots are based on continuously variable transmissions (CVT). Peshkin et al. [41.26] developed spherical CVTs, and Surdilovic et al. [41.24] developed CVTs based on a differential transmission.

Quality of Service (QoS) of Automation

41.2 Affordable Automation

721

41.2 Affordable Automation

41.2.1 Smart Devices Smart devices (sensors, actuators) with local information processing in connection with data fusion are in steady development, achieving cost reduction of components in several application fields such as automotive, robotics, mechatronics, and manufacturing [41.29, 30]. One of the first discussions on smart devices regarding cost aspects was given by Boettcher and Traenkler [41.31]. The developments allow for computer-based automation system to evolve from centralized architectures to distributed ones. The first level of distribution in order to reduce the wiring cost consisted of exchanging inputs and outputs through a fieldbus as a communication support. The second level integrates data processing in a modular setting as close as possible to sensors and actuators. These smart sensors and actuators can communicate, self diagnose or make decisions [41.32]. One step to realize smart sensors is to add electronics intelligence for postprocessing of outputs of conventional sensors prior to use by the control system. The same can be applied to actuators. Advantages are tighter tolerance, improved performance, automatic actuator calibration, etc. Smart devices used in continuous systems benefit from the addition of microelectronics and software

that runs inside the device to perform control and diagnostic functions. Very small components such as inputs/outputs blocks and overload relays are too small to integrate data processing for technical/economic reason. However, it is possible to develop embedded intelligence and control for the smallest factory floor devices. It is not always possible to implement data storage or processing on each sensor or actuator. The alternative solution is to implement data-processing units connected to some sensors or actuators, connected together by communication links in order to obtain remote inputs/outputs. Current trends are for the development of smart equipment associated to the fieldbus, which leads to a distributed architecture. Automation systems have evolved from a centralized to a distributed architecture, yielding an automation system with an intelligent distributed architecture. Robots are following this evolution, and increasingly becoming decomposed into divided subsystems, each of which realizes an elementary function. Distributed automation systems yield several advantages, such as greater flexibility, simplicity of operation, and better commissioning and maintenance. Today’s smart field devices consist of two essential parts: sensor or actuator modules, and elec-

Very loosely coupled

Part E 41.2

This strategy of low-cost automation focuses on making systems affordable for their owners with respect to the problem to be solved. An example is a manufacturing system in a small or medium-sized enterprise, where automation increases productivity and therefore competitiveness. Although small enterprises agree that at least routine work can be done better when automated, there is still a fear that automation will be sophisticated, failure prone, need experts for maintenance and reconfiguration, and therefore would be costly. Soloman [41.27] points to shortening product life cycles that need more intelligent, faster, and more adaptable assembly and manufacturing processes with reduced setup, reconfiguration, and maintenance time. Machine vision, despite costly components, can reduce manufacturing cost when properly applied [41.28]. In order to survive in a competitive market it is essential that manufactures have the capability to deploy rapidly affordable automation to adapt to a changing manufacturing environment with increased productivity but reduced production costs.

UMTS GPRS WI-Max

Loosely coupled

Zig Bee Wi-Fi

Normally coupled Closely coupled Tightly coupled

NFC

RFID

Blue Tooth UWB

Range

Fig. 41.3 Range and coupling modes of wireless technolo-

gies [41.9] (UMTS – universal mobile telecommunications system, GPRS – general packet radio service, WI-Max – worldwide interoperability for microwave access, Wi-Fi – wireless interoperability fidelity, RFID – radio frequency identification, NFC – near field communication, UWB – ultra wire band)

722

Part E

Automation Management

Part E 41.2

tronic modules. Microcomputers, initially responsible for PID control, communication, etc., now have diagnosis functions included. Advanced diagnosis addresses fault detection, fault isolation, and root analysis. The early detection of anomalies, either process or device related, is a key to improving plant availability and reducing production costs. When smart devices come together with wireless communication a great cost saving can be achieved. This infrastructure will be flexible for reconfiguration. The reconfiguration of existing software for the new configuration is sometimes very costly and has to be considered. However, wireless communication eases the problem of physically inflexible communication infrastructures. In mobile devices wireless connections are mandatory. Distributed sensors in a wide area do not need wireless communication, unless wiring is cost prohibitive. Without cables, cost-intensive wiring plans are not necessary. The freedom to place wireless sensors and actuators anywhere in a plant or a building becomes limited if the devices need a main power source, in which case power cables become necessary. It depends on the sensors if they can use internal batteries or can harvest energy from the environment. Several technologies for wireless communication are available at the market, with different standards and ranges (Fig. 41.3). Cardeira et al. [41.9] discuss the pros and cons of the available technologies. They conclude that, in spite of some initial skepticism, wireless communication is imposing itself as a complement to wired communication. Location awareness is a new feature of wireless devices. This feature may have a strong impact on service, where the physical location of a device is important for tracking, safety, security, and maintenance.

41.2.2 Programmable Logic Controllers as Components for Affordable Automation Programmable logic controllers (PLCs) can be regarded as the classic components of affordable automation. An affordable application was already reported by Jörgl and Höld [41.33]. PLCs are meanwhile available with full capabilities for less than US$ 100. A PLC can be defined as a microprocessor-based control device, with the original purpose of supplementing relay logic. Early PLCs were only able to perform logical operations. PLCs can now perform more complex sequential control algorithms with the increase in microprocessor performance. They can admit analog inputs and out-

puts. The main difference from other computers is the special input/output arrangements, which connect the PLC to smart devices as sensors and actuators. PLCs read, for example, limit switches, dual-level devices, temperature indicators, and the positions of complex positioning systems. On the actuator side, PLCs can drive any kind of electric motor, pneumatic or hydraulic cylinders or diaphragms, magnetic relays or solenoids. The input/output arrangements may be built into a simple PLC, or the PLC may have external I/O modules attached to a proprietary computer network that plugs into the PLC. PLCs were invented as less expensive replacements for older automated systems that used hundreds or thousands of relays. Programmable controllers were initially adopted by the automotive manufacturing industry, where software revision replaced rewiring of hard-wired control panels. The functionality of the PLC has evolved over the years to include typical relay control, sophisticated motion control, process control, distributed control systems, and complex networking. There are other ways for automating machines, such as a custom microcontroller-based design, but there are differences between the two approaches: PLCs contain everything needed to handle high-power loads, while a microcontroller would need an electronics engineer to design power supplies, power modules, etc. Also a microcontroller-based design would not have the flexibility of in-field programmability of a PLC, which is why PLCs are used in production lines. Typically they are highly customized systems, so the cost of a PLC is low compared with the cost of contacting a designer for a specific one-time-only design. The earliest PLCs expressed all decision-making logic in simple ladder diagrams (LDs) inspired by electrical connection diagrams. Electricians were quite able to trace out circuit problems with schematic diagrams using ladder logic. This was chosen mainly to address the apprehension of technicians. Today, the line between a personal computer and a PLC is thinning. PLCs have connections to personal computers (PCs) and Windows-based software-programming packages allow for easy programming and simulation. With the IEC 61131-3 standard, it is now possible to program using structured programming languages and logic elementary operations. A graphical programming notation called sequential function charts is available on certain programmable controllers. IEC 61131-3 currently defines five programming languages for programmable control systems: function block diagram (FBD), ladder diagram (LD), structured text (ST; similar to the Pas-

Quality of Service (QoS) of Automation

automation create synergetic effects to master the manufacturing process. Automation provides the necessary support to execute tasks and rationalize decisions. This represents a strand of low-cost automation. Running the manufacturing process effectively is not only a question of technology, though this is essential. Together with adequate work organization in which human skills can be developed, it establishes the framework for costeffective, competitive manufacturing in SMEs. Recent achievements for manufacturing are:

• •

Shop floor planning and control based on operators experience Low-cost numerical controls for machine tools and manufacturing systems (job-shop controls).

Shop floor control is the link between the administrative and planning section of an enterprise and the manufacturing process at the shop floor. It is the information backbone of the entire production process. What at least small and medium-sized enterprises need is shop floor control support to use the skills and experience of the workforce effectively. However, it should be stressed that this is support, not determination of what to do based on centralized automatic decision making. In small-batch or single production (molds, tools, spare parts), devices for dynamic planning are desired. Checking all solutions to the problems arising on the shop floor while taking into account all relevant restrictions with short-term scheduling outside the shop floor either by manual or automatic means is not very effec-

41.2.3 Production Technology Within the last years so-called shop-floor-oriented technologies have been developed [41.17], and have achieved success at least but not only in small and medium-sized enterprises (SMEs). These technologies are focused on agile manufacturing, which involves using intelligent automation combined with human skill and experience on the shop floor. With shopfloor-oriented production support, human skill and

Surface modeler for moldmaking CAD/CAM system

Shopfloor oriented programming systems

Planning board (available at all CNC-controls)

Link of digitized geometry

Link to intra- or Internet

LAN

CNC milling

CNC turning

CNC milling

Tool setting equipment

Direct using of CNC programs

Tool catalogue and data for tool setting available at all CNC

Precision scan (digitizing parts)

Link between CAD and CNC-control

Fig. 41.4 Modules of a shop floor network

CNC program of CAD/CAM via post-processor

723

Part E 41.2

cal programming language), instruction list (IL; similar to assembly language), and sequential function chart (SFC). These techniques emphasize logical organization of operations. Susta [41.34] presents a method to convert a PLC program in either of the languages mentioned above into programming statements, which can be used either for low-cost emulation of the program or as an auxiliary tool when a debugged PLC program is moved to another cheaper hardware, for instance, to a PC. Continuous processes cannot be accomplished fast enough by PLC on–off control. The control system most often used in continuous processes is PID control. PID control can be accomplished by mechanical, pneumatic, hydraulic, or electronic control systems, as well as by PLCs. PLCs, including low-cost ones, have PID control functions included, which are able to accomplish process control effectively. To program control functions IL, ST or derived function block diagrams (DFBD) are used.

41.2 Affordable Automation

724

Part E

Automation Management

Part E 41.2

tive. It is senseless to schedule manufacturing processes exactly for weeks ahead. However, devices that are capable of calculating time corridors are of advantage. The shop floor can do the fine planning with respect to actual circumstances much better then central planning. Human experience regarding solutions, changing parameters, and interdependencies is the very basis of shop floor decisions and needs to be supported rather than replaced. Figure 41.4 shows a network system linking all relevant modules to be used by skilled workers. Apart from necessary devices such as tool-setting, an electronic planning board is integrated. The screen of the board is available at all computer numerical control (CNC) controls to be used at least to obtain information on tasks to be done at certain workplaces to a certain schedule. As all skilled workers in a group are responsible for the manufacturing process they have, beyond access to information, the task of fine planning of orders they received with frame data from the management. They use electronic planning boards at the CNC controls or alternatively at PCs besides the machines. Planning and scheduling support with soft constraints was developed by [41.35], called Job-Dispo (Fig. 41.5). It consists mainly of an intelligent planning board with drag-and-drop functionality, a graphic editor, and a structured query language (SQL) database running on PCs under Windows. In SMEs, manufacturing groups are empowered to regulate their tasks themselves based on frame data from the management. The operators receive only rough data for orders

Fig. 41.5 Electronic, PC-based planning board for shop floor

use [41.35]

from central management, concerning delivery time, material, required quality, supply parts, etc. Using this support the workers decide on the sequences of tasks to be done at the different parts of the manufacturing system. The electronic planning board simulates the effects of their decisions. Normally more than one task needs the same resource at the same time. The operators are enabled to change the resource limits of workplaces and machines (working time, adding or changing shift work, etc.) until the simulation results in acceptable work practice and fulfills customers’ demands. If this cannot be achieved, central management has to be involved in the decision. Job-Dispo is partly automatic but allows for soft constraints to make use of the experience of human operators. Machine tools have a key position in manufacturing. Their functionality, attractiveness, and acceptance are determined by the efficiency of their control systems. Typical control tasks can be divided into the numerical controller, programmable logic control, controls for drives, and auxiliary equipment. Manufacturers of computer numerical control (CNC) systems are increasing effort on developing new concepts permitting flexible control functions with a broad scope of freedom to adapt the functions to the specific requirements of the planned application in order to increase the use of standardized components. This simplifies the integration of CNC of different manufactures into the same workshop environment. Even today, CNC systems are mainly offered as closed manufacturer-specific solutions and some machine tool builders develop their own, sometimes sophisticated, controls. This keeps the shop floor inflexible, but flexibility is only needed in small and medium-sized enterprises. Recently there has been a growing tendency to use industrial or personal computers as low-cost controllers in CNC technology, with a numerical control (NC) core on a card module, but connected to standard operating systems such as Windows. Because PC hardware is easily available and under constant development, CNC based on it benefits from technological advances in this field. One of the low-cost applications of this kind of CNC is called job-shop control, which are now available on the market (e.g., Siemens, Heidenhain). Machine tools with job-shop control can be operated manually and if needed or appropriate also with additional software support. This is intelligent support, enabling the switch in process from manual to numerical support. These controls are suitable for job shops with small lots and are easy to handle manually (conventional turning

Quality of Service (QoS) of Automation

41.3 Energy-Saving Automation

725

Productivity

1

Job-shop controls

Part E 41.3

Manual machining

Standard CNC

10

100 Lot size

Fig. 41.6 Advantage of job-shop controls. Lathe with job-shop control

or milling) or programmable with interactive graphic support. Therefore the knowledge and experience of skilled workers can be challenged and division of work between programming and operating is unnecessary, saving costs while avoiding organizational effort and increasing flexibility. Job shop controls with PC operation systems can be integrated into an enterprise network, allowing for flexible manufacturing. It provides not only easy programming at the machines but also archiving of programs and loading of programs from other locations. Moreover connection to tool data management systems allows for quick search and ordering of the right tool at the workplace. Figure 41.6 demonstrates the range of job-shop controls with respect to lot size and productivity. As an example of affordable automation in small enterprises, consider how to enhance the productivity and flexibility of a manufacturing process to acceptable costs. The main points in this respect are work organization and the technology used. Both of these aspects have to be considered together because they affect one another. Investing in a new, or at least better, technology is connected to decisions for machines with enhanced productivity and also to producing better quality that customers will be willing to pay for.

Considering machine tools or manufacturing cells or systems it is not always necessary to replace them completely. In many enterprises one can find conventional machines in a very good state but meanwhile not suitable to produce parts of high quality in an adequate time. Conventional machine tools such as lathes or conventional milling machines usually have a machine bed with good quality and stiffness; they should not be thrown onto the scrapheap. These machines could be equipped at least with electronic measurement devices as linear rules to improve the manufactured quality with respect to required tolerances. The next improvement could be refitting with numerical control. This certainly requires servo drives for each controllable axis while the drive of the spindle will be controlled using a frequency converter. Numerically controlled machines of the first generation sometimes only need a new control to bring them up to today’s standards, a process called upgrading. Sometimes it is desired to retain conventional handling of machines despite the retrofit, i. e., moving tables and saddles mechanically with hand wheels in addition to numerically controlled servo drives. This facilitates the manufacturing of simple parts while using the advantages of CNC control to manufacture geometrically complex work pieces.

41.3 Energy-Saving Automation 41.3.1 Energy Generation With energy waste levels in the process of electricity generation running at 66%, this sector has great potential for improvement. Using standard technology,

only 25–60% of the fuel used is converted into electrical power. Combined-cycle gas turbines (CCGT) are among the most efficient plants now available, as compared with old thermal solid-fuel plants, some of which were commissioned in the 1950s.

726

Part E

Automation Management

Table 41.1 Energy savings and consumption (TW h/year) [41.36] Electricity savings achieved in the period 1992–2008

Part E 41.3

Washing machines Refrigerators, freezers Electrical ovens Standby Lighting Dryers Domestic electrical storage water heaters Air-conditioners Dishwashers Total

10–11 12–13

Consumption in 2003

– –

23 96 17 66 94 15 66

14 80 15.5 46 79 12 64

– 0.5 24.5–31.5

5.8 16.2 377.8

8.4 16.5 401.9

6.9 15.7 333.1

– 1–2 1–5

41.3.2 Residential Sector Households accounted for 17% of the estimated gross energy consumption of 1725 Mtoe in 2005 in the European Union (EU), according to Eurostat energy balances. This amount could be reduced if people would change their habits to:

• • •

Consumption in 2010, available potential (with additional policies)

26 103 17 44 85 13.8 67

The biggest waste in the electricity supply chain (generation–transmission–distribution–supply) is the unused heat which escapes in the form of steam, mostly by heating the water needed for cooling in the generation process. The supply chain is still largely characterized by central generation of electricity in large power plants, followed by costly transport of the electricity to final consumers via cables. This transport generates further losses, mainly in distribution. Thus, centralized generation has advantages in terms of economies of scale, but also wastes energy. Decentralized generation of electricity and heat in regional or local units (including single buildings) could be of advantage. Such units are under development based on gas, or fuel cells in buildings. A combination of wind energy, solar energy, hydropower, energy from biomass, and fossil fuels in small units could provide electrical energy and heat in regions isolated from grids. These hybrid energy concepts are demanding advanced, but affordable, controls.



Consumption in 2010 (with current policies)

Switch off appliances that are not in use, as standby consumes energy Select energy-efficient domestic appliances Use low-energy light bulbs Increase levels of recycling

• • • • • •

Monitor energy consumption Ensure systems are operating correctly Adjust the central heating set-point, and ensure correct distribution of sensors Double glazing of windows against heat and cold Insulation of walls etc.

However, people are lazy; automatic control can give support by managing the consumption of household appliances. The so-called intelligent home could provide solutions, but anyway people have to be aware of unnecessary energy consumption. Table 41.1 below shows possible savings of electrical energy in households of the EU.

41.3.3 Commercial Building Sector Energy and therefore costs can be saved with suitable and intelligent automation. Energy management control systems (EMCS) are centralized computer control systems intended to operate a facility’s equipment efficiently. These systems are still evolving rapidly, and they are controversial. Some applications are appropriate for computer control systems, and many are not. A range of simpler alternatives are available. Advantage of building automation systems (BAS) includes monitoring, report generation, and remote control of equipment. Pitfalls are system cost, skilled staffing requirements, software limitations, vendor support, maintenance, rapid obsolescence, and lack of standardization. BAS are also known by a variety of other names, including energy management systems (EMS) and smart

Quality of Service (QoS) of Automation

41.3 Energy-Saving Automation

727

Table 41.2 Three levels of observing and improving energy consumption [41.37]

Policy

Resources

Energy management fully integrated into management structure and systems from board level down

Full time staff and budget resources related to energy spend at recommended levels

Energy policy set and reviewed by middle management

A management structure exists but there is no direct reporting to top management

Staff and budget resources not linked to energy spend

Technical staff have developed their own guidelines

Informal and unplanned

Informal allocation of staff time and no specific energy budget

building controls. A system typically has a central computer, distributed microprocessor controllers (called local panels, slave panels, terminal equipment controllers, and other names), and a digital communication system. The communication system may carry signals directly between the computer and the controlled equipment, or there may be tiers of communications. Building automation can be a very effective way to reduce building operational costs and improve overall comfort and efficiency of a building. There are many definitions and examples of building automation. Simply put, building automation uses software to connect and control electrical functions in a building. Those functions usually include but are not limited to the heating, ventilation, air-conditioning (HVAC) and lighting systems. For further reading see [41.15] and Chap. 62.

41.3.4 Transportation Sector Transport accounts of 20% of the estimated gross energy consumption of 1725 Mtoe in the European Union in 2005, according to Eurostat energy balances. Reducing this consumption is not only a technical problem, but mainly a political one. Road transport of goods receives direct or indirect subventions. The mostly state-owned railway organizations in Europe are not able to install a common system to reduce inefficient road transport. Low-cost air travel is increasing air pollution. Public transport does not always offer an acceptable alternative to individual car use. To get people or goods from A to B there are various means of transport, via air, road, rail, or water. Today no interoperable information system is available. however,

Increase commitment

this would be an assumption for an efficient transport management system. These problems of information control could be solved. Of course, achievements of automatic control in motor management and electrical drives reducing energy consumption can be seen. Hybrid drives in cars with automatic control of power management are favored. However, more effort is necessary to find acceptable and cost-effective solutions.

41.3.5 Industrial Sector An enterprise should always observe and improve its energy consumption at all levels: the energy improvement cycle. A precondition for entering the cycle is commitment at all levels of the enterprise. Table 41.2 illustrated an uncommitted enterprise on the bottom row and an enterprise that is highly committed to energy improvement on the top row. It is important that the enterprise demonstrates commitment to sustained energy improvement before the process of delivering that improvement can begin. The energy improvement strategy (Fig. 41.7) need not be long or complex but it is vitally important, as it will set the direction of all efforts to manage and improve energy consumption. Essentially there are two ways to cut energy bills (Fig. 41.7):

• •

Pay less for energy Consume less energy.

To reduce energy consumption it is necessary to analyze all possible sources of wasted energy: buildings, machines and equipment, production processes of goods, recycling of wasted energy (heat, etc.), and transport of

Part E 41.3

Improving performance

Structure

Formal energy policy and implementation plan. Commitment and active involvement of top management

728

Part E

Automation Management

Fig. 41.7 Energy improvement strat-

Reduce overall energy costs to the business

Pay less per unit of energy

Part E 41.4

Negociate Tariff Fuel Combined lowest unit management switching heat and price for power energy

egy in an enterprise [41.37]

Reduce energy consumed per unit of product

Improve energy efficiency

raw material, premanufactured parts, and manufactured products. Developed automatic control concepts can help (less energy-consuming drives), but control concepts for an enterprise as a whole may be of advantage in terms of energy consumption reduction. The use of energy in a country, in the residential sector, the commercial building sector, the transportation sector, and the industrial sector, influences the competitiveness of the economy, the environment, and the comfort of the inhabitants. While energy efficiency measures energy inputs for a given level of service, energy intensity measures the efficient use of energy. It is defined as the ratio of energy consumption to a measure of the demand for services (e.g., number of buildings, total floor space, number of employees), or more generally the energy required to generate US$ 1000 of gross domestic product (GDP) (Fig. 41.8). High energy intensity indicates a high price or cost of converting energy into GDP, while low energy intensity indicates a lower price or cost of converting energy into GDP. Many factors influence an economy’s overall energy intensity. It may reflect requirements for general standards of living and weather conditions in an economy. It is not untypical for particularly cold or hot climates to require greater energy consumption in homes and

Improve process efficiency

Mtoe 2000

Energy intensity 1990 = 100 100

1750 75

1500 1250

50

1000 750

25

500 250 0

1990

2000

2010

2020

0

Renewables Nuclear Natural gas Oil Solids Energy intensity

Fig. 41.8 Estimated total energy consumption by fuel and energy intensity 1990–2020 of the 25 EU member states [41.38]

workplaces for heating or cooling. A country with an advanced standard of living is more likely to have a wider prevalence of consumer goods and thereby be impacted in its energy intensity compared with a country with a lower standard of living.

41.4 Emerging Trends 41.4.1 Distributed Collaborative Engineering Supporting cost-effective human–human collaboration in networked enterprises is a challenge for cost-oriented

automation. With the trend to extend the design and processing of products over different and remotely located factories, the problem arises of how to secure effective collaboration of the involved workforce. Usual face-toface work will be replaced at least partly if not totally

Quality of Service (QoS) of Automation

729

Sense/Generate Reality

e f

S/G

Physical phenomena

u i

D/A/D interface

Digital information

Virtual reality software

Energy

Fig. 41.9 Architecture of a hyperbond connection

Figure 41.9 describes in general the interface between the real and the virtual world: Effort (e) and flow ( f ) are sensed (S) (or generated (G)), providing voltage (u) and current (i) (or effort and flow in the opposite), an analog-to-digital (A/D) converter provides digital information for the software, or a digital-to-analog (D/A) converter converts digital information into analog signals to drive a generating mechanism for effort and flow to the real world. The interface generates or dissipates energy (or power). The power, provided through the real system, has to be dissipated, because the virtual continuation with software requires nearly negligible power. In the opposite direction, the digital information provided through the software has to generate the power necessary to connect to the real system. Application for a Discrete-Time Event System Electropneumatic components installed at a real workbench are connected to a virtual workbench with electropneumatic components stored in a library. Because the valves can only be open or closed, the cylinders only on or off, one has only discrete events. The energy interface, called the hyperbond, receives digital information from the virtual workbench and generates air pressure and air flow as well as voltage and current for the solenoid valves and cylinders at the real workbench (Fig. 41.10). In the opposite direction the energy interface senses air pressure and air flow as well as electrical signals to convert into digital signals. No feedback control within the energy interface is necessary unless it is desired. The virtual and real workbench can be located either at a local site or at remote sites connected via the Internet. Also virtual workbenches may be distributed at different sites connected via the Internet to the (only) real workbench. The software of the virtual workbench allows access by many users at the same time. Therefore students or workers distributed at different locations can solve tasks together at their virtual workbenches and export it on the real workbench to test their common solution in reality.

Part E 41.4

by computer-mediated collaboration. The development and implementation of information and communication technology, suitably adapted to the needs of the workforce and facilitating remotely distributed collaborative work, is a challenge to engineers. Information mediated only via vision and sound is insufficient for collaboration. In designing and manufacturing it is often necessary to have the parts in your hands. To grasp a part at a remote site requires force (haptic) feedback in addition to vision and sound. Consider, for example, remote service for maintenance. Bruns et al. [41.11, 39, 40] developed low-cost devices based on mixed-reality concepts for web-based learning as a first step to distributed collaborative work. Mixed-reality environments as defined in [41.41] are those in which real-world and virtual-world objects are presented together on a single display. Mixedreality techniques have proven valuable in single-user applications. Meanwhile, research has been done on applications for collaborative users. Mixed reality could be useful for collaborative distributed work because it addresses two major issues: seamlessness and enhancing reality [41.42]. Bruns [41.11] notes that most existing collaborative workspaces strictly separate reality and virtuality; for example, when controlling a remote process, one can sense and view specific system behavior, control the system by changing parameters, and observe the process by video cameras. The process, as a flow of energy – controlled by signals and information – is either real or completely modeled in virtuality and simulated. In mixed-reality distributed environments, information flow can cross the border between reality and virtuality in an arbitrary bidirectional way. Reality may be the continuation of virtuality, or vice versa, which provides a seamless connection between the two worlds. This bridging or mixing of reality and virtuality opens up some new perspectives for learning or training environments [41.12] as well as for distributed work environments. The connection between the real and the virtual world is mediated through an energy interface called a hyperbond. In dynamic systems the system components are connected through energy (or power) transfer. If a real dynamic system is to be extended digitally with software running on a computer (virtual dynamic system) analog sensor signals have to be converted into digital values for the software side of the interface. Flow in the opposite direction requires digital values from the software to be converted into analog signals that generate effort and flow to the real dynamic system.

41.4 Emerging Trends

730

Part E

Automation Management

Fig. 41.10 Real and virtual pneumatic Hyperbond interface

workbench connected with an energy interface (hyperbond) Virtual workbench

Part E 41.4 Real workbench

The connected workbenches are located in computer animated virtual environment (CAVE)-like constructions. CAVEs consist of scaffoldings with canvases onto which the images of other workspaces with the people working on them are beamed (Fig. 41.11). The example presents distributed workbenches for discrete events (valves, cylinders, on/off). Yoo and Burns [41.39] enhanced this application with a generally described energy interface for the connection of real (continuous-time dynamic) and virtual (discrete-time dynamic) systems. Yoo [41.43] developed this interface with low-cost components for examples with haptic feedback.

41.4.2 e-Maintenance and e-Service Among the main cost factors responsible for the performance of a plant is the availability of machines and equipment. Therefore maintenance takes an important part in plant automation. Avoiding downtime, or at least minimizing it, is the goal. Advanced maintenance strategies and services are candidates for cost savings. These integrate information processing and the processing of physical objects. e-Maintenance is an emerging concept, generally defined as a maintenance Fig. 41.11 Workspace with the real workbench and the virtual one in front, and the remote collaborators projected onto the left and right canvases

Quality of Service (QoS) of Automation

41.5 Conclusions

731

Fig. 41.12 Platform for web-based Condition monitoring Machine check & status report

Service book Task planning & reporting To do list • Task ... • Task ...

Servicemanagement

maintenance service [41.5]

Report • Activity • Status

Adaptive qualification e-Training maint. & repair

management strategy in which assets are monitored (conditioned-based monitoring) and action is synchronized with the business process through the use of webenabled and wireless infotronics technologies [41.4,44]. Despite the development of new maintenance strategies (Fig. 41.12) and the efforts of service providers one should not underestimate the knowledge and experiences of the operators of automation systems, and use their expertise if possible. Here one has to find a compromise between the cost of service from outside or specialists from inside and the cost of qualified operators and their permanent training. To empower people effectively depends on confidence between management and shop floor. It is not easy for the management, but this problem is solvable if it reduces costs and everybody understands it. e-Maintenance strategies were developed for the automotive industry. Many small enterprises still rely on preventive maintenance or even on breakdown maintenance. The challenge is to make e-Maintenance available for these enterprises for affordable cost. Tele-service was developed to support small enterprises to enhance their productivity at affordable cost. The principal goal is to minimize trouble-shooting costs by allowing service personnel the flexibility to work from a distance. The main advantage for the machine operator lies in reducing machine downtime. e-Services

Spare part shop

Machine documentation

go beyond such conventional concepts. e-Service is considered to include support of customer service via information and communication components and services. e-Service makes the installation and start-up of machines and plants possible, as well as trouble-shooting, the transfer of new software versions, the provision of replacement parts, and ordering spare parts on time. In the future, e-Service will also find application in process support and customer consultation [41.5]. Two emerging areas have been described with respect to their influence of cost savings. Some others that should be mentioned are: 1. Semi-automated disassembly of electronic waste, such as mobile phones, will gain more importance in the near future: a flexible, modular system for the development of semi-automatized, intelligent disassembly cells including stationary robots and especially a low-cost, hierarchical control structure [41.45]. 2. Harrison and Colombo [41.46] propose collaborative automation in manufacturing. Their approach is to define a suitable set of basic production functions and then to combine these functions in different arrangements. This approach creates more complex production activities and saves costs of reconfiguration of rigid automation in manufacturing.

41.5 Conclusions Low-cost automation was considered under two aspects: cost-oriented automation as a strategy to reduce the cost of ownership of an automation system, and af-

fordable automation focused on the needs of small and medium-sized enterprises to enhance productivity and thereby competitiveness.

Part E 41.5

Maintenance assistance Prepare & execute Preventive maint. tasks

732

Part E

Automation Management

Part E 41

In the first case the life cycle of a production system was discussed, and design and maintenance/reconfiguration were identified as the main cost drivers. Recent developments of e-Maintenance as emerging trends are promising for cost saving. Maintenance was discussed holistically regarding the overall performance of a production system. Life cycle engineering with its different facets for cost savings was described, and further developments were suggested. Also human–human collaboration (including support for human–machine collaboration) has been considered with respect to cost. Mixed-reality concepts with application in learning environments to train for costeffective collaborative work over remote sites has also been presented. In the second case, examples of affordable automation for old and new developments of automatic control such as smart devices were presented. Web based e-Services to solve maintenance problems and to avoid downtime of machines have recently been

provided by machine manufacturers. It is a challenge to the automatic control community to transfer their developments in modern control design and theory to make them applicable for affordable automation projects; for example, embedded control systems (see Chap. 43), are promising cost-oriented solutions. Energy saving and its automatic control was briefly discussed for electrical energy generation in large-scale power plants. Wastage of primary energy occurs as unused heat. A possible energy-saving solution could be decentralized generation of electricity so that heat could be used more easily for heating buildings and processes in plants. The main consumers of energy are the residential and commercial building sectors. Building automation systems are being developed to save energy. The challenge is to make a possible sophisticated control understandable for the operators. To summarize, energy saving is not only a matter of technology but also depends on people’s habits.

References 41.1

41.2

41.3

41.4

41.5

41.6

41.7

41.8

P. Albertos, J.A. de la Puente (Eds.): Proc. LCA’86 IFAC Symp. Compon. Instrum. Tech. Low Cost. Appl. Valencia (Pergamon, Oxford 1986) R. Ortega: New techniques to improve performance of simple control loops, Proc. Low Cost Autom., ed. by P. Kopacek, P. Albertos (Pergamon, Oxford 1989) pp. 1–5 S. Chand: From electrical motors to flexible manufacturing: control technology drives industrial automation, Selected Plenaries, Milestones and Surveys, Proc. 16th IFAC World Congr., ed. by P. Horacek, M. Simandl, P. Zitek (Elsevier, Oxford 2005) pp. 40–45 Z. Chen, J. Lee, H. Qui: Infotronics technologies and predictive tools for next-generation maintenance systems, Proc. 11th Symp. Inf. Control Probl. Manuf. (Elsevier 2004) R. Berger, E. Hohwieler: Service platform for webbased services, Proc. 36th CIRP Int. Semin. Manuf. Syst. Produktionstech., Vol. 29 (2003) pp. 209–213 G. Lay: Is high automation a dead end? Cutbacks in production overengineering in the German industry, Proc. 6th IFAC Symp. Cost Oriented Autom. (Elsevier, Oxford 2002) P. Kopacek: Low cost factory automation, Proc. Low Cost Autom., ed. by P. Kopacek, P. Albertos (Pergamon, Oxford 1992) M. Starosviecki, M. Bayart: Models and languages for the interoperability of smart instruments, Automatica 32(6), 859–873 (1996)

41.9

41.10

41.11

41.12

41.13 41.14

41.15

41.16

41.17

C. Cardeira, A.W. Colombo, R. Schoop: Wireless solutions for automation requirements, ATP Int. – Autom. Technol. Pract. 4(2), 51–58 (2006) B.O. Nnaji, Y. Wang, K.Y. Kim: Cost effective product realization, Proc. 7th IFAC Symp. Cost Oriented Autom. (Elsevier, Oxford 2005) F.W. Bruns: Hyper-bonds – distributed collaboration in mixed reality, Annu. Rev. Control 29(1), 117–123 (2005) D. Müller: Designing learning spaces for mechatronics. In: MARVEL – Mechatronics Training in Real and Virtual Environments, Impuls, Vol. 18, ed. by D. Müller (NA/Bundesinstitut für Berufsbildung, Bremen 2005) H.H. Erbe: Introduction to low cost/cost effective automation, Robotica 21(3), 219–221 (2003) E.O. Adeleye, Y.Y. Yusuf: Towards agile manufacturing: models of competition and performance outcomes, Int. J. Agil. Syst. Manag. 1, 93–110 (2006) T. Salsbury: A survey of control technologies in the building automation industry, Selected Plenaries, Milestones and Surveys, Proc. 16th IFAC World Congr., ed. by P. Horacek, M. Simandl, P. Zitek (Elsevier, Oxford 2005) pp. 331–341 S.Y. Nof, G. Morel, L. Monostori, A. Molina, F. Filip: From plant and logistics control to multi-enterprise collaboration, Annu. Rev. Control 30(1), 55–68 (2006) H.-H. Erbe: Technology and human skills in manufacturing, Balanced Automation Systems II,

Quality of Service (QoS) of Automation

41.18

41.19

41.21

41.22

41.23

41.24

41.25

41.26

41.27 41.28

41.29

41.30 41.31

41.32

41.33

41.34

41.35 41.36 41.37

41.38

41.39

41.40

41.41

41.42

41.43

41.44

41.45

41.46

A. de Carli (Pergamon Press, Oxford 1989) pp. 241– 248 M.K. Masten: Electronics: the intelligence in intelligent control, Proc. 3rd IFAC Symp. Intell. Compon. Instrum. Control Appl. SICICA’97, Annecy, France (1997) H.P. Jörgl, G. Höld: Low cost PLC design and application, Proc. Low Cost Autom., ed. by P. Kopacek, P. Albertos (Pergamon, Oxford 1992) pp. 7–12 R. Susta: Low cost simulation of PLC programs, Proc. 7th IFAC Symp. Cost Oriented Autom. (Elsevier, Oxford 2005) http://www.Fauser.de European Commission: Directorate General for Energy and Transport (European Commission, 2005) M. Pattison: Energy prices are rising and continue to rise. In: Memorias de Primera Jornadas de Mantenimiento, ed. by Escuela Politecnica Nacional, ASEA (Brown Boveri, Quito 2006) European Commission: PRIMES baseline, European Energy and Transport – Scenarios on Key Drivers (European Commission, 2004) Y. Yoo, F.W. Bruns: Energy interfaces for mixed reality, Proc. 12th Symp. Inf. Control Probl. Manuf. (Elsevier, Oxford 2006) F.W. Bruns, H.-H. Erbe: Mixed reality with hyperbonds – a means for remote labs, Control Eng. Pract. 15(11), 1435–1444 (2006) P. Milgram, F. Kishino: A taxonomy of mixed reality visual displays, IEICE Trans. Inf. Syst. E77-D(12), 1321–1329 (1994) H. Ishii, B. Ullmer: Tangible bits: towards seamless interfaces between people, bits and atoms, Proc. CHI’97 (1997) pp. 234–241 Y.H. Yoo: Mixed Reality Design using unified Energy Interfaces (Univ. Bremen). Ph.D. Thesis (Shaker, Aachen 2007) A. Müller, M.C. Suhner, B. Iung: Formalization of a new prognosis model for supporting proactive maintenance implementation on industrial system, Reliab. Eng. Syst. Saf. 93(2), 234–253 (2008) B. Kopacek, P. Kopacek: Semi-automatised disassembly, Proc. 10th Int. Workshop Robotics in Alpe Adria Danube Region RAAD‘01, Vienna (2001) pp. 363–370 R. Harrison, A.W. Colombo: Collaborative automation – from rigid coupling towards dynamic reconfigurable production systems, Proc. 16th IFAC World Congr. (Elsevier, Oxford 2005)

733

Part E 41

41.20

BASYS ’96 (Chapman Hall, London 1996) pp. 483– 490 A. Blasi, V. Puig: Conditions for successful automation in industrial applications. A point of view, Proc. 15th IFAC World Congr. (Elsevier, Oxford 2005) G. Morel, B. Iung, M.C. Suhner, J.B. Leger: Maintenance holistic framework for optimizing the cost/availability compromise of manufacturing systems, Proc. 6th IFAC Symp. Cost Oriented Autom. (Elsevier, Oxford 2002) A. Ollero, G. Morel, P. Bernus, S.Y. Nof, J. Sasiadek, S. Boverie, H. Erbe, R. Goodall: Milestone report of the IFAC manufacturing and instrumentation coordinating committee: from MEMS to enterprise systems, Annu. Rev. Control 26, 151–162 (2002) J. Sasiadek, Q. Wang: Low cost automation using INS/GPS data fusion for accurate positioning, Robotica 21, 255–260 (2003) B.J. Dragt, F.R. Camisani-Calzolari, I.K. Craig: An overwiew of the automation of load-haul-dump vehicles in an underground mining environment, Proc. 16th IFAC World Congr. (Elsevier, Oxford 2005) J. Sasidak, Y. Lu: Path tracking of an autonomous LHD articulated vehicle, Proc. 16th IFAC World Congr. (Elsevier, Oxford 2005) D. ˇSurdilovic, R. Bernhardt, L. Zhang: New intelligent power-assist systems based on differential transmission, Robotica 21, 295–302 (2003) W. Wang, Y. Zhuang, W. Yun: Innovative control education using a low cost intelligent robot platform, Robotica 21, 283–288 (2003) M.A. Peshkin, E. Colgate, W. Wannasuphoprasit, C.A. Moore, R.B. Gillespie, P. Akella: Cobot architecture, IEEE Trans. Robot. Autom. 17(4), 377–390 (2001) S. Soloman: Affordable Automation (McGraw-Hill, New York 1996) F. Lange, G. Hirzinger: Is vision the appropriate sensor for cost oriented automation?, Proc. Cost Oriented Autom., ed. by R. Bernhardt, H.-H. Erbe (Elsevier, Oxford 2002) pp. 129–134 M. Bayart: LARII: development tool for smart sensors and actuators, Proc. Cost Oriented Autom., ed. by R. Bernhardt, H.-H. Erbe (Elsevier, Oxford 2002) pp. 83–88 M. Bayart: Smart devices for manufacturing equipment, Robotica 21, 325–333 (2003) J. Boettcher, H.R. Traenkler: Trends in intelligent instrumentation, Proc. Low Cost Autom., ed. by

References

“This page left intentionally blank.”

735

Reliability, M

42. Reliability, Maintainability, and Safety

Gérard Morel, Jean-François Pétin, Timothy L. Johnson

Industrial automation systems are intensively embedding infotronics and mechatronics technology (IMT) in order to fulfil complex applications required by the increasing customization of both services and goods [42.2–6]. The resulting behavior of these IMTbased automation systems is shifting system dependability responsibility [42.7] from the human operator to the automation software. Management, engineering, and maintenance personnel have a primary responsibility to assure reliability [42.8, 9], maintainability, and safety of all automated systems, and manufacturing systems in particular. Therefore, safety, reliability, and availability as performance attributes to access the dependability of a system are threatened by a rapid growth in software

42.1 Definitions ........................................... 736 42.2 RMS Engineering .................................. 738 42.2.1 Predictive RMS Assessment ............ 738 42.2.2 Towards a Safe Engineering Process for RMS ....................................... 739 42.3 Operational Organization and Architecture for RMS ....................... 42.3.1 Integrated Control and Monitoring Systems................ 42.3.2 Integrated Control, Maintenance, and Technical Management Systems ...................................... 42.3.3 Remote and e-Maintenance .......... 42.3.4 Industrial Applications ..................

741 741

743 743 745

42.4 Challenges, Trends, and Open Issues ...... 745 References .................................................. 746

systems at the enterprise level is also provided. Finally, recent research trends, such as automated verification, are cited, and many citations from the extensive literature on this topic are provided.

Complexity growth with availability decline Normalized value 1.6 1.4 1.2 1 Availability 0.8 Hardware reliability Software complexity 0.6 0.4 0.2 0 0 2 4 6 8 10 Year

Fig. 42.1 Growth of software complexity and its impact on system

availability (after [42.1])

Part E 42

Within the last 20 years, digital automation has increasingly taken over manual control functions in manufacturing plants, as well as in products. With this shift, reliability, maintainability, and safety responsibilities formerly delegated to skilled human operators have increasingly shifted to automation systems that now close the loop. In order to design highly dependable automation systems, the original concept of design for reliability has been refined and greatly expanded to include new engineering concepts such as availability, safety, maintainability, and survivability. Technical definitions for these terms are provided in this chapter, as well as an overview of engineering methods that have been used to achieve these properties. Current standards and industrial practice in the design of dependable systems are noted. The integration of dependable automation systems in multilevel architectures has also evolved greatly, and new concepts of control and monitoring, remote diagnostics, software safety, and automated reconfigurability are described. An extended example of the role of dependable automation

736

Part E

Automation Management

Dependability

Reliability Availability Maintainability

Safety

Survivability

Fig. 42.2 The dependability tree (after [42.10])

Part E 42.1

complexity that could limit further automation progress (Fig. 42.1). Section 42.1 provides definitions of dependability key concepts (Fig. 42.2) that enlarge reliability, maintainability, and safety (RMS) concepts [42.11, 12] by characterizing the ability of a device or system to deliver the correct service that can justifiably be trusted by all stakeholders in the automated process.

Then, methods for design of highly dependable automation systems are outlined in Sect. 42.2. Section 42.3 discusses the methods for achieving long-term dependable operation for an existing system. Finally, dependability has evolved from reliability/availability concerns to information control concerns, as an outgrowth of the technological deployment of information-intensive systems and the economical pressure for cost-effective automation [42.13]. Section 42.4 concludes with challenges, trends, and open issues related to system resilience, aiming to cope with system dependability in the presence of active faults, i. e., system survivability. Chapter 39 of this handbook contains information related to the concepts covered in this Chapter.

42.1 Definitions Dependability is an integrative concept that encompasses required attributes (qualities) of a system assessed by quantitative measures (reliability, maintainability) or qualitative ones (safety) in order to cope with the chain of fault–error–failure threats of an operational system, by combining a set of means related to fault prevention, fault tolerance, fault removal, and fault forecasting [42.14]. Reliability is the ability of a device or system to perform a required function under stated conditions for a specified period of time. This property is often measured by the probability R(t) that a system will operate without failure before time t, often defined according to the failure rate (λ(t)) as ⎞ ⎛ t R(t) = exp ⎝− λ(u) du ⎠ , 0

meaning R(t) = Pr(TTF > t) , where TTF is the time to failure. This definition of reliability is concerned with the following four key elements: 1. First, reliability is a probability. This means that there is always some chance for failure. Reliability engineering is concerned with achieving a specified probability of success, at a specified statistical confidence level.

2. Second, reliability is predicated on intended function. The system requirements specification is the criterion against which reliability is measured. 3. Third, reliability applies to a specified period of time. In practical terms, this means that a system has a specified chance that it will operate without failure before a final time (e.g., 0 < t < T ). 4. Fourth, reliability is restricted to operation under stated conditions. This constraint is necessary because it is impossible to design a system for unlimited conditions. Both normal and abnormal operating environments must be addressed during design and testing. Maintainability is the ease with which a device or system can be repaired or modified to correct and prevent faults, anticipate degradation, improve performance or adapt to a changed environment. Beyond simple physical accessibility, it is the ability to reach a component to perform the required maintenance task: maintainability should be described [42.15] as the characteristic of material design and installation that determines the requirements for maintenance expenditures, including time, manpower, personnel skill, test equipment, technical data, and facilities, to accomplish operational objectives in the user’s operational environment. Like reliability, maintainability can be expressed as a probability M(t) based on the repair rate (μ(t)) as ⎞ ⎛ t M(t) = 1 − exp ⎝− μ(u) du ⎠ , 0

Reliability, Maintainability, and Safety

meaning M(t) = Pr(TTR < t) ,

A(t) ≡ Pr(Z(t) = 1) , with

⎧ ⎨1 if the system is up at time t Z(t) ≡ ⎩0 if the system is down at time t .

System availability is important in achieving production rate goals, but additional processes must be invoked to

assure a high level of product quality. Historically (before 1960), a quality laboratory would draw samples from the production line and subject them to a battery of material, dimensional, and/or functional tests, with the objective of verifying that quality was being attained for a typical part. In recent years, the focus has shifted from assurance of average quality to assurance of quality of every part produced, driven by consumer product safety concerns. Deming [42.18] and others were instrumental in developing methods for statistical process control, which focused on the use of quality control data to adjust process parameters in a quality feedback loop that assured consistently high product quality; these techniques were developed and perfected in the 1970s and 1980s. Still more recently, sensors to measure critical quality variables online have been developed, and the quality feedback loop is now often automated (algorithmic statistical process control). At the same time, the standards for product quality have moved up from about two sigma (1 defective product in 100) to five or six sigma (about 1 defective product in 100 000). Increasing availability consists of reducing the number of failures (reliability) and reducing the time to repair (maintainability) according to the following for-

W3

W2

W1

(1)

(1)

(1)

SIL1

(1)

(1)

SIL2

SIL1

(1)

SIL3

SIL2

SIL1

SIL4

SIL3

SIL2

(2)

SIL4

SIL3

C1

P1 C2

F1 F2

C3

F1 F2 F1

C4

P2 P1 P2 P1 P2 P1

F2 P2

(1): no special safety requirements (2): single safety function insufficient

Fig. 42.3 Determining safety integrity level according to IEC [42.16]

Consequence severity C1 → minor injury C2 → minor injury or single death C3 → multiple deaths C4 → a very high number of deaths Exposure time F1 → rare to frequent F2 → frequent to continuous Possibility of avoidance P1 → possible P2 → not likely Probability of undesirable occurrences W1 → very slight probability W2 → low probability W3 → high probability

737

Part E 42.1

where TTR is the time to repair. Availability characterizes the degree to which a system or equipment is operable and in a committable state at the start of a mission, when the mission lasts for an unknown, i. e., random, time. A simple representation for availability is the proportion of time a system is in a functioning condition, and this can be expressed mathematically [42.17] by λ μ + e−(μ+λ)t , A(t) = μ+λ μ+λ where λ is the constant failure rate and μ the constant repair rate, meaning

42.1 Definitions

738

Part E

Automation Management

mula MTBF A(∞) = MTBF + MTTR

Part E 42.2

as the asymptotic value of A(t), where MTBF is the mean time between failures and the MTTR is the mean time to repair. Safety is the state of being safe, the condition of the automated system being protected against catastrophic consequences to the user(s) and the environment due to component failure, equipment damage, operator error, accidents or any other undesirable abnormal event. Safety hazard mitigation can take the form of being protected from the event or from exposure to something that causes health or economical losses. It can include protection of people and limitation of environmental impact. Industrial automation standards (Fig. 42.3), introduce engineering and design requirements that vary according to the safety integrity levels (SIL). SIL specifies the target level of safety integrity that can be determined by a risk-based approach to quantify the desired average probability of failure of a designed function, probability of a dangerous failure per hour, and the consequent severity of the failure. Combining these criteria for a given function leads to four levels of SILs that can be associated with specific engineer-

ing guidelines and architecture recommendations; for example, SIL 4 is the most critical level and the use of formal methods is strongly recommended to handle the complexity of software-intensive applications and to prove safety properties. To achieve RMS properties over the lifecycle of an automated system, two complementary activities must be undertaken:





During the system development and design phase, the occurrence of faults should be prevented by using appropriate models and methods: quantitative approaches based on stochastic models can be used to perform a predictive RMS analysis, and qualitative approaches focusing on engineering process (e.g., Six Sigma) can be used to improve the quality of the automated system and its products. During the operational life of the automated system, personnel should avoid or react to undesired situations by deploying appropriate safety architectures, maintenance procedures, and management methods.

Survivability is the quantified ability of a system to continue to fulfil its mission during and after a natural or manmade disturbance. In contrast to dependability studies, which focus on analysis of system dysfunction, resilience for survivability focuses on the analysis of the range of conditions over which the system will survive.

42.2 RMS Engineering 42.2.1 Predictive RMS Assessment To evaluate and measure the various parameters that characterize system dependability, many methods and approaches have been developed. Their goal is to provide a structured framework to represent failures qualitatively and/or quantitatively. They are mainly of two types: declarative and probabilistic. Declarative methods are designed to identify, classify, and bracket the failures and provide methods and techniques to avoid them. Most classical models use graphical classification of failure, causes, and criticality (failure mode, effects and criticality analysis (FMECA), hazardous operation (Hazop), etc.), block diagrams, and fault trees to provide a graphical means of evaluating the relationships between different parts of the system (Fig. 42.4). These models incorporate predictions based on parts-count failure rates taken from historical data. While the predictions are often not very accurate in an absolute sense, they

are valuable to assess relative differences in design alternatives. Probabilistic methods are designed to measure, in terms of probability, some RMS parameters. Models are mainly based on the complete enumeration of a system’s possible states, including faulty states. These models use state-transition notation involved in the classical stochastic models of discrete event systems such as Markov chains and Petri nets [42.19]. The benefit of Markov and stochastic Petri net approaches relies on their capability to support quantitative analysis of the models, but these models suffer from the combinatoric explosion of the states that occurs when modeling complex industrial systems. Moreover, all of these analytic approaches assume that the stochastic processes can be modeled using a constant exponential law. For industrial processes that do not fit with this strong Markovian hypothesis, the definition of simulation models, such as Monte Carlo simulation, remains the only way to evaluate the RMS parameters.

Reliability, Maintainability, and Safety

a)

42.2 RMS Engineering

739

Function 1 State: Degraded

Component 1 State: OK Component 2 State: regenerated1

Component 2 State: OK Component 2 State: regenerated2 Component 1 State: regenerated2

b) Current process controls prevention

Actions taken

8

Visual check each hour-1/shift for film thickness (depth meter) and coverage

8 280 Add positive depth stop to sprayer

Spray head dogged: • Viscosity too high • Temperature too low • Pressure too low

5

Test spray pattern at start-up and after idle periods, and preventive maintenance program to clean head

3 105

7 1 3 21

Spray head deformed due to impact

2

Preventive maintenance program to maintain head

2 28

7 2 2 28

Spray time insufficient

8

Operators instructions and lot sampling (10 doors/shift) to check for coverage of critical areas

7 392

7 1 7 49

Recommended actions(s)

Responsability & target completion date

Actions taken

Sev Occur Det RPN

Manually inserted spray head not inserted far enough

Current process controls detection

Det

Potential cause(s)/mechanism(s) of failure

RPN

Potential effect(s) of failure

Occur

Potential failure mode

Sev Class

Item Process Function/requirement

Stop added sprayer checked on line

7 2 5 70

3. Front door l.h. Manual application of wax side indoor To cover inner door, lower surfaces at minimum wax thickness to retard corrosion

Insufficient wax coverage over specified surface

Deteriorated life of 7 door leading to: • Unsatisfactory appearance due to rust through paint over time • Impaired function of interior door hardware

Fig. 42.4a,b Example of declarative models. (a) Fault tree. (b) FMECA (RPN – risk priority number, Sev – severity, Occur –

occurence, Det – detectability (high detectability implies lower risk))

Whatever the kind of used approaches, models for predictive RMS evaluation rely upon system data collection that does not always reflect the system reality due to a gap between real and estimated states. This limitation reinforces the need to establish reliable gates between RMS engineering and system deployment to update the RMS model data with real-time information provided by the automated system.

42.2.2 Towards a Safe Engineering Process for RMS Automation techniques have proven their effectiveness in controlling the behavior of complex systems,

based on the use of suitable mathematical relationships involving feedback system dynamics during the design process. Nevertheless, the process of automating a system, as addressed by system theory for automatic control, also deals with qualitative phases [42.19] that require intuitive modeling of real phenomena (a quantity of material, energy, information, a robot, a cell, a plant, etc.) to be controlled for achieving end-user goals. The modeler’s intuition remains important [42.20, 21] to build the model as an abstraction of the real system by identifying the appropriate input, output, and state variables in order to logically define the required system behavior. The main difficulty is to handle the quality of the automation engineering pro-

Part E 42.2

Component 1 State: regenerated1

740

Part E

Automation Management

Table 42.1 Capability maturity model [42.24]

Part E 42.2

Level 1: Initial

The software process is characterized as ad hoc and occasionally even chaotic. Few processes are defined and success depends on individual effort.

Level 2: Repeatable

Basic project management processes are established to track cost, schedule, and functionality. The necessary process discipline is in place to repeat earlier successes on projects with similar applications.

Level 3: Defined

The software process for both management and engineering activities is documented, standardized, and integrated into a standard software process for the organization.

Level 4: Managed

Detailed measures of the software process and product quality are collected. Both the software process and product are quantitatively understood and controlled.

Level 5: Optimizing

Continuous process improvement is enabled by quantitative feedback from the process and from piloting innovative ideas and technologies.

cesses from definition and development to deployment and operation of the target system by standardization and use of best practices that are generic to wellidentified problem classes and whose quality has been established by experience. Capability maturity models (CMM) [42.22], and validation–verification methods, guide engineers to combine prescriptive and descriptive models in order to meet system requirements such as RMS, but without any formal proof of accuracy of the resulting system model. Finally, the present trend to compose automation logic by assembling standardized, configurable, off-the-shelf components [42.23] strengthens the need to first better relate the modeling process and the system goals and then to preserve them through the transformation of models of the automation engineering chain. The CMM, was developed as a means of rating the thoroughness of a software development process, by the Carnegie Melon University Software Engineering Institute in the 1990s. To pave the way toward CMM level 5, there is a growing demand for formalized methods for assuring dependability in industrial automation engineering, in order to compensate for the increasing complexity of software-intensive applications [42.25]. In particular, high levels of safety integrity, as addressed by the International Electrotechnical Commission (IEC) 61508 standard, should be formally checked and proven by mathematically sound techniques in order to verify the required completeness, consistency, unambiguity, and finally correctness of the system models throughout the definition, development, and deployment phases of the engineering lifecycle [42.26, 27].

The conformance measure of system models with regards to the requirements, and especially RMS features, can be obtained using:

• •

Assertion methods that include the properties to be checked in the system models proceed to an a posteriori verification using automatic techniques such as model checking [42.28]. Refinement methods that start with the formalization of a requirement model and progressively enrich this model until a concrete model of the system that fulfils, by construction, the identified requirements is obtained. They can be based on: – Semiformal mechanisms that identify and classify RMS requirements and then allocate those requirements to the function, components, and equipment of the automated system. In this case, classical models combine computer-science approaches such as unified modeling language (UML) with discrete-event analysis models. – Formal mechanisms [42.29] that allow a sequence of formal models to be systematically derived while preserving the link between formal models and required properties (goals): an extension of the spiral method for software engineering.

All of these techniques may be combined to contribute to RMS issues [42.30, 31], but the emphasis on correct system definition is then shifted to earlier requirements analysis and elicitation phases.

Reliability, Maintainability, and Safety

42.3 Operational Organization and Architecture for RMS

741

42.3 Operational Organization and Architecture for RMS Taking advantage of technological advances in the field of communications (web services embedded in programmable logic controllers) or in the field of electronics and information technology (radiofrequency identification (RFID), sensor networks, software embedded components, etc.), automated systems now include an increasing part of information technology and communication distributed at the very heart of production processes and products. However, this automation comes at a price: the complexity of the control system in terms of both heterogeneous material (dedicated computers, communications networks, supply chain operations and capture, etc.) and software functions (scheduling, control, supervisory control, monitoring, diagnosis, reconfiguration, etc.) that it houses (Fig. 42.5). This section deals with the operational architectures and organizations required to enable active dependability of the automated system by providing information processing, storage, and communication capabilities to anticipate undesired situations or to react as effectively as possible to fault occurrences.

42.3.1 Integrated Control and Monitoring Systems



Failure detection reports about the normal or abnormal behavior of the system. These are mainly based on a theoretical model of the functional and dysfunctional behavior of the devices involved in the automated system.

ERP

CRM

ERP

SCM

CRM

SCM

EAI Static synchronization (B2MML)

CRM

Dynamic synchronization S95/OAGIS standard MES

PLC Remote I/O Fieldbus HMI

today

SCM

MES

OPC data server

SCADA

ERP

OPC data server

SCADA

PLC Remote I/O Fieldbus HMI

SCADA MES

OPC data server

EAI

Dynamic synchronization S95/OAGIS standard PLC Remote I/O Fieldbus HMI

t

Fig. 42.5 Evolution of automated system architecture (CRM – customer relationship management, ERP – enterprise

resource planning, SCM – system configuration maintenance, MES – manufacturing execution system, OPC – online process control, PLC – programmable logic controller, SCADA – supervisory control and data acquisition, EAI – enterprise architecture interface, HMI – human machine interface, OAGIS – open applications group integration specification)

Part E 42.3

In order to maintain an acceptable quality of service, dependability should no longer be considered redundant, but should be integrated with production systems in order to be an asset in the business competitive environment. This leads to integration of additional monitoring functions with the classical control functions of an automated system in order to provide the system with the ability to reconfigure itself to continue some or all of its missions. The main idea is to avoid a complete shutdown of the system when a failure (with a consequent reduction in the productive potential of the system) occurs. Considering the system’s intrinsic flexibilities, the aim is to promote system reconfiguration using a reflex loop including:

742

Part E

Automation Management

Supervision On/Off

Action Cr_act

Fault processing

Command filters

Action

Control unit

Process

Part E 42.3

Cr_act

Control command

Sensors

Action

Er_act

Decisional unit



State of process

Error recovery

Fig. 42.6 Integrated control and monitoring systems (after [42.32])



Diagnosis is mandated to establish a causal connection between an observed symptom and the failure that occurred, its causes, and its consequences. This function involves failure localization to isolate the failure to a subarea of the system and/or devices, failure identification to precisely determine the causes that brought about the default, and prognosis to determine whether or not there are im-

mediate consequences of the failure on the plant’s future operation. Reconfiguration concerns reorganization of hardware and/or software of a control system to ensure production within a timeframe compatible with the specifications. This function involves decisionmaking activities to define the most appropriate control policy and operational activities to implement the reconfigured control actions.

Integration of monitoring [42.33, 34], diagnosis [42.35] or even prognosis into control for manufacturing systems have been widely explored for discrete-event systems (Fig. 42.6) and today provide material for identifying degradation or failure modes where control reconfiguration may be required [42.36]. Reconfiguration exploits the various flexibilities of the automated system (functional and/or material redundancies). In this way, it aims to satisfy fault-tolerance properties that characterize the ability of a system (often computer-based) to continue operating properly in the event of the failure of some of its components. One of the most important design techniques is replication – providing multiple identical instances of the same system or subsystem, directing tasks or requests to all of them in parallel, and choosing the correct result on the basis of a quorum – and redundancy – providing multiple identical instances of the same system and switching to one of the remaining instances in case of a failure. These techniques significantly increase system reliabil-

Business level Business Management processing

Technical management Technical information system

Shop floor level Control

Maintenance Field-bus Process

Fig. 42.7 Integrated control, maintenance, and technical management: layers of automation

Reliability, Maintainability, and Safety

42.3.2 Integrated Control, Maintenance, and Technical Management Systems Further developments of integrated control and monitoring systems have lead European projects in intelligent actuation and measurement [42.37–40] to demonstrate the benefit of integrating control, maintenance, and technical management (CMTM) activities [42.41]:



• •

To optimize control activities by exploiting the plant as efficiently as possible and taking into account real-time information about process status (device and function availability) provided by monitoring and maintenance activities To optimize the scheduling of the maintenance activities by taking into account production constraints and objectives To optimize, by technical management based on validated information, the operation phase by modifying control or maintenance procedures, tools, and materials

Applying this principle at the shop-floor level of the production system consists of integrating the operational activities of the CMM agents responsible for the plant and its lower-level interfaces with the system devices. They are also linked with the business level of the enterprise (enterprise resource planning, etc.) for business-to-manufacturing integration issues (manufacturing execution system (MES)). These oper-

ational activities are based on collaboration between human stakeholders and technical resources that support schedule management, quality management, etc., but also process management and maintenance management, which are more dependent on the e-Connectivity of the supporting devices. The expected integrated organization for shop-floor activities requires that information is made available for use by all the operational activities (MES or CMM). In this way, intelligence embedded in field devices (e.g., devices such as actuators, sensors, PLCs (programmable logic controllers), etc.) and digital communication provide a solution to an informational representation of the production process as efficiently as possible: the system provides the right information at the right time and at the right place. In other words, the closer the data representation (e.g., in an objectoriented system) to the physical and material flows, the better the semantics of its informational representation for integration purposes (Fig. 42.7). At the shop-floor level, local intelligence (software) allows distribution of information processing, information storage, and communication capabilities in field devices and adds to their classical roles new services related to monitoring, validation, evaluation, decision making, etc., with regard to their own operations (increased degree of autonomy) but also their application context (increased degree of component interaction).

42.3.3 Remote and e-Maintenance Modern production equipment (manufactured by original equipment manufacturers, OEMs) is highly specialized; for example, a semiconductor manufacturing plant may have over 200 specialized production stages and over 100 equipment suppliers. In a serial process of this type, all 200 steps must operate within specification to produce an operational semiconductor at the end of the line. This type of process requires extraordinarily high reliability (and availability) of the OEM production equipment. When such equipment must be taken out of service, it is not uncommon to incur production loss rates of over 100 000 $/h, and therefore accurate diagnosis and rapid repair of equipment are essential. Since the year 2000, OEMs have increasingly provided network-capable diagnostic interfaces to equipment, so that experts do not have to come to the site to make a diagnosis or repair, but can guide plant personnel in doing this, and can order and ship parts overnight. This is often termed e-Diagnostics, and is crucial to maintaining high availability of production

743

Part E 42.3

ity, and are often the only viable means of doing so. However, they are difficult to design and expensive to implement, and are therefore limited to critical parts of the system. While automation of these functions is obviously necessary for ensuring the best reactivity of the industrial production system to failure occurrence, it is nevertheless true that system stoppage is often performed by the human operator, who must act manually to put it back into a admissible state. This justifies the use of supervision and supervisory control and data-acquisition (SCADA) systems that help human operators for plant monitoring and decision-making related to the various corrective actions to be performed in order to get back to a normal functioning situation (reconfiguration, management of operating mode). Given the ever-increasing complexity of industrial processes, the burden itself tends to become difficult or even impossible. For these reasons, much research is aimed at developing and proposing solutions aimed at assisting the human operator in the phases of reconfiguration.

42.3 Operational Organization and Architecture for RMS

744

Part E

Automation Management

Part E 42.3

equipment. Using e-Diagnostics, a manufacturer may maintain remote service contracts with dozens of OEM suppliers to assure reliable operation of an entire production process. In some processes, where production equipment is subject to wear or usage that is predictably related, for example, to the number of parts produced, it is possible to forecast the need for inspection, repair, or periodic replacement of critical parts, a process called prognostics. Although some statistical methods for prognostics (such as Weibull analysis) are well known, the ability to accurately predict the need for service of an individual part is still not well developed, and is not yet widely accepted. One goal of this type of analysis is conditionbased maintenance (CBM), the practice of maintaining equipment based on its condition rather than on the basis of a fixed schedule [42.43]. Proactive maintenance is a new maintenance policy [42.44] based on prognostics, and improves on condition-based maintenance (CBM). CBM acquires real-time information in order to propose actions and to repair only when maintenance is necessary. CBM conBelgium

sists of equipment health monitoring to determine the equipment state; CBM is a kind of just-in-time maintenance. CBM is not able to predict the future state of equipment. The prognostic capability of the proactive maintenance is based on the history of the equipment operation, its current state, and its future operating conditions. The objective of proactive maintenance is to know if the system is able to accomplish its function for a given time (for example, until the next plant maintenance shutdown). Information from control systems (distributed or not), automation, data-acquisition systems, and sensors makes it possible to measure variables continuously in order to produce symptoms or indicators of malfunction, to acquire the number of cycles of production, the time of production, the energies consumed, etc., in order to correlate this information with the diagnosis and assess the probabilities of root cause. Based on these monitoring and diagnosis functions, proactive maintenance, thanks to prognosis, propagates the drift of system behavior through time, taking into account the future exploitation conditions. Based on this

Italy

Netherlands

Automation

Automation

Automation

Automation

Automation

Automation

DCS

DCS

DCS

DCS

DCS

DCS

Data record historian

Data record historian

Data record historian

On-demand

Offline

On-demand

Data record historian

DCS

Data record historian

Online Data concentrator “data hub”

Belgium

France On-demand

e-Diagnostic center

Investigation center

Fig. 42.8 Distributed e-Maintenance infrastructure in a power energy plant [42.42] (DCS – distributed control system)

Reliability, Maintainability, and Safety

e-Maintenance is not based on software functions but on maintenance services that are well-defined, selfcontained, and do not depend on the context or state of other services. So, with the advent of service-oriented architectures (SOA) and enterprise service-bus technologies [42.45], e-Maintenance platforms are easy to evolve and can provide interoperability, flexibility, adaptability, and agility. e-Maintenance platforms are a kind of hub for maintenance services based on existing, new, and future applications.

42.3.4 Industrial Applications Industrial software platforms have been developed during the 1990s in order to provide the proof of concept of this RMS modeling framework before marketing offthe-shelf products. The first applications have appeared since 2000 in various sectors such as power energy, steel factory, petrochemical process, navy logistics and maintenance support, nuclear fuel manufacturing and waste treatment, etc. A common objective of these multisector applications is to reduce operation costs by increasing the availability, maintainability, and reliability of plants and systems, and to facilitate their compliance with regulation laws. Another common objective is to elicit and save the implicit knowledge acquired by skilled operators as well as by skilled engineers when performing their tasks. Others objectives are specific to an industrial sector; for example, understanding complex phenomena to anticipate maintenance operations is critical to optimize the impact of shutdown and startup operations in process plants [42.46]. Return on investment is estimated to be at most 1 year from these industrial experiments, and leads to a distributed service-oriented e-Maintenance infrastructure to warrantee by contract a level of availability in plant operation (Fig. 42.8).

42.4 Challenges, Trends, and Open Issues All aspects of dependability such as reliability, maintainability, and safety should be viewed in a broader context depending on both management and technical processes within the enterprise system to ensure the necessary resilience to intrinsic and extrinsic complex phenomena occurring when systems are operating in changing environments. For example, MTBF is a measure of the random nature of an event and does not predict when something will fail but only predicts the probability that a system will fail within a certain time

745

boundary. Contrary to conventional wisdom, accidents often result from interactions between perfectly functioning components, i. e., before a system has reached its expected life as predicted by RMS analysis. Such considerations underscore that other advanced concepts are beyond traditional RMS analyses and the individual mind-set of each engineering discipline to cope with emergent behavior as one of the results of complexity. In other words, dependability assumes that cause–effect relationships can be ordered in known and

Part E 42.4

extrapolation, prognostics can be used to evaluate the time when the drift will exceed a threshold and to propose a time before next potential failure. In this way, proactive maintenance can optimize maintenance actions and planning in order to minimize production downtime. Proactive maintenance allows a maintenance action improvement (mean availability), to follow the degradation tendency (quality of service), to avoid the occurrence of dangerous situations (safety), and finally to support the operator with knowledge oriented to the degradation cause and effect (maintainability). e-Maintenance is an organizational point of view of maintenance. The concept of e-Maintenance comes from remote maintenance capabilities coupled with information and communication capabilities. Remote maintenance was first a concept of remote data acquisition or consultation. Data are accessible during a limited time. In order to realize e-Maintenance objectives data storage must be organized to allow flexible access to historical data. In order to improve remote maintenance, a new concept of e-Maintenance emerged at the end of the 1990s. The e-Maintenance concept integrates cooperation, collaboration, and knowledge-sharing capabilities in order to evolve the existing maintenance processes and to try to tend towards new enterprise concepts: extended enterprise, supply-chain management, lean maintenance, distributed support and expert centers, etc. Based on web technologies, the e-Maintenance concept is nowadays available and industrial e-Maintenance software platforms exist. e-Maintenance platforms (sometimes termed asset management systems) manage the whole of the maintenance processes throughout the system lifecycle from engineering, maintenance, logistic, experience feedback, maintenance knowledge capitalization, optimization, etc. to reengineering and revamping.

42.4 Challenges, Trends, and Open Issues

746

Part E

Automation Management

knowable ways, while resilience [42.47] should confine the contextual emergence of complex relationships within the system and between the system and its environment in unordered ways [42.48]. An initial challenge is to understand that the concept of system as the unique result of normal emergence within a collaborative systems engineering process leads to an ad hoc solution based on heuristics and normative process-driven guidelines [42.49]. A second challenge relies on weak emergence [42.50] to perceive, model, and check added behaviors

due to the interactions between the component systems. This should be led by extensive model-driven requirements analysis adding more details than current practices and complementary experiments such as multiagent simulation to track self-organizing patterns in order to improve component systems’ adaptability. A third open challenge deals with the quality of the engineering process to determine whether a system can survive a strongly emergent event, as well as the adaptability of the whole enterprise to come into play in facing an inevitable systemic instability.

Part E 42

References 42.1

42.2 42.3 42.4

42.5

42.6

42.7

42.8

42.9 42.10

42.11

42.12 42.13

T.L. Johnson: Improving automation software dependability: a role for formal methods?, Control Eng. Pract. 15(11), 1403–1415 (2007) J. Stark: Handbook of Manufacturing Automation and Integration (Auerbach, Boston 1989) R.S. Dorf, A. Kusiak: Handbook of Design, Manufacturing and Automation (Wiley, New York 1994) A. Ollero, G. Morel, P. Bernus, S.Y. Nof, J. Sasiadek, S. Boverie, H. Erbe, R. Goodall: From MEMS to enterprise systems, IFAC Annu. Rev. Control 26(2), 151–162 (2002) S.Y. Nof, G. Morel, L. Monostori, A. Molina, F. Filip: From plant and logistics control to multi-enterprise collaboration, IFAC Annu. Rev. Control 30(1), 55–68 (2006) G. Morel, P. Valckenaers, J.M. Faure, C.E. Pereira, C. Diedrich: Manufacturing plant control challenges and issues, IFAC Control Eng. Pract. 15(11), 1321–1331 (2007) A. Avizienis, J.C. Laprie, B. Randell, C. Landwehr: Basic Concepts and Taxonomy of Dependable and Secure Computing, IEEE Trans. Dependable Secur. Comput. 1(1), 11–33 (2004) S.E. Rigdon, A.P. Basu: Statistical Methods for the Reliability of Repairable Systems (Lavoisier, Paris 2000) J. Moubray: Reliability-Centered Maintenance (Industrial, New York 1997) A. Avizienis, J.C. Laprie, B. Randell: Fundamental concepts of dependability, LAAS Techn. Rep. 1145, 1–19 (2001), http://www.laas.fr J.W. Foster, D.T. Philips, T.R. Rogers: Reliability Availability and Maintainability: The Assurance Technologies Applied to the Procurement of Production Systems (MA Press, 1979) M. Pecht: Product Reliability, Maintainability and Supportability Handbook (CRC, New York 1995) H. Erbe: Technologies for cost-effective automation in manufacturing, IFAC Professional Briefs (2003) pp. 1–32

42.14

42.15

42.16

42.17 42.18 42.19

42.20

42.21

42.22 42.23 42.24 42.25

42.26

42.27

42.28

IEEE: IEEE Standard Computer Dictionary: A Compilation of IEEE Standard Computer Glossaries (IEEE, 1990), http://ieeexplore.ieee.org/xpls/abs_all.jsp? tp=&isnumber=4683&arnumber=182763& punumber=2267 D. Kumar, J. Crocker, J. Knezevic, M. El-Haram: Reliability, Maintenance and Logistic Support. A life Cycle Approach (Springer, Berlin, Heidelberg 2000) IEC 61508: Functional safety of electrical/electronic/ programmable electronic (E/E/PE) safety-related systems T. Nakagawa: Maintenance Theory of Reliability (Springer, London 2005) W.E. Deming: Out of the Crisis: For Industry, Government, Education (MIT Press, Cambridge 2000) C.G. Cassandras, S. Lafortune: Introduction to Discrete Event Systems (Kluwer Academic, Norwell 1999) F. Lhote, P. Chazelet, M. Dulmet: The extension of principles of cybernetics towards engineering and manufacturing, Annu. Rev. Control 23(1), 139–148 (1999) N. Viswanadham, Y. Narahari: Performance Modeling of Automated Manufacturing Systems (Prentice-Hall, Englewood Cliffs 1992) http://www.sei.cmu.edu/cmmi http://www.oooneida.info M.C. Paulk: How ISO 9001 compares with the CMM, IEEE Softw. 12(1), 74–83 (1995) K. Polzer: Ease of use in engineering – availability and safety during runtime, Autom. Technol. Pract. 1, 49–60 (2004) T. Shell: Systems functions implementation and behavioural modelling: system theoretic approach, Int. J. Syst. Eng. 4(1), 58–75 (2001) A. Moik: Engineering-related formal method for the development of safe industrial automation systems, Autom. Technol. Pract. 1, 45–53 (2003) E.M. Clarke, O. Grunberg, D.A. Peled: Model Checking (MIT Press, Cambridge 2000)

Reliability, Maintainability, and Safety

42.29 42.30

42.31

42.32

42.34

42.35

42.36

42.37

42.38 42.39 42.40 42.41

42.42 42.43 42.44

42.45

42.46

42.47 42.48

42.49 42.50

ESPRIT III-6188 PRIAM Pre-normative Requirements for Intelligent Actuation and Measurement ESPRIT III-6244 EIAMUG European Intelligent Actuation and Measurement User Group ESPRIT IV-23525 IAM-PILOT Intelligent Actuation and Measurement Pilot J.F. Pétin, B. Iung, G. Morel: Distributed intelligent actuation and measurement system within an integrated shop-floor organisation, Comput. Ind. J. 37, 197–211 (1998) http://www.predict.fr http://www.openoandm.org B. Iung, G. Morel, J.-B. Léger: Proactive maintenance strategy for harbour crane operation improvement, Robotica 21, 313–324 (2003) F.B. Vernadat: Interoperable enterprise systems: Principles, concepts and methods, IFAC Annu. Rev. Control. 31(1), 137–145 (2007) D. Galara: Roadmap to master the complexity of process operation to help operators improve safety, productivity and reduce environmental impact, Annu. Rev. Control 30, 215–222 (2006) http://www.resilience-engineering.org C.F. Kurtz, D.J. Snowden: The new dynamics of strategy: sense-making in a complex and complicated world, IBM Syst. J. 42(3), 462–483 (2003) ISO/IEC 15288, http://www.incose.org M. Bedau: Weak Emergence, Philosophical Perspectives: Mind, Causation and World, Vol. 11 (Blackwell, Oxford 1997)

747

Part E 42

42.33

J.R. Abrial: The B Book: Assigning Programs to Meanings (Cambridge Univ. Press, Cambridge 1996) T. Kim, D. Stringer-Calvert, S. Cha: Formal verification of functional properties of a SCR-style software requirements specification using PVS, Reliab. Eng. Syst. Saf. 87, 351–363 (2005) J. Yoo, T. Kim, S. Cha, J.-S. Lee, H.S. Son: A formal software requirements specification method for digital nuclear plant protection systems, Syst. Softw. 74(1), 73–83 (2005) S. Elkhattabi, D. Corbeel, J.C. Gentina: Integration of dependability in the conception of FMS, 7th IFAC Symp. on Inf. Control Probl. Manuf. Technol., Toronto (1992) pp. 169–174 R. Vogrig, P. Baracos, P. Lhoste, G. Morel, B. Salzemann: Flexible manufacturing shop, Manuf. Syst. 16(3), 43–55 (1987) E. Zamaï, A. Chaillet-Subias, M. Combacau: An architecture for control and monitoring of discrete events systems, Comput. Ind. 36(1–2), 95–100 (1998) A.K.A. Toguyeni, E. Craye, L. Sekhri: Study of the diagnosability of automated production systems based on functional graphs, Math. Comput. Simul. 70(5–6), 377–393 (2006) M.G. Mehrabi, A.G. Ulsoy, Y. Koren: Reconfigurable manufacturing systems: key to future manufacturing, J. Intell. Manuf. 11(4), 403–419 (2000) ESPRIT II-2172 DIAS Distributed Intelligent Actuators and Sensors

References

“This page left intentionally blank.”

749

Product Lifecy 43. Product Lifecycle Management and Embedded Information Devices

Dimitris Kiritsis

43.1 The Concept of Closed-Loop PLM ............ 749 43.2 The Components of a Closed-Loop PLM System ................. 43.2.1 Product Embedded Information Device (PEID)................................ 43.2.2 Middleware ................................. 43.2.3 Decision Support System (DSS)........ 43.2.4 Product Knowledge and Management System (PDKM) ... 43.3 A Development Guide for Your Closed-Loop PLM Solution ......... 43.3.1 Modeling .................................... 43.3.2 Selection of PEID System................ 43.3.3 Data and Data Flow Definition ....... 43.3.4 PDKM, DSS and Middleware ...........

751 751 753 753 754 755 755 756 758 759

43.4 Closed-Loop PLM Application ................. 761 43.4.1 ELV Information and PEID Technology..................... 762 43.4.2 Decision Flow .............................. 762 43.5 Emerging Trends and Open Challenges ... 763 References .................................................. 764

end-of-life (EOL) of vehicles (ELV). Finally, Sect. 43.5 discusses some challenging issues and emerging trends in the implementation of closed-loop PLM.

43.1 The Concept of Closed-Loop PLM Product lifecycle management (PLM) is a new strategic approach to manage product-related information efficiently over the whole product lifecycle. Conceived as an extension to product data management (PDM), its vision is to provide more product-related information to the extended enterprise over the whole product lifecycle. Its concept appeared in the late 1990s, moving

beyond the engineering aspects of a product and providing a shared platform for creation, organization, and dissemination of product-related knowledge across the extended enterprise [43.1]. PLM facilitates the innovation of enterprise operations by integrating people, processes, business systems, and information throughout product lifecycle and across extended enterprise. It

Part E 43

The closed-loop product lifecycle management (PLM) system focuses on tracking and managing the information of the whole product lifecycle, with possible feedback of information to product lifecycle phases. It provides opportunities to reduce the inefficiency of lifecycle operations and gain competitiveness. Thanks to the advent of hardware and software related to product identification technologies, e.g., radiofrequency identification (RFID) technology, recently closedloop PLM has been highlighted as a tool of companies to enhance the performance of their business models. However, implementing the PLM system requires a high level of coordination and integration. In this chapter we present the background methodologies and techniques and the main components for closed-loop PLM and how they are related to each other. We start with the concept of closed-loop PLM and a system architecture in Sect. 43.1. In Sect. 43.2 we describe the necessary components for closed-loop PLM and how to integrate and coordinate them with respect to business models, hardware, and software. In Sect. 43.3 we propose a development guide based on experiences gathered from prototype applications developed to date. In Sect. 43.4 we introduce a real case example that implements a closed-loop PLM solution focusing on

750

Part E

Automation Management

Part E 43.1

aims to derive the advantages of horizontally connecting functional silos in organizations, enhancing information sharing, efficient change management, use of past knowledge, and so on [43.2]. To meet this end, a PLM system should be able to monitor the progress of a product at any stage in its lifecycle, to analyze issues that might arise at any product lifecycle phase, to make suitable decisions to address problems, and to execute and enforce these decisions. In spite of its vision, PLM as defined above has not received much attention so far from industry because there are no efficient tools to gather product data over the entire product lifecycle. However, recent applications of product identification technologies in various PLM aspects [43.3–9] demonstrate that a sound technological framework is now available for PLM to implement its vision. Product identification technologies enable products to have embedded information devices (e.g., RFID tags and onboard computers), which makes it possible to gather the whole lifecycle data of products at any time and at any place. A new generation of PLM systems based on product identification technologies will make the whole product lifecycle totally visible and will allow all actors involved in the product lifecycle to access, manage, and control product-related information, especially information after product delivery to customers and up to its final destiny, without temporal or spatial constraints. During the whole product lifecycle, we can now have visibility of not only forward but also backward information flow; for example, beginning of life (BOL) information related to product design and production can be used to streamline operations of middle of life (MOL) and end of life (EOL). Furthermore, MOL and EOL information can also go back to designers and production engineers for the improvement of BOL decisions. This indicates that information flow is horizontally closed over the whole product lifecycle. In addition, based on data gathered by product embedded information devices (PEID), we can analyze productrelated information and take some decisions on the behavior of products, which will affect data gathering again [43.10]. This means that information flow is also vertically closed. We call this concept and relevant systems the closed-loop PLM. The concept of closed-loop PLM can be defined as follows: a strategic business approach for the effective management of product lifecycle activities by using product data/information/knowledge which can compensate PLM to realize product lifecycle optimization dynamically in closed loops with the support of PEIDs and product data and knowledge management (PDKM) system.

The objective of closed-loop PLM is to optimize the performance of product lifecycle operations over the whole product lifecycle, based on seamless product information flow through a local wireless network of PEIDs and associated devices and through remote Internet connection [43.11] to knowledge repositories in PDKM. In addition to PEIDs, sensors can be built in products and linked to PEIDs for gathering status data [43.12]. During product lifecycle, each lifecycle actor can have access to PEIDs locally with PEID controllers (e.g., RFID readers) or to a remote PLM system for getting necessary information. Furthermore, in closed-loop PLM, decision support systems (DSS) integrated to PDKM systems may provide lifecycle actors with suitable advice or decision support at any time. In the closed-loop PLM, all business activities performed along the product lifecycle must be coordinated and efficiently managed. Although there are a lot of information flows and interorganizational workflows, the business operations in closed-loop PLM are based on the interactions among three organizations: the PLM agent, PLM system, and product. The PLM agent can gather product lifecycle information quickly from each product with a mobile device such as a personal digital assistant (PDA) or a fixed reader with built-in antenna. He sends information gathered at each site (e.g., retail sites, distribution sites, and disposal plants) to a PLM system, as illustrated in Fig. 43.1. A PLM system provides lifecycle information or knowledge generated by PLM agents through product lifecycle activities realized through the three main product lifecycle phases: BOL, MOL, and EOL. BOL is the phase where the product concept is generated and subsequently physically realized. In the closed-loop PLM, designers and production engineers will receive feedback about detailed product information from distributors, maintenance/service engineers, customers or remanufacturers on product status, product usage, product service, conditions of retirement, and disposal of their products. The feedback information is extremely valuable for product design and production because designers and production engineers are able to exploit expertise and knowhow of other actors in the product lifecycle. Hence, closed-loop PLM can improve the quality of product design and the efficiency of production. MOL is the phase where products are distributed, used, maintained, and serviced by customers or engineers. In the closed-loop PLM, a PEID can log the product history related to distributing routes, usage conditions, failure, maintenance or service events, and

Product Lifecycle Management and Embedded Information Devices

43.2 The Components of a Closed-Loop PLM System

751

PLM experts PDKM (product data and knowledge management)

PEID (Product embedded information device) Necessary functions • Data processing • Memory • Power unit • Communication unit • Sensor reading unit • Sensor

Product

Data & info.

PLM system Data & info. Data & info.

Combinations of the following • Sensor • RFID tag • Onboard computer • Etc.

Data & info.

Data & info. Data & info. request

PLM agent

Fig. 43.1 Basic framework for PEID applications in PLM

so on. This information is later gathered into a PLM system for analysis and sharing. Thus, during MOL, an up-to-date report about the status of products and real-time assistance can be obtained from this system through the Internet or wireless mobile technology. Based on these feedbacks, predictive maintenance can be done by maintenance engineers [43.13]. Furthermore, optimizing logistics operations for maintenance and service can be facilitated. EOL is the phase where EOL products are collected, disassembled, refurbished, recycled, reassem-

bled, reused or disposed. It can be said that EOL starts from the time when the product no longer satisfies an initial purchaser [43.14]. In the closed-loop PLM, the use of PEIDs can greatly increase the effectiveness of EOL management; for example, material recycling can be significantly improved because recyclers and reusers can obtain accurate information about valuable parts and materials arriving via EOL routes: what materials they contain, who manufactured them, and other knowledge that facilitates material reuse [43.15].

43.2 The Components of a Closed-Loop PLM System The components of a closed-loop PLM system and their relations are presented in the five layers of the system architecture schema shown in Fig. 43.2 [43.16]. These layers are mainly classified into business process, software, and hardware. PEID is an important hardware component for facilitating the closed-loop PLM concept. Furthermore, software related to applications and middleware layers, and their interfaces play important roles in closed-loop PLM. Following are some more details about the components of whole

closed-loop PLM system: PEID, middleware, DSS, and PDKM.

43.2.1 Product Embedded Information Device (PEID) PEID stands for product embedded information device. It is defined as a device embedded in (or attached to) a product, which contains information about the product [e.g., product identity (ID), and which is able to

Part E 43.2

PEID controller • PDA • Fixed reader with built-in antenna • Etc.

752

Part E

Automation Management

Business process

Applications

Middleware

Adaptive production

Design for X

Preventive maintenance

Decision making

PEID management

Knowledge management

Semantic enrichment

Dispatching

Effective recycling

Analytics

Notifications

Read/write

Embedded systems

RFID

PEID

Tractor

Products

Tracking & tracing

Locomotive

Milling machine

Part E 43.2

Fig. 43.2 Overall system architecture for closed-loop PLM

provide the information whenever requested by external systems during the product lifecycle. There are various kinds of information devices built in products to gather and manage product information, for example, various types of RFID tags and onboard computers. A PEID has a unique ID and provides data gathering, processing, and data-storage functions. Power management of a PEID is important to allow it to provide its functionality along the product lifecycle. Particular

attention is obviously paid to the data gathering function. Over the last decade, a lot of sensor technologies have been developed to gather environmental status data of products related to mechanical, thermal, electrical, magnetic, radiant, and chemical data [43.12, 17]. These sensor technologies can be incorporated into the PEID to gather the history of product status with the data gathering function. Eventually, these functions enable a PEID to gather data from several sensors, to retain or

Power management

Power unit

Data gathering

Sensor reading unit

Data processing/diagnosis PEID

Data storing Product identification

Processor & firmware Passive RFID

Active RFID

Onboard com.

Memory EPC

Short-range communication

Radio wave

Long-range communication

Internet/GSM/GPRS/ mobile phone

Fig. 43.3 PEID functions and types (EPC – electronic product code, GSM – global system for mobile communications, GPRS – general packet radio service)

Product Lifecycle Management and Embedded Information Devices

43.2 The Components of a Closed-Loop PLM System

753

PDKM (product data and knowledge management)/other applications Web services PROMISE middleware

ISC (intersystem communication) Web services RHL (request handling layer) DHL (device handling layer)

UPnP Core PEID access container Active tags

Onboard Other computer embedded systems

Content

Content

Content

Content

Fig. 43.4 Middleware architecture (UPnP – universal plug and play)

store them, and if necessary to analyze them or support associated decision making. In addition, it should have a communication function with external environments for exchanging data. For this, a PEID should have a processing unit, communication unit, sensor reader, data processor, and memory. Depending on the combinations of these functions, the PEID has several types such as passive RFID tag, active RFID tag, and onboard computer. In particular the manufacturing cost of the PEID is greatly affected by power management and data function specification. Hence, the PEID should be carefully designed considering application characteristics. The overall architecture of PEID is depicted in Fig. 43.3.

43.2.2 Middleware Middleware can be considered as intermediate software between different applications. Developing middleware is one of the most challenging areas in the closed-loop PLM since it is the core technology to efficiently gather and distribute PEID data. It plays a role as the interface between different software layers, e.g., between PEIDs and PDKM, as shown in Fig. 43.4. It is used to support complex and distributed applications, e.g., applications between RFID tags and business information systems, to communicate, coordinate, and manage data by converting the data in a proper way. In the closed-loop PLM, it has a role to map the low-level

data gathered from PEID readers to more meaningful data of other high-level application such as field DB/PDKM and PLM business applications. There are several issues to be resolved: data security, consistency, synchronization of data, tracking and tracing, exception handling, and so on. Figure 43.4 shows the overall architecture of the middleware developed in the PROMISE (product lifecycle management and information tracking using smart embedded systems) project (www.promise-plm.com).

43.2.3 Decision Support System (DSS) Decision support system (DSS) software provides lifecycle actors with the ability to transform gathered data into necessary information and knowledge for specific applications. To this end, diagnosis/analysis tools for gathered data and data transformer are required. There are a lot of decision support areas which are highlighted in the closed-loop PLM, mainly transforming lifecycle information of other lifecycle phases into streamlining current lifecycle operations; for example, main areas in decision support for MOL include assisting efficient maintenance diagnosis and prognosis, whereas in EOL this includes efficient waste management. Figure 43.5 shows the overall architecture of the middleware developed in the PROMISE project (see also Chap. 87 on Decision Support Systems).

Part E 43.2

Passive tags

754

Part E

Automation Management

Client tier

Browser

Email

SMS

Web container JSP

Daemon

Servlet

Application layer

Web service interface

Controller

Knowl. mgmt action

Decommiss. action

Pred. maint action

Middle tier Calculate age Java Bean

...

Data layer (hibernate framework)

Data manager

ECUI Java class

Part E 43.2

Enterprise information system tier

Ext. Java class libraries (e.g. MATH)

...

IMPTT Java class

PDKM database (MaxDB)

Fig. 43.5 Decision support system architecture (JSP – JavaServer pages)

43.2.4 Product Knowledge and Management System (PDKM) The PDKM manages information and knowledge generated during the product lifecycle. It is generally linked

with decision support systems and data transformation software. PDKM is a process and the associated technology to acquire, store, share, and secure understandings, insights, and core distinctions. PDKM should link not only product design and development such

PDKM portal (iViews deployed in SAP enterprise portal) Basic functions

Special functions g adcfof de lp hu

Diagrams

Product Documents Structure data navigation

Search

37 days Field data management

Knowledge Notifications/ management events

Back-end system (extended mySAP PLM) • • • • • • •

Product data management Field data and lifecycle management Document and incident management Configuration management (as-designed, as-built, as-maintained, ...) Provision of data to DSS Management of DSS results ...

Fig. 43.6 PDKM architecture

DSS results

Product Lifecycle Management and Embedded Information Devices

as computer-aided design/manufacture (CAD/CAM) but also other back-end software to achieve interoperability of all activities that affect a product and

43.3 A Development Guide for Your Closed-Loop PLM Solution

755

its lifecycle. Figure 43.6 shows the overall architecture of the PDKM developed in the PROMISE project.

43.3 A Development Guide for Your Closed-Loop PLM Solution In this section we describe the main elements of the development of a closed-loop PLM solution: modeling, selection of PEID system, data and data flow definition, PDKM, DSS and middleware.

43.3.1 Modeling PLM has specific objectives at each phase of the lifecycle: BOL, MOL or EOL; for example, at BOL, improving product design and production quality are main concerns. During MOL, improving reliability,

availability, and maintainability of products are the most interesting issues. In EOL, optimizing EOL product recovery operations is one of the most challenging issues. It is advisable to begin the development of a closedloop PLM solution by modeling the various characteristics of the solutions we want to develop. If, for example, we consider the EOL phase of a product, a use-case diagram such as the one shown in Fig. 43.7 below will help to identify the main actors and activities of the solution. This model shows how a PLM system,

Part E 43.3

RFID application in EOL Updating PEID PLM system

Analyzing data Managing information and knowledge

Gathering, storing, and transmitting data

Dismantler



Product (with PEID) Filtering data

Sending feedback information

Supporting EOL decision Remanufacturer

Logistics engineer Product designer

Fig. 43.7 Use case for PEID application at EOL

EOL product expert

756

Part E

Automation Management

Part E 43.3

using PEID technology, can gather accurate data related to product lifecycle history at the collecting and dismantling phase of EOL products, e.g., which components they consist of, what materials they contain, who manufactured them, and other data that facilitate reuse of materials, components, and parts. Based on gathered data, EOL product experts in the PLM system can predict degradation status and remaining lifetime of parts or components. With this information, at the inspection phase, the dismantler can implement EOL product recovery optimization, in other words, deciding on suitable EOL recovery options such as recycle, reuse, remanufacturing, and disposal, with the objective of maximizing values of EOL products considering product status. This decision also provides useful information to remanufacturers for making an efficient remanufacturing plan in advance. Furthermore, logistics engineers can improve logistics at EOL (reverse logistics) from collecting to remanufacturing, reuse or disposal. They can obtain supply volume data for recycle, reuse, remanufacturing, and disposal products in advance from the EOL decision. In addition, EOL product recovery decision data and product status at EOL dismantling can give useful information to product designers for improving product design with several purposes, e.g., design for reliability, reuse, recycle, and so on. The next step of modeling concerns the process and events of the solution. This is well achieved with a swim-lane chart. Figure 43.8 below shows the swimlane chart of closed-loop application at EOL, mainly focusing on EOL product recovery optimization. In this application, at first, the EOL collector gathers products that have lost their values. Then, the EOL dismantler inspects collected products visually. As a result, products can be simply classified into two parts: disposal, and disassembly for more detailed inspections. In disassembly, the concerned components or parts will be inspected in detail and sorted into several EOL options based on some criteria. During the inspection and sorting process, if necessary, the dismantler accesses PEIDs of the parts or components concerned to gather necessary data for inspecting and sorting the EOL products. To sort EOL products in a systematic way, the EOL dismantler asks for EOL decision support from the PLM system. EOL product experts in the PLM system estimate the remaining value of the parts or components concerned, based on accumulated data, information, and knowledge at PDKM in the PLM. Based on the estimated remaining values and other information such as costs and benefits of recycle, reuse,

remanufacture, disposal, and so on, EOL product experts decide on an adequate EOL option for each part or component, i. e., which parts or components should be recycled, reused, remanufactured or disposed, under some constraints related to environmental regulation and product quality. This information will be stored in the PDKM and transmitted to dismantlers. If necessary, product designer and logistics engineer receive this information from the PLM system to improve their operations. Based on the proposed EOL decision, dismantlers sort the parts or components. When the EOL dismantler sorts products, depending on the sorting results of EOL products, operations related to PEIDs may be different. They may be removed and replaced with new ones; or its data contents can be reset or updated without replacement for the second life of parts or components; for example, in the recycle case, PEIDs will usually be detached from products. Then, recyclable products will be sent to specific lots that have similar materials features. For each lot, a new PEID will be used for its management. Each lot will be sent to recycling companies. In the reuse case, after quality data of parts or components are updated to an existing PEID, products will be sent to a remanufacturing site or second market. In the remanufacturing case, after required information for remanufacturing such as current quality data, required quality data, product specification, and production instruction are updated, products will be sent to remanufacturing sites. In the case of disposal, after updating disposal-relevant data to each PEID and PLM system by disposal engineers, products are sent to disposal companies.

43.3.2 Selection of PEID System Table 43.1 shows the basic functions and their corresponding components of a PEID with its specifications. Here, PEIDs can be classified into four types by their functions and specifications. Type A is for simple applications. It contains only its own identification function. For this, it has a small-sized read-only microchip that includes its own ID, configuration parameters, and simple logics programmed for a specific application. A 1 bit transponder or simple passive RFID tag is included in this type. It does not need any power to transmit data to a PEID controller. It can be detected by a PEID controller automatically when it goes into the interrogation zone. Hence, it does not need any battery. When lifecycle actors just want to read a small amount of product identification data without

Product Lifecycle Management and Embedded Information Devices

43.3 A Development Guide for Your Closed-Loop PLM Solution

757

RFID application scenario in EOL (EOL product recovery optimization) Product designer

Logistics engineer

Product

Dismantler

PLM system

EOL product expert

Remanufacturer

Reading PEID with PEID controller - Access authority data

Transmitting product lifecycle data

Data gathering

- Product EOL data

Filtering out Unusual data Transmitting product lifecycle data

Gathering EOL product data

- Product status data

Part E 43.3

Requesting EOL data analysis

- Product usage status - Product mission profile - Product specification -Degradation pattern

Estimating remaining value

Building up information and knowledge

- Current product status

Data analyzing

- Environmental hazard data - Ease of disassembly

Making decision for best EOL recovery

- Best EOL recovery solution

- Best EOL recovery solution

Transmitting updated information

- Best EOL recovery solution

Feedback and taking action

Design for reliability

Making logistic planning

Sorting EOL product

- Best EOL recovery solution - EOL recovery result

- Reuse and recycle rate

Design for EOL

Fig. 43.8 EOL swim-lane chart

Replacing existing PEID with new one Updating data of PEID

Making remanufacturing plan

758

Part E

Automation Management

Table 43.1 Classification of PEIDs (filled bullet: high capacity, empty bullet: low capacity) (LF – low frequency, HF – high frequency, UHF – ultra high frequency) Our classification

Type A (1 bit transponder)

Type B (passive or semipassive type with memory)

Type C (active type with memory and sensor)

Type D (device for smart product)

Product identification (simple serial number in built-in chip) Sensing (sensor) Data processing (microprocessor) Data storage (memory) Power management (battery) Communication (communication module) Specification









– – – – –

– ◦ • ◦ –

• ◦ • • –

• • • • •

Memory type (ROa , WORMb , RWc ) Reading distance (Ld , Me , Hf ) Data rate (L, M, H) Processing ability (L, M, H) Frequency of operation (LF, HF, UHF) Application level

RO L, M L – LF, HF

WORM, RW M L, M L LF, HF

RW M, H M, H L, M HF, UHF

RW M, H M, H M, H HF, UHF

Component/ item level

Component/ item or lot level

Assembly level or lot level

Product level

Category Function (corresponding component)

Part E 43.3

a

Read only, b Write once and read many, c Read/write, d up to 1 cm, e Up to 1 m, f Over 1 m (L = low, M = medium, H = high)

storing additional data to a product itself during product lifecycle, this type of PEID is suitable. Compared with type A, type B has additionally storage capability. Hence, it enables storage of necessary data in a product itself during its lifecycle. In other words, a PEID controller can update new data or information to this type of PEID, if necessary. This requires a read/write type of memory. Depending on applications, some may need processing ability to filter gathered data. Furthermore, some may need a battery because data storage requires a large amount of power. To keep not only static but also dynamic data about products (but a small amount, such as product history data within a product itself) this type is preferable. A semipassive or active tag can be used for this type of application. This type can be used in production lines or warehouses, or in supply chains for item management applications, e.g., checking item status, classifying items, tracing item history, and so on. Type C has sensing and power management functions to gather environmental data of a product, in addition to the specifications of type B. Sensors can be installed into a RFID tag or separately, independent of a RFID tag. It should have its own battery since sen-

sors require a large amount of power. It may also have a communication module, depending on the application. Through the communication module, it is able to transmit gathered data from sensors to back-end systems by itself. Its size is larger and its reading distance is longer than those of the previously described types. Predictive maintenance domain is a major application of this type of device. Depending on the types of sensors used, the application areas are huge, from food to machinery products. Type D is the most complex PEID, which has additionally communication and processing ability. It can keep some amount of product status data gathered from sensors in its own memory. Furthermore, it can analyze gathered data and make some decisional processes based on them autonomously. This reduces the amount of data to be handled by the back-end systems. In addition, it can communicate with a PLM system directly without the help of PLM agents.

43.3.3 Data and Data Flow Definition Table 43.2 describes the main data in several information flows in PLM.

Product Lifecycle Management and Embedded Information Devices

43.3 A Development Guide for Your Closed-Loop PLM Solution

759

Table 43.2 Main data of information flows in PLM

Category

Main data

BOL to MOL

BOM information

Product ID, product structure, part ID, component ID product/part/component design specification, etc. Spare part ID list, price of spare part, maintenance/service instructions, etc. Assemble/disassemble instruction, production specifications production history data, production routing data, production plan, inventory status, etc. Material information, BOM, part/component cost, disassemble instruction, assembly information for remanufacturing, etc. Production date, lot ID, production location, etc. Number of breakdowns, parts/components’ IDs in problem, installed date, maintenance engineers’ IDs, list of replaced parts, aging statistics after substitution, maintenance cost, etc. Degree of quality of each component, performance definition, etc. Usage condition (e.g., average humidity, internal/external temperature), user mission profile, usage time, etc. Updated BOM by repairing or changing parts and components, etc. Ease of maintenance/service, reliability problems, maintenance date, frequency of maintenance, MTBF1 , MTTR2 , failure rate, critical component list, root causes, etc. Customer complaints, customer profiles, response, etc.

Information for maintenance/ service Production information

BOL to EOL

Product information

MOL to EOL

Production information Maintenance history information

Product status information Usage environment information Updated BOM MOL to BOL

Maintenance and failure information for design improvement Technical customer support information Usage environment information

EOL to MOL EOL to BOL

Recycling/reusing part or component information EOL product status information Dismantling information Environmental effects information

1

Usage condition (e.g., average humidity, internal/external temperature), user mission profile, usage time, etc. Reuse part or component, remanufacturing information, quality of remanufacturing part or component, etc. Product/part/component lifetime, recycling/reuse rate of each component or part, etc. Ease to disassemble, reuse or recycling value, disassembly cost, remanufacturing cost, disposal cost, etc. Material recycle rate, environmental hazard information, etc.

Mean time between failures, 2 Mean time to repair

43.3.4 PDKM, DSS and Middleware PLM has emerged as an enterprise solution. Thus, all software tools/systems/databases used by various departments and suppliers throughout the whole

product lifecycle have to be integrated so that the information contained in their systems can be shared promptly and correctly between people and applications [43.2]. Hence, it is important to understand how application software in a PLM fits with others

Part E 43.3

Information flow

760

Part E

Automation Management

Table 43.3 Functions and specifications of main software components

Classification Function

Middleware

PDKM

DSS

• Request-driven reading • Event-driven reading • Filter data • Data transformation • Write • PEID management • Service management • Data transition

• Document management • Field data management • User requirement

• Making decision • Decision support • Data analysis

management

• Data transformation • Communication requirement

and transformation

management

• Information requirement management

Specification

• Location (within product,

• Location • Main user • Types of knowledge

outside of product) • Reading distance

Part E 43.3

• Reading rate • Data format • Interface protocol with PEID • Type of controller

management

• Data format • Amount of data

• Location • Purpose of decision support • Decision-maker • Data format • Expected output type • Types of DSS • Types of decision model Fig. 43.9 Software architecture for closed-loop PLM

PLM users

Information/ knowledge

Back-end software Decision support

Middleware

Information/knowledge for decision support

PDKM

New information/ knowledge

Domain experts

Experience Information

Diagnosis/ analysis tools

Data transformer

Decision support

Information Categorized data

Database Data Raw data

PEIDs attached to products

in order to manage product information and operations [43.18]. For this, a software architecture is required. Software architecture is the high-level struc-

ture of a software system concerned with how to design software components and make them work together.

Product Lifecycle Management and Embedded Information Devices

community. The configuration of the DB should be determined considering a trade-off between cost and efficiency of data management, which is different for each case. PDKM, decision support, and middleware software components must be designed and implemented according to their description in Sect. 43.2. Finally, back-end software can be defined as the part of a software system that processes the input from the front-end system that interacts with the user. These usually involve legacy systems of an enterprise, e.g., enterprise resource planning (ERP), supply chain management (SCM), and customer relationship management (CRM). The back-end software will support PLM users in implementing several business processes (see Chap. 90 on Business Process Automation: CRM, SM and ERP). Table 43.3 presents the functions and specifications of the main software components such as middleware, PDKM, and DSS.

43.4 Closed-Loop PLM Application The domain of application presented here is the end-of-life (EOL) phase of the product lifecycle. It specifically deals with the take back of end-of-life vehicles (ELVs) by dismantlers so that they can be reprocessed: this strategy allows for both the feedback of vital information (design information, usage statistics on components, etc.), and the materials/components themselves to the beginning-of-life (BOL) stage of the product lifecycle; as well as the take back of selected components into the middle-of-life (MOL) phase of the product lifecycle as secondhand parts. This industrial application was developed and implemented by the Research Center of Fiat (CRF) and its partners in PROMISE, and is reported in detail in [43.19] and in the case study description A1 in [43.20]. It focuses specifically on the dismantler and the operations performed to achieve the correct removal decision [i. e., removal for reuse (BOL or MOL), removal for remanufacturing (BOL), disposal, etc.]; and the correct categorization and analysis of various environmental usage statistics associated with specific components from the ELV. The dismantler decides on the ELV’s recycling/recovery path and converts the ELV into components for reuse, remanufacturing or recycling. The dismantler’s role is critical for returning ELV compo-

nents and information from EOL to BOL. He retrieves from external databases the list of standard components to be removed from the car and checks if the components in the onboard diary are included in the standard list. At the same time he retrieves models (algorithms and costs) and thresholds from PDKM in order to compute wear-out level for each component and analyze the economic value of parts. List of component to be removed (in descending order of worth reusing score)

Alternator Suspension Steering Starting engine Inj. pump Clutch Battery Gearbox Engine Catalyst silencer Air cond. compress.

Alternator was replaced 2 weeks ago. It does not need remanufacturing/reworking

Recycling compulsory due to legal constraints

Reuse not convenient

0

2

4

Fig. 43.10 List of EOL components of a car

6

761

Part E 43.4

Figure 43.9 shows a software architecture for closed-loop PLM. It takes a vertical approach in the sense that its structure represents a hierarchy of software of closed-loop PLM, from gathering raw data to business applications. Embedded software (called firmware) built into PEIDs plays the role of controlling and managing PEID data. The embedded software can have the ability to filter raw data gathered by various sensors, if necessary (this function can also be done in middleware). This can resolve the problem of memory size in PEIDs by removing duplicate and unnecessary data. Furthermore, firmware can do simple analyses based on the gathered data, or this function can also be implemented in other parts such as middleware, diagnosis, and analysis tools, and PDKM. Database (DB) software is required to store processed data and manage them efficiently. A DB can be distributed or located on a central server. Regarding the format of the database, relational and object-oriented databases have been considered in the relevant research

43.4 Closed-Loop PLM Application

8

762

Part E

Automation Management

In particular he decides which parts should be removed from the vehicle, how to recover (reuse or remanufacture) the removed parts, to which customers the parts should be delivered, and where to store the parts. In the first stage, the system generates a bill of materials (BOM) of the car automatically based on the car model or identity number inputted in the background database; this BOM is used as the basis for developing a list of potentially valuable parts to remove, which also takes into account the requirements of legislation. Using the dealer back-end system, the list of components to be removed from the car is computed. An example is shown in Fig. 43.10.

43.4.1 ELV Information and PEID Technology

Part E 43.4

In order to make these decisions properly and accurately, large amounts of information are required by the ELV dismantlers, which may be classified into six categories: (1) (2) (3) (4) (5) (6)

Product-related information Location-related information Utilization-related information Legislative information Market information Process information

Generally the information in categories (1), (4), and (6) above is relatively easy to acquire, because the product-related information is usually obtained from the automotive producers and from other relatively static legislative bodies. However, current information systems cannot give more detailed specifications, such as usage statistics and the environmental conditions under which the ELV was used; this information can only be obtained from the ELV itself, a situation which, until recently, was only deemed to be resolvable by an experienced dismantler that could use their subjective judgement to make decisions about the present ELV based upon their knowledge of past ELVs. Naturally, this was seen as an unsatisfactory situation: the relevant knowledge required was subjective and qualitative, and, more important, linked to the personality of the dismantler. It was difficult to write down or communicate in numeric terms, and so was deemed difficult to regulate properly. PEID technology, used as an enabler of PLM, can help to remove many of the unsatisfactory elements of

the dismantling problem. By exploiting the capabilities of PEIDs, sensors embedded in particular vehicle components can collect and record relevant information about the vehicle’s lifecycle, including production, usage, maintenance, and dismantling data. The dismantlers only need to read the data from the ELVs’ PEID system, and can thereby obtain all the required locationand utilization-related information. Thus, the solution suggested here removes the qualitative, subjective elements of the dismantling problem by emphasizing the use of a PEID technology infrastructure that cumulatively develops an information store of usage statistics as the ELV moves through its product lifecycle. More importantly, the use of PEIDs allows developers to remove the decision making at EOL from the experienced dismantler’s hands, and allows an EOLdedicated DSS to be developed based upon numeric usage statistics from the PEIDs in the ELV.

43.4.2 Decision Flow The decision support for ELVs consists of two webbased process stages (Fig. 43.11). In the first stage, (1) remove decision, the system automatically generates a bill of materials (BOM) of the car based on the car model or identity number inputted and the background database; this BOM is used as the basis for developing a list of potentially valuable parts to remove, which also takes into account the requirements of legislation [that is, if there are hazardous parts, such as batteries, that must be removed by European Union (EU) law, whether valuable or not]. Once this list of parts to be removed has been generated, the user moves into the second part of the web interface: (2) recovery path, to determine what on the projected list of car parts should actually be removed and what should not be removed owing to actual damage, abnormal wear and tear or other factors that reduce the parts’ value. There are two key removal decisions involved at this point for each part in the ELV under consideration: (1) Remove part from the vehicle for further treatment or (2) Leave part on the vehicle to be shredded If a part is (1) removed, it is because it is worth it: the quality of the part, the cost of labor to remove, the market conditions, and the present stock levels are assessed; if the part passes all of these thresholds then it is removed. If a part is (2) left on the ELV, it is because it is not worth removing: the value of the part does not cover its quality, the cost to remove, or the market may

Product Lifecycle Management and Embedded Information Devices

43.5 Emerging Trends and Open Challenges

763

Make remove decision

1. Remove decision User login

N Choosing decision module

Input car model

List of BOM

Have decisions been made for all the components?

Choose the target components

Have data been entered for all the chosen components?

Y

List of remove parts

Y

Calculate recovery decisions

2. Recovery path Input car model

N

Calculate location decision

Modify recovery decisions

Modify customer's decision

Calculate customer's decision

Fig. 43.11 A schematic view of DSS for ELVs

be unfavorable or the dismantler overstocked; the part is left on the ELV to be shredded as base material for recycling. In the second stage, (2) recovery path in Fig. 43.11, the system assumes the recovery of a number of parts from the first stage and focuses on the recovery path required for these removed components. The two main recovery paths that any component can now take are: remanufacturing (i. e., retooling of a part to original quality levels; normally performed at the BOL phase) or reuse (i. e., use of the part in the secondary market; the part flow path is to the MOL if this is the case). Using the information derived from the PEIDs located on the recovered parts, the DSS can direct the user to the optimal recovery path for each of the re-

moved parts; this is performed by a set of algorithms that use the usage statistics on the PEIDs of the recovered parts to determine the correct recovery path for each component (the particular algorithms are not detailed as they are beyond the scope of this paper). Once the recovery method is issued, the system will cooperate with its back-end system to suggest a potential downstream customer and potential storage position for the component. Again, at each decision-making stage, the decision-maker has the authority to change the decision based on their judgement. When all of the decisions relating to the ELV are settled, the system records all the necessary information to the PDKM system, which is available to the BOL designers of the vehicle in question for examination.

43.5 Emerging Trends and Open Challenges Total management of the product lifecycle is critical to innovatively meet customer needs throughout its entire lifecycle without driving up costs, sacrificing quality or delaying product delivery. For this, it is necessary to develop a PLM system in which information flow is horizontally and vertically closed, i. e., closed-loop PLM.

The closed-loop PLM system provides opportunities to reduce the inefficiency of lifecycle operations and gain competitiveness. In this chapter, we have also discussed a system architecture for product lifecycle management where information flows are closed due to emerging product

Part E 43.5

List of recovery path

Enter PEID data for the chosen components

764

Part E

Automation Management

identification technology over the whole product lifecycle (closed-loop PLM). To gather product lifecycle data during all product lifecycle phases, the concept and architecture of PEID has been introduced. Furthermore, necessary software components and their relations have been addressed. The following is a list of issues to be resolved for implementing the closed-loop PLM concept:



• Part E 43

In the business model aspect, it is necessary to develop a good business model to apply the concept of closed-loop PLM for optimizing the profit of a company. For this, trade-off analysis for cost and effect are prerequisite. Depending on each case, partial implementation of closed-loop PLM may be costeffective. Regarding the PEID, it is necessary to develop a generic concept of a PEID that can be used over the whole product lifecycle. For this, however, first, the lifecycle of the PEID, including reuse, should





be modeled. Based on this design, suitable PEIDs should be designed, because the great bottleneck to deployment of PEID into business applications is their cost. In terms of middleware, it is a prerequisite to develop a method for managing and controlling enormous amounts of PEID event data. Methods for filtering huge amounts of event data and transforming them into meaningful information should be developed. Furthermore, PEID security and authority problems should be resolved. In terms of PDKM, it is a prerequisite to design the product lifecycle data schema for integrating all relevant data objects required in lifecycle operations.

Finally, the case studies developed so far in the PROMISE project show that the proposed concept can yield great benefit to product lifecycle optimization efforts.

References 43.1

43.2

43.3

43.4

43.5

43.6

43.7

43.8

F. Ameri, D. Dutta: Product life cycle management: needs, concepts and components, Technical Report (Product Lifecycle Management Development Consortium PLMDC-TR3-2004, 2004) M. Macchi, M. Garetti, S. Terzi: Using the PLM approach in the implementation of globally scaled manufacturing, Proc. Int. IMS Forum 2004: Global Challenges in Manufacturing (2004) H.B. Jun, D. Kiritsis, P. Xirouchakis: Closed-loop PLM. In: Advanced Manufacturing – An ICT and Systems Perspective, ed. by M. Taisch, K.-D. Thoben, M. Montorio (Taylor & Francis, London 2007) pp. 90–101 H.B Jun, J.H Shin, D. Kiritsis, P. Xirouchakis: System architecture for closed-loop product lifecycle management, Int. J. Comput. Integr. Manuf. 20(7), 684–698 (2007) H.B. Jun, J.H. Shin, Y.S. Kim, D. Kiritsis, P. Xirouchakis: A framework for RFID applications in product lifecycle management, Int. J. Comput. Integr. Manuf. (2007), DOI: 10.1080/09511920701501753 D. Kiritsis, A. Bufardi, P. Xirouchakis: Research issues on product life cycle management and information tracking using smart embedded systems, Adv. Eng. Inform. 17, 189–202 (2003) D. Kiritsis, A. Rolstadås: PROMISE – a closed-loop product life cycle management approach, Proc. IFIP 5.7 Adv. Prod. Manag. Syst.: Model. Implement. Integr. Enterp. (2005) A.K. Parlikad, D. McFarlane, E. Fleisch, S. Gross: The role of product identity in end-of-life decision

43.9

43.10

43.11

43.12

43.13

43.14

43.15 43.16

making, Technical Report (Auto-ID Center, Institute of Manufacturing, Cambridge 2003) M. Schneider: Radio frequency identification (RFID) technology and its application in the commercial construction industry, Technical Report (University of Kentucky, 2003) S.S. Chawathe, V. Krishnamurthy, S. Ramachandran, S. Sarma: Managing RFID data, Proc. 30th VLDB Conf. (2004) pp. 1189–1195 T. Nieva: Remote data acquisition of embedded systems using Internet technologies: a role based generic system specification. Ph.D. Thesis (EPFL, Lausanne 2001) Z. Gsottberger, X. Shi, G. Stromberg, T.F. Sturm, W. Weber: Embedding low-cost wireless sensors into universal plug and play environments, Proc. 1st Eur. Workshop Wirel. Sens. Netw. (EWSN 04) (2004) pp. 291–306 J. Lee, H. Qiu, J. Ni, D. Djurdjanovic: Infotronics technologies and predictive tools for next-generation maintenance systems, Proc. 11th Symp. Inf. Control Probl. Manuf. (Elsevier, 2004) C.M. Rose, A. Stevels, K. Ishii: A new approach to end-of-life design advisor (ELDA), Proc. 2000 IEEE Int. Symp. Electr. Environ. (ISEE 2000) (2000) PROMISE: PROMISE – integrated project: annex I – description of work, Project proposal (2004) G. Hackenbroich, Z. Nochta: A process oriented software architecture for product life cycle management, Proc. 18th Int. Conf. Prod. Res. (2005)

Product Lifecycle Management and Embedded Information Devices

43.17

43.18

I. Inasaki, H.K. Tönshoff: Roles of sensors in manufacturing and application ranges. In: Sensors in Manufacturing, ed. by H.K. Tönshoff, I. Inasaki (Wiley, New York 2001) CIMdata: Product life cycle management – empowering the future of business, Technical Report (CIMdata, 2002)

43.19

43.20

References

765

H. Cao, P. Folan, L. Zheng Lu, J. Mascolo, N. Frantone, J. Browne: Design of an end-of-life decision support system using product embedded information device technology, ICE Conf. Proc. (2006) PROMISE: PROMISE case studies, public version available at www.promise-plm.com

Part E 43

“This page left intentionally blank.”

767

Education an

44. Education and Qualification for Control and Automation Bozenna Pasik-Duncan, Matthew Verleger

44.1 The Importance of Automatic Control in the 21st Century ................................ 768 44.2 New Challenges for Education................ 768 44.3 Interdisciplinary Nature of Stochastic Control ............................. 769 44.4 New Applications of Systems and Control Theory ............................... 770 44.4.1 Financial Engineering and Financial Mathematics .......... 770 44.4.2 Biomedical Models: Epilepsy Model 771 44.5 Pedagogical Approaches........................ 44.5.1 Coursework ................................ 44.5.2 Laboratories as Interactive Learning Environments ................ 44.5.3 Plain Talk on Control for a Wide Range of the Public...... 44.5.4 New Approaches to Cultivating Students Interest in Math, Science, Engineering, and Technology at K-12 Level ...............................

772 772 773 774

774

44.6 Integrating Scholarship, Teaching, and Learning ....................................... 775 44.7 The Scholarship of Teaching and Learning 775 44.8 Conclusions and Emerging Challenges .... 776 References .................................................. 776 disciplinary nature. The chapter then outlines current and future pedagogical approaches being employed in control education, particularly introductory courses, around the world. It concludes with a discussion about the role of scholarship, teaching, and learning in control education both now and in the coming years.

Part E 44

Engineering education has seen an explosion of interest in recent years, fueled simultaneously by reports from both industry and academia. Automatic control education has recently become a core issue for the international control community. This has occurred in tandem with the explosion of interest in engineering education as a whole. The applications of control are growing rapidly. There is an increasing interest in control from researchers from outside of traditionally control-based fields such as aeronautics, chemical, mechanical, and electrical engineering. Recently control and systems theory have had much to offer to nontraditional control fields such as biology, biomedicine, finance, actuarial science, and the social sciences as well as transportation and telecommunications networks. Complementary, innovative developments of control and systems theory have been motivated and inspired by complex real-world problems. These new developments present huge challenges in control education. Meeting these challenges will require a multifaceted approach by the control community that includes new approaches to teaching, new preparations for facing new theoretical control and systems theory problems, and a critical review of the status quo. This chapter discusses these new challenges as well as new approaches to education and outreach. This chapter starts by presenting an argument towards the future of controls as the application of control theory expands into new and unique disciplines. It provides two case studies of nontraditional areas where control theory has been applied: finance and biomedicine. These two case studies show a high potential for using powerful fundamental principles and tools of automatic control in research with an inter-

768

Part E

Automation Management

44.1 The Importance of Automatic Control in the 21st Century The field of automatic control has a rich history (Fig. 44.1), well presented in a special issue of the European Journal of Control [44.1]. Fleming [44.2] provides an assessment of its status and needs of control theory through the 1980s. A broader and more updated report is provided in [44.3–5]. At its core, control systems engineering involves a variety of tasks including modeling, identification, estimation, simulation, planning, decision making, optimization, and deterministic and stochastic adaptation. While the overarching purpose of any control system is to assist with the automation of an event, the successful application of control principles involves the integration of various tools from related disciplines such as signal processing, filtering, stochastic analysis, electronics, communication, software, algorithms, real-time computing, sensors and actuators, as well as application-specific knowledge. a)

The applications of control automation range from transportation, telecommunications networks, manufacturing, communications, aerospace, process industries through commercial products reaching as diverse fields of study as biology [44.6], medicine [44.7–10], and finance [44.11, 12]. New issues are already appearing in the next generation of transportation [44.13] and telecommunications network [44.14] problems, as well as in the next generation of sensor networks (particularly for applications such as weather prediction), emergency response systems, and medical devices. These are new challenges that can be solved through the careful application of control and systems theory. The impact of systems and control in the changing world is described in the 2007 Chinese Control Conference (CCC) plenary talk Systems and Control Impact in a Changing World delivered by Ted Djaferis, the 2007 President of the Control Systems Society [44.15]. A more thorough description of control theory for automation can be found in Chaps. 9–11. b)

Part E 44.2 Fig. 44.1a,b The centrifugal governor (a) is widely considered to be the first practical control system, dating back to the 1780s. It was used in the Boulton and Watt steam engine (b) to regulate the amount of steam allowed into the cylinders to maintain a constant engine speed

44.2 New Challenges for Education Marketplace pressures and advances in technology are driving a need in modern industry for well-trained control and systems scientists. With its cross-boundary nature and ever-growing application base, helping students in all disciplines of science, technology, en-

gineering, and mathematics (STEM) to understand the power and complexity of control systems is becoming an even more critical component to the future of technical education. The need to train all STEM graduates to be comfortable with control theory generates many

Education and Qualification for Control and Automation

Table 44.1 America’s infrastructure is in dire need of repair: just one of the many problems today’s engineering students will be facing as they enter the workforce (after [44.17]) Area

Grade

Trend (since 2001)

Roads Bridges Transit Aviation Schools Drinking water Watewater Dams Solid waste Hazardous waste Navigable waterways Energy America’s infrastructure GPAa total investement

D+ C C D D D D D C+ D+ D+ D+ D+

↓ ↔ ↓ ↔ ↔ ↓ ↓ ↓ ↔ ↔ ↓ ↓

a

US $ 1.6 trillion (estimated 5-year need)

grade point average

riculum [44.20], as well as in educating and making nonengineering communities aware of the benefits and the power of the systems and control approaches and tools.

44.3 Interdisciplinary Nature of Stochastic Control Stochastic adaptive control, whereby the unknown parameters of a control system are modeled as random variable or random processes [44.21, 22], can be used to illustrate the interdisciplinary nature of control. The general approach to adaptive control (Fig. 44.2) involves a splitting, or separation, of parameter identification and adaptive control. A system’s behavior depends on some set of parameters, and the fact that the values of the parameters are unknown makes the system unknown. Some crucial information concerning the system is not available to the controller, and this information can be learned during the system’s performance. Using that information, the system’s performance can then be altered to respond. This altered response may in turn alter the previously unknown parameters. This process is repeated in a recursive manner until the system is shut down.

769

The described problem is the basic problem of adaptive control. A stochastic control system can be described using a stochastic differential equation or a stochastic partial differential equation. The solution to the adaptive control problem consists of showing the strong consistency of the family of estimators of an unknown parameter and the self-optimality of an adaptive control that uses the family of estimates. The disturbance, or noise, in the system is modeled by a Brownian motion or more generally by a fractional Brownian motion (more accurate for recent problems in telecommunication, finance or biomedicine) [44.11]. Industrial operation models are often described by stochastic controlled systems [44.23]. Let us describe a simple adaptive control using a simple investment model. Consider a model where an investor has a choice in investing in two assets, a simple

Part E 44.3

new challenges in control education which are extensively discussed in [44.16] as well as in the report of the National Science Foundation (NSF) and the Control Systems Society (CSS) panel on an assessment of the field [44.4] with its summary given in [44.5]. While the skills necessary for students to become successful practitioners of their craft are changing, so too is the background of our students. They are better prepared to work with modern computing technologies. The ability to interact with and manipulate a computer is second nature to today’s connected student [44.18]. Thus the time is ripe for major renovations in control education as it applies to STEM disciplines. The first step in the renovation process is to develop crossdisciplinary examples, demonstrations, and laboratory exercises that illustrate systems and control across the entire spectrum of STEM education [44.3–5, 19]. The recent National Academy of Engineering (NAE) report [44.17] identified the attributes and abilities engineers will need to perform well in a world driven by rapid technological advancements, national security needs, aging infrastructure in developed countries (Table 44.1), environmental challenges brought about by population growth and diminishing resources, and creation of new disciplines at the interfaces between engineering and science. The systems and control community has been actively involved and engaged in taking a leading role in shaping the future automatic control engineering cur-

44.3 Interdisciplinary Nature of Stochastic Control

770

Part E

Automation Management

System Generic system operations

Unknown control parameters

Parameter identification

System output

Control parameter values

Adaptive control

savings account with a fixed rate of growth (say 3.5%) or shares of Microsoft stock whose growth is governed by a Brownian motion with unknown drift and variance. The investor controls his asset by transferring money between the stock and the savings account. The goal is, when the stock is expected to go down, to sell the

Fig. 44.2 The general structure of an adaptive control system is one where the critical input control parameters are outputs of the system. As the system operates over time, the parameters are adapted to control how the system functions in the future

stock and transfer the money to the savings account, but when the stock is expected to go up to repurchase the stock before it goes up. The control variable is the total amount of money transferred from the stock to the savings account and can be positive (stock has just been sold) or negative (stock is about to be purchased). The savings account is governed by a traditional differential equation and the stock is governed by a stochastic differential equation. The goal is to find the optimal control so that the expected rate of growth is maximized. The identification problem is to estimate the unknown parameters, the drift and variance of the stock’s randomness, based on the available observations. The adaptive control problem is to construct the (certainty equivalence) adaptive control as a function of the current state and the current estimate of what is expected to happen.

Part E 44.4

44.4 New Applications of Systems and Control Theory The use of systems and control theory has seen an expansion in recent years into new and emerging fields of study. This expansion functions as a control system in itself, with the unknown parameter being the number of STEM students receiving controls training. The expansion into new disciplines demonstrates the necessity of controls education for all students in STEM disciplines by showing that controls theory can be applied in a wide variety of unique places for producing solutions to some of today’s most complex problems. This demonstration in turn acts as a driver for the curricular change necessary to result in more STEM students experiencing control education. As those students graduate, they too will push the boundaries of what control theory can be applied to and in turn feed the expansion cycle.

44.4.1 Financial Engineering and Financial Mathematics Research in control methods in finance has experienced tremendous progress in recent years. The rapid progress has necessitated communication and networking between researchers in different disciplines. This

area brings together researchers from mathematical sciences, finance, economics, and engineering. Together, these people work on advances and future directions of control methods in portfolio management, stochastic models of markets and pricing, and hedging of options. The finance area is highly interdisciplinary. This interdisciplinary nature comes from the necessity for a wide variety of skills and knowledge bases. As a major impetus for the development of financial management and economics, the research in financial engineering has had a major impact on the global economy. For instance, the Black–Scholes model [44.24] and its various extensions for pricing of options [44.25] using stochastic calculus have become a standard practice nowadays and have led to a revolution in the financial industry. Incidentally, it also won Scholes and Merton the Nobel Prize for Economics in 1997 (Black had unfortunately passed away 2 years prior). Powerful techniques of stochastic analysis and stochastic control have been brought to almost all aspects of finance and resulted in a number of important advances [44.12]. To name just a few, they include the studies of valuation of contingent claims in com-

Education and Qualification for Control and Automation

44.4.2 Biomedical Models: Epilepsy Model Epilepsy is a condition where a person has unprovoked seizures at two or more separate times in her/his life. A seizure is an abnormal electrical discharge within the brain resulting in involuntary changes in movement, sensation, perception, behavior, and/or level of consciousness. It is estimated that 1% of the populations of industrialized countries have epilepsy whereas 5–10% of the populations of nonindustrialized countries have epilepsy. One link has been found between epilepsy and malnutrition [44.26]. In the USA the number of epilepsy cases is significantly larger than the number of cases of people who have Parkinson’s disease, muscular dystrophy, multiple sclerosis, acquired immunodeficiency syndrome (AIDS) or Alzheimer’s disease [44.10]. The organizers of the Third International Workshop on Seizure Prediction in Epilepsy held in Freiburg, Germany stated in the Welcome to the Workshop that:

771

The great interest of participants from all over the world and the high number of original contributions presented . . . instills confidence in us that seizure prediction is a promising field for the years to come. Epilepsy models, with their complexity, can serve as an example of interdisciplinary and multidisciplinary research for which systems and control approaches are showing considerable promise. The methods pioneered in the financial mathematics and engineering sector described above have been successfully used for detection and prediction of epileptic seizures. There is published evidence that the seizure periods of brain waves of some patients have long-range dependencies with nonseizure periods. Based on some initial work, it seems that the estimates of the Hurst parameter, which is a characterization of the long-range dependence in fractional Brownian motion, have a noticeable change prior to and during a seizure. Some of the algorithms [44.8] used in identifying the Hurst parameter use stochastic calculus for fractional Brownian motion that was developed within the financial engineering and financial mathematics sector. A new application for the Hurst parameter, real-time event detection, has recently been identified [44.9]. The high sensitivity to brain state changes, ability to operate in real time, and small computational requirements make Hurst parameter estimation well suited for implementation into miniature implantable devices for contingent delivery of antiseizure therapies. This innovative interdisciplinary research has developed a new technology for future automated therapeutic intervention devices to lessen, abort or prevent seizures, opening the possibility of creating a brain pacemaker. The goal is, by eliminating the unpredictability of seizures, to minimize or prevent the disability caused by epilepsy and hardship it imposes on patients and their families and communities. It brings a hope to improve productivity and better quality life for those afflicted with epilepsy and their families as well as for care-givers and healthcare providers. The creation of a seizure warning device will minimize risks of injury, the degrading experience associated with having seizures in public, and the unpredictable disruption of normal daily-life activities. Only collaborative work of engineers, computer scientists, physicists, physicians, biologists, and mathematicians can be successful in solving this type of complex problem. Based partly on the success thus far, there is a strong desire for a partnership with control engineers. The University of Kansas (KU) Stochastic Adaptive Control Group (SACG) has a long history

Part E 44.4

plete and incomplete markets, consumption–investment models with or without constraints, portfolio management for institutional investors such as pension funds and banks, and risk assessment and management using financial derivatives. At the same time, these applications require and stimulate many new and exciting theoretical discoveries within the systems and control field. Take for instance the study of arbitrage theory, risk assessment, and portfolio management, which have collectively led to new developments in martingale theory and stochastic control. Moreover, the development of financial engineering has created a large demand for graduates at both Master and Ph.D. levels in industry, resulting in the introduction of the curriculum in many universities including Kent State University, Princeton University, Columbia University, and the University of California at Berkeley. Another contribution to the control community from financial engineering and financial mathematics was the identification and control of stochastic systems with noise modeled by a fractional Brownian motion [44.11], a process that can possess a long-range dependence. Motivated by the need for this type of process in telecommunications for models of Ethernet and asynchronous transfer mode (ATM) traffic, a new stochastic calculus for fractional Brownian motion was developed. This work in financial engineering and financial mathematics has since been successfully used in other fields such as telecommunications and medicine, in particular epilepsy analysis of brain waves [44.8, 9].

44.4 New Applications of Systems and Control Theory

772

Part E

Automation Management

of established collaboration in control education and research with the KU Medical Center Comprehensive Epilepsy Center and with Flint Hills Scientific, LLC (FHS). FHS has one of the largest recorded collections of long-term patient seizure data and it has used this

data to develop real-time seizure prediction algorithms which have outperformed other prediction algorithms. Recently FHS has initiated electrical stimulation control with a seizure prediction algorithm to prevent the occurrence of seizures.

44.5 Pedagogical Approaches

Part E 44.5

The field of control is currently suffering from a fundamental design flaw. Because of a push from both those external to the control community (e.g., the NAE’s Engineer of 2020 reports) as well as those internal to the control community, control has become an essential component for STEM education. This has resulted in a flood of “current-state” papers describing methods and mechanisms that are currently being employed for teaching control. The beauty of control as an area of study lies in its use of many different areas of mathematics: from functional analysis through stochastic processes, stochastic analysis, stochastic calculus of a fractional Brownian motion, stochastic partial differential equations, stochastic optimal control to methods of mathematical statistics, as well as current computational methods in stochastic differential and partial differential equations. The curse of control as an area of study lies in its use of many different areas of mathematics. Because of the broad spectrum of mathematical tools for approaching systems and control problems, it is not a subject that allows for practical understanding without some amount of deep coverage. Likewise, it is a topic that almost demands some hands-on experimentation, which can be both costly and time consuming. Several approaches are described for building adequate and appropriate control coursework into an already packed curriculum.

and a hands-on experiment where student develop a collision avoidance system for a model car (Fig. 44.3) are used to indoctrinate students into how control theory can be practically applied within the engineering design process. Because the only prerequisite requirement for the course is first-semester calculus, it can be offered during the spring term of the first year, allowing students the opportunity to experience control early in their education. Additionally, the author found that the early introduction to control resulted in a number of students choosing to take a more advanced course in control as well as for some to pursue graduate studies of systems and control. An example of a new introductory control course for both engineers and general scientists is described in [44.30]. The course was taught for over 10 years at Sweden’s Lund University. The goals for the course include:

• •

Demonstrating the benefits of control and the power of feedback Describing the role of control in the design process and the importance of integrated systems

44.5.1 Coursework A special pair of issues of the Control Systems Magazine on innovations in undergraduate education [44.28, 29] presents a variety of articles related to undergraduate controls and systems education broken down into six broad categories; Kindergarten-12th grade (K-12) education, course and curriculum development, experiment development, special projects, software and laboratory development, and lecture material. Djaferis [44.27] describes a three-pronged approach to introducing systems and controls in a first-year engineering course. A combination of lectures, simulations,

Fig. 44.3 Control laboratory experiment for first-year engineering students (after [44.27])

Education and Qualification for Control and Automation

• • • • • •

Introducing the language of systems and control Introducing the basic ideas and concepts of control Explaining fundamental limitations Explaining how to formulate, interpret, and test specifications Exploring computational tools such as MATLAB and Simulink [44.32] to compute time and frequency responses Developing practical experience of sketching Bode diagrams and performing calculations using the associated plots.

773

tal physics of motion and the theoretical aspects of design. In response to the National Academy of Engineering report [44.17, 20] in which interdisciplinary system engineering is cited as an increasingly important aspect of modern engineering and of the education of future engineers projects that integrate elements of mechanical design, modeling, control system design, and software implementation were developed at the University of Illinois at Urbana-Champaign [44.34]. In these projects, control design becomes an integral part of the larger systems engineering problems and must be carried out in conjunction with design and optimization of structural members, choice and placement of sensors and actuators, electronics, power considerations, modeling, simulations, identification, and software developments.

44.5.2 Laboratories as Interactive Learning Environments Understanding systems and control demands handson experience. It is nearly impossible to appreciate the complexities and interactions of a physical system without witnessing it. Therefore a good control laboratory is important for control education. Advances in technology have reduced the cost of developing laboratories significantly. Numerous courses currently utilize the Lego Mindstorms kits which include a variety of sensors and a programmable computer capable of interpreting the sensor’s raw value [44.35–39]. While the majority of those courses utilize the Lego system as a vehicle for learning programming concepts or mechanical design principles, the sensor capabilities open the door for use in a systems and control course. The Intelligent Control Systems Laboratory [44.16] at Australia’s Griffith University demonstrates con-

Fig. 44.4 Autonomous vehicles competing in the DARPA Grand Challenge [44.31]

Part E 44.5

The challenge is to teach students sufficient information for understanding the validity of the information contained in computer plots and to appreciate how to achieve a satisfactory design that meets the specifications and necessary criteria. One of the curricular design ideas that fueled the course’s creation was to provide a course that functioned as both an introduction for those that elected to continue their control studies as well as a functionally complete overview for students who would only take one course on control. One approach for demonstrating and appreciating the importance of the dynamics of a system is the use of the bicycle discussed in detail in [44.33] and proposed for use in an introductory course. Because of the universal familiarity of the bicycle, it represents a highly approachable problem context. It also presents a wide variety of unique control- and dynamics-related problems; for example, the fact that when at rest it is an unstable system but when in motion it exhibits aspects of stability presents a unique problem situation for students to understand. The problem can also be extended into issues of rear-wheeled steering and other assorted complexity inducing issues. Finally, the scope of the problem is such that other non-control-related problems can be introduced, such as discussions of the elemen-

44.5 Pedagogical Approaches

774

Part E

Automation Management

trol through cooperative driverless vehicles. The idea of intelligent vehicles has brought with it promises of heightened safety, reliability, and efficiency. In 2004, the Defense Advanced Research Projects Agency (DARPA) held the first of three DARPA Grand Challenge prize competitions for driverless vehicles [44.31] (Fig. 44.4). The first year, the best vehicle drove only 7.36 miles of the 150 mile desert course. In 2006 at the second challenge, five vehicles completed the course and all but one of the 23 participating teams achieved a distance greater than the maximum 7.36 miles obtained during the first challenge. In 2007, the third challenge required participants to navigate a more urban setting. Six of the 11 participating teams rose to the challenge and successfully drove through the 60 mile urban course. Finally, the number of interactive tools for education in automatic control is growing rapidly. Many examples are provided [44.40–43]. A sample plain talk presented below provides other examples of creative, interesting, and important modern control engineering education laboratories.

44.5.3 Plain Talk on Control for a Wide Range of the Public Part E 44.5

The IEEE Control Systems Society Technical Committee on Control Education has been in the process of developing a series of short presentations prepared for a wide range of the public demonstrating the power, beauty, and excitement of systems and control. These presentations were given at the workshops for highschool teachers and students, sponsored by the National Science Foundation and the Control Systems Society. They are presented in [44.44, 45]. The following is a sample of such talks that were presented at the 2007 Control and Decision Conference in New Orleans:

• • • •

T. Djaferis: The Power of Feedback (University of Massachusetts Amherst) C. G. Cassandras: Joys and Perils of Automation (Dept. of Manufacturing Engineering and Center for Information and Systems Engineering Boston University) R. M. Murray: Control Education and the DARPA Grand Challenge (Control and Dynamical Systems, California Institute of Technology) P. R. Kumar: The Next Phase of the Information Technology Revolution (University of Illinois, Urbana-Champaign)

• • • • • • •

C. Tomlin: Controlling Air Traffic (University of California, Berkeley) M. Spong: Control in Mechatronics and Robotics (University of Illinois, Urbana-Champaign) W. S. Levine, D. Hristu-Varsakelis: Some Uses for Computer-Aided Control System Design Software in Control Education (University of Maryland) I. Osorio: Application of Control Theory to the Problem of Epilepsy (University of Kansas Medical Center and Mark Frei Flint Hills Scientific, LLC) D. Duncan, T. Duncan, B. Pasik-Duncan: Random Walk around Some Problems in Stochastic Systems and Control (Yale University, University of Kansas) K. Furuta: Understanding Phenomena through Real Physical Objects–Controlling Pendulum (Tokyo Denki University, Japan) J. Baillieul: Risk Engineering–Past Successes and Future Challenges (Intelligent Mechatronics Laboratory, Boston University)

Developing plain talks that can be used by members of the control community for noncontrol communities in different settings is very important and has become a major goal for the control education committees. It is through these talks that the general population, particularly children and young adults, can learn to appreciate control and potentially pursue further study of the topic.

44.5.4 New Approaches to Cultivating Students Interest in Math, Science, Engineering, and Technology at K-12 Level The IEEE CSS Technical Committee on Control Education together with the American Automatic Control Council (AACC) and the International Federation of Automatic Control (IFAC) Committees on Control Education has organized a series of workshops for middleand high-school students and teachers on The Power, Beauty, and Excitement of Control at all major control conferences sponsored by CSS, AACC, and IFAC in the USA and around the world since 2000 [44.46]. The model for these workshops was created and developed at the University of Kansas (KU) 15 years ago. The university organized semiannual half-day workshops for fifth- and sixth-graders from local schools to promote STEM disciplines. They became very successful and played a major role in establishing an important partnership between K-12 and KU [44.47]. Another important outreach activity for encouraging young people to con-

Education and Qualification for Control and Automation

sider a career in control was the workshop organized for girls at the International Symposium on Intelligent Control/Mediterranean Conference on Control and Automation (ISIC/MED) conference [44.48]. The purpose of NSF/CSS workshops is to inspire interest from youth towards studies in control systems and to assist high-school teachers in promoting the discipline of control systems among their students. It is composed of several short but effective presentations, as listed in Sect. 44.5.3, on various problems from the real world that have been solved by using control engineering methods, techniques, and technologies. The

44.7 The Scholarship of Teaching and Learning

775

workshops bring together all-star teams of some of the most eminent senior control researchers and some of the most prominent younger researchers involved in new technologies to present control systems as an exciting and intellectually stimulating field. The attractiveness and excitement of choosing a career in control engineering has been addressed at workshops. Live interaction between the presenters and the audience has been an important feature of the workshops. The workshops have become very popular, with the last one in San Diego bringing over 650 students and teachers. Additional information on the workshops can be found in [44.49,50].

44.6 Integrating Scholarship, Teaching, and Learning laboration. Second, students learn a topic from multiple perspectives, which increases their potential ability to understand the topics, as they are more likely to hear at least one explanation that they will understand, as well as increasing their interactions with a wider variety of faculty members. Vertical integration incorporates students and researchers at different levels in the teaching activity, that is, there is involvement of senior high-school students, undergraduates, graduates, and postdoctoral students. Often a student is more likely to discuss various questions with someone near to his or her educational level, and these educationally close people can often identify more easily the causes of difficulty. The Stochastic Adaptive Control Group (SACG) research group at the University of Kansas has successfully implemented the approach [44.46, 49, 52].

44.7 The Scholarship of Teaching and Learning No modern educational discussion would be complete without some discussion of the scholarship of teaching and learning (SoTL). While the wording of SoTL is similar to that of Sect. 44.6, there is a careful distinction. Integrating scholarship, teaching, and learning is about using research (scholarship) in the classroom to enhance teaching and learning. SoTL is about analyzing and reflecting on teaching and learning using the same scholarly processes (literature review, testing, data collection, statistics, as well as more qualitative measures such as systematically coded interviews) used in other scholarly work. Illinois State University defines SoTL

to be “systematic reflection on teaching and learning made public” [44.53]. What makes aspects of SoTL interesting for control educators is that the process of systematically reflecting can itself be a type of control problem. We learn about our students each time we teach and we adapt the course and methods of teaching to this particular class. It can be considered a stochastic system; stochastic because there is a lot of noise in the system, but typically also having some degree of consistency. Sometimes referred to as action research, the process involves an iterative cycle of planning, action, and fact-finding about

Part E 44.7

The integration of scholarship, teaching, and learning into the classroom can be subdivided in many ways. An important subdivision is horizontal integration versus vertical integration [44.51]. Horizontal integration deals with institutional integration, drawing perspectives from across the institution, whereas vertical integration is programmatic, drawing perspectives from throughout a specific school, department, or program. Both are important for success. To increase horizontal integration, faculty from different disciplines work together in teaching a single course. Faculty in different disciplines often work on similar topics and each one can provide his or her particular insights for understanding the material and assimilating the information. Their combined efforts result in a variety of advantages. First, they develop cross-disciplinary ties which often boost col-

776

Part E

Automation Management

the result of the action [44.54]. With each new set of facts, a new plan is formed, upon which action is taken and new facts emerge. The process then begins anew. Over time, a lesson is refined, with the best approaches and attributes of the lesson being used, while the weakest elements are systematically removed or replaced. Boyer refers to this as “scholarship in teaching” [44.55].

Education should be regarded as a stochastic process that changes over time, a process with several components such as vision, design, data collection, and data analysis. As instructors, we should collect information, build a portfolio, analyze our reports and data after every class, and want to do better each time. We should want to apply the same rigor to our teaching that we do to our other scholarly endeavors.

44.8 Conclusions and Emerging Challenges

Part E 44

The control field is an exciting field. It has a crossboundary nature. Many nontraditional disciplines recognize the power and the need for systems and control approaches, principles, and technologies. Control education of tomorrow is a collaborative effort integrating scholarship, teaching, and learning. This collaborative effort needs to include K-12 teachers, students, and scholars. They need to work together as partners who are learners in the process of control education. A classroom should be treated as a scientific laboratory, actively engaged in SoTL. Similarly, instructors must learn to integrate their scholarship into their teaching and learning by building ties both across a discipline and across an institution. It is important for control instructors to build bridges with mathematics, computer science, and science. A future control engineer has to integrate engineering with computations, communications, mathematics, and science. This is an extraordinary time for control, with extraordinary opportunities. Several special sessions on control educations have been organized at major control conferences, bringing together leading control scholars from academia and industry. Those important discussions have been well documented [44.16]. Every issue of the IEEE Control Systems Magazine is either devoted fully or has an article on control education. The biggest challenge is to attract young people to engineering and, in particular, systems and control engineering. It is important for all control communities to be involved in

outreach programs. It is important to build new bridges with other disciplines. It is important to focus on good communication and writing and it is most important to be passionate and enthusiastic about systems and control, and pass on this passion and enthusiasm to young people, in particular women and minorities, encouraging them to pursue and stay in the control profession, which has so much to offer, both now and as control expands into more and more new and unique disciplines. Future engineers must be well prepared for the complex technical, social, and ethical questions raised by emerging disciplines and technologies. To be successful, students will need to be broadly educated. Teachers and students need to understand the full range of problems covering requirement, specifications, implementation, commissioning, and operation that is reliable, efficient, and robust. They need to understand that new materials and devices are made possible through advanced control of manufacturing processes. They need to recognize that control theories can be used for achieving breakthroughs in highly diverse settings, including biomedicine and finance. As the NSF/CSS panel report summarizes [44.3]: . . . perhaps most important is the continued development of individuals who embrace a system perspective and provide technical leadership in modeling, analysis, design and testing of complex engineering systems.

References 44.1

44.2

S. Bittanti, M. Gevers (Eds.): On the dawn and development of control science in the XX-th century (Special Issue), Eur. J. Control 13, 1–81 (2007) W.H. Fleming: Future Directions in Control Theory. A mathematical Perspective (Society for

44.3

Industrial and Applied Mathematics, Philadelphia 1988) P. Antsaklis, T. Basar, R. DeCarlo, N.H. McClamroch, M. Spong, S. Yurkovich: Report on the NSF/CSS workshop on new directions in control engineering education, IEEE Control Syst. Mag. 19, 53–58 (1999)

Education and Qualification for Control and Automation

44.4

44.5

44.6 44.7

44.8

44.9

44.10

44.11

44.13 44.14

44.15

44.16

44.17

44.18

44.19

44.20

44.21

44.22

44.23

44.24

44.25 44.26

44.27

44.28

44.29

44.30

44.31

44.32

44.33

44.34

44.35

44.36

44.37

44.38

G. Clough: Educating the Engineer of 2020: Adapting Engineering Education to the New Century (National Academy, Washington 2005) T.E. Duncan, B. Pasik-Duncan: Stochastic adaptive control. In: The Control Handbook, ed. by W.S. Levine (CRC, Boca Raton 1995) pp. 1127–1136 T.E. Duncan, B. Pasik-Duncan: Adaptive control of continuous time stochastic systems, J. Adapt. Control Signal Process. 16, 327–340 (2002) B. Pasik-Duncan: Stochastic systems. In: Wiley Encyclopedia of IEEE, Vol. 20, ed. by J.G. Webster (Wiley, New York 1999) pp. 543–555 F. Black, M. Scholes: The pricing of options and corporate liabilities, J. Polit. Econ. 81, 637–654 (1973) R.C. Merton: Theory of rational option pricing, Bell J. Econ. Manag. Sci. 4, 141–183 (1973) S. Crepin, D. Houinato, B. Nawana, G.D. Avode, P. Preux, J. Desport: Link between epilepsy and malnutrition in a rural area of Benin, Epilepsia 48, 1926–1933 (2007) T.E. Djaferis: Automatic control in first-year engineering study, IEEE Control Syst. Mag. 24, 35–37 (2004) D.S. Bernstein: Innovations in undergraduate education, Special Issue, IEEE Control Syst. Mag. 24, 1–101 (2004) D.S. Bernstein: Innovations in undergraduate education: Part II, Special Issue, IEEE Control Syst. Mag. 25, 1–106 (2005) K.J. Åström: Challenges in control education, 7th IFAC Symposium on Advances in Control Education (Universidad Politecnica de Madrid, 2006) DARPA: http://www.darpa.mil/grandchallenge/ Vol. 2008: Defense Advanced Research Projects Agency (DARPA, 2007) The MathWorks Inc.: Using MATLAB. The Language of Technical Computing (The MathWorks Inc., Natick 2002) K.J. Åström, R.E. Klein, A. Lennartson: Bicycle dynamics and control, IEEE Control Syst. Mag. 25, 26–47 (2005) M.W. Spong: Project based control education, 7th IFAC Symposium on Advances in Control Education (Universidad Politecnica de Madrid, 2006) P.J. Gawthrop, E. McGookin: Using LEGO in control education, 7th IFAC Symposium on Advances in Control Education (Universidad Politecnica de Madrid, 2006) J. LaCombe, C. Rogers, E. Wang: Using Lego Bricks To Conduct Engineering Experiments (American Society for Engineering Education, Salt Lake City 2004) N. Jaksic, D. Spencer: An Introduction To Mechatronics Experiment: Lego Mindstorms Next Urban Challenge (American Society for Engineering Education, Honolulu 2007) D. Hansen, B. Self, B. Self, J. Wood: Teaching Undergraduate Kinetics Using A Lego Mindstorms Race

777

Part E 44

44.12

R.M. Murray: Control in an Information Rich World (Society for Industrial and Applied Mathematics, Philadelphia 2003) R.M. Murray, K.J. Åström, S.P. Boyd, R.W. Brockett, G. Stein: Future directions in control in an information rich world, IEEE Control Syst. Mag. 23, 20–23 (2003) E.D. Sontag: Molecular systems biology and control, Eur. J. Control 11, 396–436 (2005) J.M. Bailey, W.M. Haddad: Paradigms, benefits, and challenges. Drug dosing control in clinical pharmacology, IEEE Control Syst. Mag. 25, 35–51 (2005) S.H. Haas, M.G. Frei, I. Osorio, B. Pasik-Duncan, J. Radel: EEG ocular artifact removal through ARMAX model system identification using extended least squares, Commun. Inf. Syst. 3, 19–40 (2003) I. Osorio, M.G. Frei: Hurst parameter estimation for epileptic seizure detection, Commun. Inf. Syst. 7, 167–176 (2007) I. Osorio, M.G. Frei, S.B. Wilkinson: Real time automated detection and quantitative analysis of seizures and short term prediction of clinical onset, Epilepsia 39, 615–627 (1998) B. Pasik-Duncan: Random walk around some problems in identification and stochastic adaptive control with applications to finance. In: AMS-IMS-SIAM Joint Summer Research Conference on Mathematics of Finance (2003) pp. 273– 287 B. Pasik-Duncan: Special issue on the stochastic control methods in financial engineering, IEEE Trans Automatic Control (2004) P. Varaiya: Reducing highway congestion: an empirical approach, Eur. J. Control 11, 301–310 (2005) P.R. Kumar: New technological vistas for systems and control: the example of wireless networks. What does the future hold for the design of wireless networks?, IEEE Control Syst. Mag. 21, 24038 (2001) T.E. Djaferis: Systems and control impact in a changing world. In: Chinese Control Conference (CCC) (Hunan, China 2007) pp. 21–28 B. Pasik-Duncan, R. Patton, K. Schilling, E.F. Camacho: Four focused forums. Math, science and technology in control engineering education, IEEE Control Syst. Mag. 26, 93–98 (2006) G. Clough: The Engineer of 2020: Visions of Engineering in the New Century (National Academy, Washington 2004) D. Oblinger: Boomers, Gen-Xers, and Millennials: Understanding the “New Students”, EDUCAUSE Rev. 38, 36–45 (2003) B.S. Heck, D.S. Dorato, D.S. Bernstein, C.C. Bissell, N.H. McClamroch, J. Fishstrom: Future directions in control education, IEEE Control Syst. Mag. 19, 36–53 (1999)

References

778

Part E

Automation Management

44.39

44.40

44.41

44.42

44.43

44.44

44.45

44.46

Car Competition (American Society for Engineering Education, Salt Lake City, 2004) B.S. Heck, N.S. Clements, A.A. Ferri: A LEGO experiment for embedded control system design, IEEE Control Syst. Mag. 24, 43–56 (2004) D.S. Bernstein: The quanser DC motor control trainer – individual or team learning for handson education, IEEE Control Syst. Mag. 25, 90–93 (2005) S. Dormido: The role of interactivity in control learning, 6th IFAC Symposium on Advances in Control Education (Oulu, 2003) J.L. Guzman, K.J. Åström, S. Dormido, T. Hagglund, Y. Piguet: Interactive learning modules for PID control, 7th IFAC Symposium on Advances in Control Education (Universidad Politecnica de Madrid, 2006) M. Johansson, M. Galvert, K.J. Åström: Interactive tools for education in automatic control, IEEE Control Syst. Mag. 18, 33–40 (1998) B. Pasik-Duncan: Workshop for Czech high school students and teachers at the 16th IFAC world congress, IEEE Control Syst. Mag. 26, 110–111 (2006) M.H. Shor, F.B. Hanson: Bringing control to students and teachers, IEEE Control Syst. Mag. 24, 20–30 (2004) B. Pasik-Duncan: Mathematics education of tomorrow, Assoc. Women Math. (AWM) Newslett. 34, 6–11 (2004)

44.47

44.48

44.49

44.50

44.51

44.52

44.53 44.54 44.55

D. Duncan, B. Pasik-Duncan: Undergraduates’ partnership with K-12, American Control Conference (Anchorage, 2002) pp. 1103–1107 M.K. Michael: Encouraging young women toward engineering and applied sciences: ISIC/MED special preconference workshop, IEEE Control Syst. Mag. 26, 100–101 (2006) B. Pasik-Duncan, T. Duncan: KU stochastical adaptive control undergraduate success stories, Am. Control Conf. (ACC) (Anchorage 2002) pp. 1085– 1086 IFAC Technical Committee on Control Education (EDCOM): http://www.griffith.edu.au/centre/icsl/edcom/ (last accessed February 19, 2009) D. Purkerson Hammer, S.M. Paulsen: Strategies and processes to design an integrated, longitudinal professional skills development course sequence, Am. J. Pharmaceut. Educ. 65, 77–85 (2001) B. Pasik-Duncan, T.E. Duncan: Research experience at all levels, American Control Conference (Maui, 2003) pp. 3036–3038 Illinois State University: http://www.sotl.ilstu.edu/ (last accessed February 19, 2009) K. Lewin: Action research and minority problems, J. Social Issues 2, 34–46 (1946) E.L. Boyer: Scholarship Reconsidered: Priorities of the Professoriate (Princeton Univ. Press, Lawrenceville 1990)

Part E 44

779

Software Man 45. Software Management

Peter C. Patton, Bijay K. Jayaswal

This chapter is an introduction to software management in the context of automation. It recognizes how software and automation are intertwined and have been mutually enabling in enhancing the reach and seemingly unimaginable applications of these two disciplines. It further identifies software engineering as application of various tools, techniques, methodologies, and disciplines to produce and maintain an automated solution to a problem and how software management plays a central role in making it possible. We recognize that software must be managed like any other corporate or organizational resource albeit as a virtual rather than an actual or tangible entity. In this chapter we restrict ourselves to three crucial issues of software management in the context of software as a component in automation and how it enhances its value and availability by effective software distribution, asset management, and cost estimation. It presents current best practices in software automation, distribution, asset management, and cost estimation.

45.2 Software Distribution............................ 781 45.2.1 Overview of Software Distribution/Software Delivery ..... 781

45.3 Asset Management ............................... 45.3.1 Software Asset Management and Optimization ....................... 45.3.2 The Applications Inventory or Asset Portfolio........................ 45.3.3 Software Asset Management Tools 45.3.4 Managing Corporate Laptops and Their Software ..................... 45.3.5 Licence Compliance Issues and Benefits.............................. 45.3.6 Emerging Trends and Future Challenges ................

786

45.4 Cost Estimation .................................... 45.4.1 Estimating Project Scope ............. 45.4.2 Cost Estimating for Large Projects . 45.4.3 Requirements-Based a priori Estimates ....................... 45.4.4 Training Developers to Make Good Estimates .............. 45.4.5 Software Tools for Software Development Estimates............... 45.4.6 Emerging Trends and Future Challenges ................

789 789 789

786 787 787 788 788 789

791 791 792 793

45.5 Further Reading ................................... 794 References .................................................. 794

45.1 Automation and Software Management Automation and software are remarkably intertwined. As organized disciplines, they have independent origins but are deeply interlocked now. Software, as an integral component of computers, is an essential tool for automation of a wide range of industrial, enterprise, educational, scientific, military, medical, home,

entertainment, and personal devices, processes, and equipment. On the other hand, automation software, compilers, and a range of computer-assisted software engineering (CASE) tools are crucial for estimating, developing, testing, maintaining, and integrating all kinds of software, especially large and complex ones. CASE

Part E 45

45.1 Automation and Software Management . 779 45.1.1 Software Engineering and Software Management ......... 780

45.2.2 Software Distribution in MS Configuration Manager 2007 782 45.2.3 On-Demand Software ................. 785 45.2.4 Electronic Software Delivery......... 786

780

Part E

Automation Management

Solution inception

Software elaboration

Software solution

Software transition Time

Design and analysis

Solution needs and implied requirements

Objectives milestone

Architecture milestone

Solution

Reconfiguration and change management

Software capability milestone

Software product release

Coding and testing

Fig. 45.1 Phases and milestones of software development

Part E 45.1

automation tools are nothing but computer programs that assist software analysts, engineers, and coders during all phases of the software and system development lifecycle (Fig. 45.1). They are used to automate software development process and to ease the task of coordinating various events in the development cycle. CASE tools are usually divided into two main groups: those that deal with the upstream parts of the system development lifecycle (preliminary estimating, investigation, analysis, and design) are referred to as front-end CASE tools, and those that deal mainly with the implementation and installation are referred to as back-end CASE tools [45.1]. Thus software is indispensable for automation and automation software tools are essential for developing reliable and cost-effective software. In its earliest applications, automation was mechanization of certain operation or a series of mechanical tasks and operations that would be done manually otherwise. While automation developed initially to meet the challenges to automate mechanical and manual operations in industries, software’s origins could be traced to solving (computing) military and subsequently, scientific and business-related data-based problems. It is amazing what a crucial role software has come to play in computing, automation, and other numerous applications, given that it was actually an afterthought to hardware. The term software was not even used until 1958 almost two decades after the invention of the ENIAC computer in 1940s.

45.1.1 Software Engineering and Software Management Software as a tool in automation has enabled a quantum leap in improving quality, reliability, cost, precision,

safety, and security of a large number of products and systems and in expanding automation to seemingly unimaginable applications in the last several decades. Software has enabled applications such as the Internet and mobile platforms that have transformed our lives. However, there are huge intellectual, resource, and research challenges to deliver and control complex systems involving systems of systems, networks of networks, and agents of agents. They create huge intellectual control and software management problems [45.2]. Automation and component technology would play a critical role in meeting these software management challenges. Software consists of computer programs, procedures, and (possibly) associated documentation and data pertaining to the operation of a computer system [45.3]. It is important to note that software is not just codes or even programs. Further, software runs on hardware and has been, relatively speaking, a laggard as regards quality, delivery, and cost relative to hardware part of computers. Software is thus the crucial element to be addressed in determining cost and performance of a large number of computer applications. Often computers operate as part of communication networks, increasingly the web and the Internet, that provide connectivity for importing and exporting data for a wide variety of commercial, military, educational, entertainment, and public services. The limits of software availability and trustworthiness are similarly determining factors as regards effectiveness and viability of an increasingly large number of automation applications. Software engineering, on the other hand, could be described as the application of tools, techniques, methodologies, and disciplines to produce and maintain an automated solution to a problem. Designing and delivering trustworthy software is one of the great technological challenges of our times. It would require, among others, the implementation of a lifecycle approach to software development that addresses software trustworthiness at upstream phases of the software development process [45.3]. That brings us to the subject of software management. What exactly is software management? An inclusive view of a software business should include organizational, strategic, and competitive contexts of an enterprise processes and technologies that are used in developing software. That is a vast area and beyond the scope of this Handbook and indeed this chapter. Even the software development process is a large discipline consisting of tools, techniques, and methodologies

Software Management

that aid planning, estimating, staffing, organizing, and controlling the software development process [45.3, 4]. In this chapter we would restrict ourselves to three crucial issues of software management in the con-

45.2 Software Distribution

781

text of software as a component in automation and how it enhances its value and availability by effective software distribution, asset management, and cost estimation.

45.2 Software Distribution 45.2.1 Overview of Software Distribution/Software Delivery Software distribution is a generic term used to describe automated or semiautomated distribution or delivery of software, usually on a network including the Internet. Such facilities enable software providers to distribute, fix, and update software packages to clients and servers on an organization’s network. It is usually configured to install software with no user intervention and as such can be used to keep organization’s network up to date with minimum disruptions. Enterprises face the challenges of maintaining security and compliance requirements while keeping pace with meeting regulatory and technological changes. The information technology (IT) staff has to constantly manage new security threats and patches, updates as well as innovations. Business success depends on maintaining control in the face of all these changes. A smart configuration management suite must meet several requirements (Fig. 45.2) [45.5]:

Software distribution is one of the important tools of configuration management tools. The rate and scale

• •

IT efficiency to control management costs Agility to bring services to customers and users faster.

Software distribution is essentially the process of making the software products and services available to users in a manner that meets their cost, quality, and delivery expectations. It means that the software provider successfully delivers the product that the users need, when they and in the form need it, and at the price they are willing to pay for it. It also implies that the Discovery Inventory/IT asset management

Reporting and dashboard

Remote control/ problem resolution

Systems management

OS imaging and migration

Software distribution

Management gateway

Software licence monitoring

Fig. 45.2 A software management console (after [45.5] with per-

mission)

Part E 45.2

1. Increase efficiency and save time with a complete hardware and software management solution for all the systems and users in your complex network environment. 2. Reduce costs and the demands on help desk resources with tools that help you securely and easily support users in any networked environment. 3. Protect user productivity and reduce resource needs by easily keeping up with patches and updates and maintaining system-level security. 4. Save time and network bandwidth with patented, ultra-efficient, and fault-tolerant software distribution technologies. 5. Decrease software licensing costs and quickly respond to audits with comprehensive software licence monitoring capabilities. 6. Increase efficiency and save time by easily migrating users and their profiles to new operating systems.

of change is increasing. Demands such as Microsoft Vista migrations, data center consolidation initiatives, and stringent time-to-market requirements have placed a new emphasis on the ability to execute changes effectively and efficiently. At the same time, IT is faced with resource constraints, a geographically dispersed and mobile workforce, and ongoing security threats. HP configuration management solutions enable IT to respond to these demands through automated deployment and continuous management of software, including operating systems, applications, patches, content, and configuration settings, on the widest breadth and largest volume of devices throughout the lifecycle for:

782

Part E

Automation Management

software provider is able to do so in a cost-effective manner that is economically and competitively viable. While for many physical products we take this process for granted, for software, especially, large and complex ones, it is quite a challenge. The challenge comes often from software’s complexity and the very nature of software design and use. In addition to what was stated above regarding software distribution benefits it also ensures ubiquity and currency of software updates, and allows for certain compliance with all licensing provisions, as well as economy as just licences currently in use will be paid for.

45.2.2 Software Distribution in MS Configuration Manager 2007 Microsoft’s System Center Configuration Manager (MSCCM) is a state-of-the-art toolset for software distribution and management in a networked organization. We cite this product extensively as an exemplar of technology available today without loss of generality, even though it is a particular proprietary product [45.6]. Other quality software distribution products in this general area include LANDesk [45.7], and Akamai [45.8]. Microsoft System Center is a suite of IT management solutions that help IT departments proactively plan, deploy, manage, and optimize the software lifecycle of a networked IT environment.

Part E 45.2

Planning Software Distribution Planning is the first step in effectively upgrading the network server infrastructure. During this phase, the IT department must collect critical information about server infrastructure, including:

• • •

Assessing the current state of the server infrastructure and datacenter Identifying each asset that comprises the infrastructure Identifying the purpose of each asset.

For most organizations, collecting accurate information about server assets within the datacenter is easier said than done. Networked datacenters grow increasingly complex daily as companies introduce and implement new technology to enhance business performance. This makes it difficult for the IT department to maintain accurate records of server assets, which also makes it difficult to plan upgrades and enhancements to server infrastructure. Microsoft System Center (MSCC) delivers capabilities that make it easier for the IT or-

ganization to collect information needed for in-depth knowledge of existing infrastructure. The first step of planning a server upgrade is to identify all the assets that make up the network. The IT department needs a centralized management solution that automatically identifies software assets. Microsoft System Center Configuration Manager 2007 (MSCCM 2007) simplifies this task with hardware and software inventory capabilities that identify hardware and software assets, catalog who is using those assets, and understand where they are located. Through asset intelligence, MSCCM 2007 presents a clear picture of IT assets by providing identification and categorization of the servers, desktops, laptops, mobile devices, and software installed across both physical and virtual environments. Within the datacenter, this provides a fast method for understanding what server devices are in use today and who is using them. A new feature available in the first service pack for MSCCM 2007 also enables asset intelligence to identify new and changing systems and notify IT administrators of changes. This can reduce time spent identifying and tracking assets during and after an upgrade project. As IT organizations move through the phases of the infrastructure optimization model, planning a server upgrade presents an opportunity to cut both mediumand long-term costs by optimizing the use of server resources within the datacenter. Virtualization is one of the most important trends that can impact server resource optimization by changing how an IT department manages servers and workloads. Virtual machine technology decouples the physical hardware from software so that the IT department can run multiple virtual machines on a single physical server. Microsoft System Center Operations Manger 2007 and Microsoft System Center Virtual Machine Manager 2007 help the IT department identify how servers are being used, how each server is performing, and how each server can be used to its fullest potential. System Center Operations Manager 2007 monitors server health and stores vital performance information in a database that System Center Virtual Machine Manager 2007 can access and analyze. Virtual Machine Manager 2007 then generates a consolidation report that provides an easy-to-understand summary of the long-term performance of a workload. This information helps project teams make informed decisions about which servers would be ideal candidates for consolidation. Also, information about the performance of the hardware running virtualized applications provides data that decision-makers need to smartly move those appli-

Software Management

cations off one server onto another, re-image the server, and then return the applications while maintaining full availability of the datacenter resources. Microsoft System Center Data Protection Manager 2007 helps companies plan a server upgrade with confidence by enabling the IT department to back up existing data. System Center Data Protection Manager 2007 was built to protect and recover:

• • • • • •

Microsoft SQL Server Microsoft Exchange Server Microsoft Office SharePoint Server Microsoft Virtual Server Microsoft Active Directory directory service Windows file services.

Virtual Machine Manager 2007 converts the appropriate images for virtual machines. Traditionally, this task can be slow and disrupt business operations, but Virtual Machine Manager 2007 uses the volume shadow copy service, which helps administrators create virtual machines without interrupting the source physical server. Virtual Machine Manager 2007 also simplifies this whole process by providing a task-based wizard that helps guide administrators. Once images are created, Virtual Machine Manager 2007 supports a complete library that organizes and manages all the building blocks of the virtual datacenter within a single interface. Data Protection Manager 2007 helps prevent the IT department from losing critical business data when upgrading server infrastructure. By integrating a pointin-time database restore with existing application logs, Data Protection Manager can deliver nearly zero data loss recovery for Microsoft Exchange Server, SQL Server, and SharePoint Server, eliminating the need to replicate or synchronize data. Data Protection Manager also uses both disk and tape media to enable fast restore from disk and supports long-term data retention and off-site portability with disks. Before deploying upgrades to the server environment, the IT department must perform tests to ensure business continuity when the new server products go live. System Center Operations Manager 2007 makes it easy to access the results of these tests, much in the same way that it monitors the overall health of the server infrastructure. An IT department can also create scenarios that act like the end-user of a specific service to monitor success and failure rates and performance statistics results that can help identify potential deployment issues. In addition, administrator-simulated end-users can access Virtual Machine Manager by way of a web portal that is designed for user self-service. This portal enables test users and development users to quickly provision new virtual machines for themselves, according to the controls set by the administrator. Not only can IT personnel quickly test new configurations, but they can also uncover problems before deployment. Managing Software Distribution During deployment, IT departments must quickly roll out new products while remaining agile so they can respond to changes. Costs must also be kept to a minimum and business operations must not be disrupted. In the past, deploying new server software required someone to sit down at each server and complete the upgrade. This manual process took significant resources and did not guarantee that servers were deployed with con-

783

Part E 45.2

Configuring Software Distribution When the IT department has created an accurate inventory of server assets, it can then design the datacenter and determine which changes should be made to guarantee the most cost-efficient infrastructure. Then further steps will enable the department to successfully deploy the Windows Server 2008 operating system, Microsoft SQL Server 2008, and Exchange Server 2007 SP1 and transform the datacenter into a strategic asset. During the configuration or build phase, the IT department must create server images, convert physical servers to virtual servers, create a disaster recovery plan, and monitor the testing process. The build phase offers an opportunity for IT departments to identify areas for reducing costs, improving efficiency, and supporting compliance efforts. One way to accomplish this is by creating standardized server images for all server components, for both physical and virtual machines. System Center Operations Manager 2007 and System Center Virtual Machine Manager 2007 facilitate this process. The task sequencer, driver packages, and dynamic driver catalog included with Configuration Manager 2007 significantly reduce the number of server images that the IT organization must create and be deployed to either physical or virtual machines. IT administrators can create a simple generic image and dynamically add the necessary drivers during the build. In addition, by integrating vendor-provided tools, Configuration Manager 2007 can automate the setup of redundant array of independent disks (RAID), storage area network (SAN), and Internet small computer system interface (iSCSI) hard-drive configurations as part of the task sequence. This can have a favorable impact on the amount of work required later as upgrades are issued. Upon creation of the server images for physical machines,

45.2 Software Distribution

784

Part E

Automation Management

Part E 45.2

sistent configurations. Determining which virtual and physical machines to link together was also difficult because companies did not have the data, such as workloads, performance metrics, and network capacity, to create optimal arrangements. Companies often risked losing vital company data during the migration process. System Center helps alleviate these challenges. With Configuration Manager 2007, IT administrators can roll out new servers rapidly and consistently by automating operating system deployments and task sequences. IT administrators can fully deploy and configure servers from previous states, either by updating or replacing original equipment manufacturer (OEM) builds, or by installing the operating system and applications on new computers. Preboot execution environment protocol and Windows deployment services also make it easier to deploy servers that have no operating system installed: just plug in the server and turn it on. The task sequencer in Configuration Manager 2007 fully automates the end-to-end deployment process, enabling zero-touch to near-zero-touch deployments. This means that the process of building servers, which can include more than 80 steps, including image loads, driver loads, update loads, and multiple reboots, can be handled by Configuration Manager automatically. IT departments can also maintain visibility of the state of the infrastructure throughout the entire datacenter deployment and management process. Configuration Manager 2007 generates detailed reports about the deployments and provides information about those that have failed. This information helps the IT department resolve problems quickly, easily, and proactively. To maximize server utilization, it is critical that IT administrators select the appropriate virtual machine host for a given workload. Virtual Machine Manager 2007 helps IT departments with this complex task of intelligent placement. Virtual Machine Manager 2007 uses a holistic approach to selecting the appropriate hosts based on four factors: 1. The resource consumption characteristics of the workload 2. Minimum central processing unit (CPU), disk, random-access memory (RAM), and network capacity requirements 3. Performance data from virtual machine hosts 4. Preselected business rules and models associated with each workflow that contain knowledge from the entire lifecycle of the workload. After the analysis, Virtual Machine Manager 2007 produces an intelligent placement report that helps the

IT department select the appropriate host for a given workload. As IT administrators migrate information to an updated server platform, it is critical that data is not lost or corrupted. Once the new platform is in place, Data Protection Manager 2007 will identify the new server environment and enable customers to quickly and easily restore the data where it needs to go. Administrative delays associated with restores are also reduced by using a restore user interface that is based on the calendar, robust media management functionality, and disk-based end-user recovery. With Data Protection Manager 2007, restoring information takes seconds and involves simply browsing a share and copying directly from Data Protection Manager to the production server. By enabling customers to restore data from disk, Data Protection Manager significantly shortens the amount of time it takes to recover data, allowing customers to recover data in minutes versus the hours it takes to recover from tape. Data Protection Manager also minimizes the risk of failure that is associated with recovering data from tape. Monitoring Software Distribution After successfully upgrading the server infrastructure with next-generation server technology from Microsoft, the IT department must continue to monitor the infrastructure to ensure technology and licences are up to date, the network is secure, and commitments to meet service level agreements for performance and availability are met. In addition, the IT department must ensure consistency within server configurations, for example, guaranteeing that every exchange server has the same configuration and that server resources are being used with maximum efficiency to derive the most value from existing resources. Meeting these goals was once a challenge because the IT department did not have a solution that enabled the management of the entire server infrastructure from a central location. System Center Server Management Suite Enterprise not only simplifies and speeds the deployment of new server software, it also eases the ongoing task of managing the entire server infrastructure on a day-to-day basis. Centralized Management of Server Networks System Center offers many ways for IT departments to proactively manage the state of IT infrastructure regardless of its complexity; for example, System Center Operations Manager 2007 provides an easy-to-use management environment that can oversee thousands of servers and applications, delivering a comprehensive view of the health of the datacenter. System Center Operations

Software Management

Improve Disaster Recovery Capabilities. The IT department cannot prevent organizational disasters, but can take the appropriate steps to ensure that data is protected by developing and implementing a well-planned backup and recovery strategy for network outages. Data Protection Manager 2007 delivers the best possible recovery experience because it features continuous data protection with traditional backup, disk-based recovery, tape-based storage, database synchronizations, and log shipping. Consequently, with just a few mouse clicks the IT administrator can restore a SQL Server database directly back to the original server, restore data to a re-

785

covery database on the original server, or copy database files to an alternate server or disk. Software Management Lifecycle As the IT department updates and maintains datacenter server infrastructure and transitions to a dynamic IT infrastructure, Microsoft System Center can play a major role at each step. Because System Center is an integrated solution for the datacenter, IT departments can derive the most value in the shortest time. Every capability is built on a common framework and design, so IT departments can smoothly transition from one phase of the lifecycle to the next. Some examples of these transitions include:

• • •

The ability to configure, deploy, and monitor server images, automatically, and then patch or update these images as required The ability to monitor datacenter applications and servers (such as Microsoft SQL Server 2008), be alerted to failures, and then recover from backup data The ability to report server performance, identify problem servers, backup servers, and convert to a virtual form to allow uninterrupted service while switching to new hardware.

System Center delivers the capabilities the IT department needs for the complete distributed software management lifecycle, and even offers specific licensing to support the evolution of the datacenter with the Server Management Suite Enterprise.

45.2.3 On-Demand Software Microsoft’s chairman Bill Gates recently provided a glimpse into the software giant’s strategy for the future. In a widely circulated memo, Gates indicated that Microsoft will shift its focus from packaged software to the software as a service (SaaS), or on-demand, model. Instead of buying software outright and installing it on desktops or servers, businesses would rent applications on a per-user, per-month basis. Other enterprise software vendors have long been testing similar SaaS offerings as well. For small businesses, the ondemand model promises to reduce costs and complexity while at the same time increase the level of software functionality available to them. There are no packaged applications or hardware to buy upfront, and no dedicated personnel needed to install and maintain software. What’s more SaaS will unleash a wave of service applications and that will dramatically change the nature

Part E 45.2

Manager 2007 also comes with over 60 management packs, which extend management capabilities to the operating systems, applications, and other technology components that make up the datacenter. With these management packs, IT departments have access to bestpractice knowledge about specific Microsoft products and can more easily discover, monitor, trouble shoot, report on, and resolve problems for a specific technology component. Consequently, they can keep their datacenter running smoothly and efficiently. System Center Operation Manager also has a high-availability architecture that can leverage the latest network load-balancing and clustering capabilities to help ensure the datacenter is managed day and night. To help guarantee that the infrastructure has the right configurations across all required server components, IT administrators can use System Center Configuration Manager 2007. The desired configuration management feature in Configuration Manager 2007 allows IT administrators to automatically assess how computers comply with predefined configurations; for example, an IT department can monitor the health of a configuration implemented for Microsoft Exchange Server or Windows Server and are alerted when a server’s configuration drifts from the standard configuration. Configuration Manager also ships with configuration packs, which provide predefined, optimized configurations for a range of servers. In addition, one of the most time-consuming aspects of ongoing management of the datacenter can be automated and managed by using Configuration Manager. Updating servers with patches, drivers, etc. within enforced maintenance windows remains a key challenge for IT departments. The desired configuration management feature can automate this process, ensuring that servers are maintained, available, and compliant with organizational standards.

45.2 Software Distribution

786

Part E

Automation Management

and cost of solutions deliverable to enterprises or small businesses. In this case as with the web a decade ago the revolution started without Microsoft, since other companies have been selling on-demand software for years. However, a push by the world’s most powerful software maker will certainly accelerate the trend, and it is noteworthy that the immediate target of the new Microsoft Office Live on-demand platform is small business. Prerelease or beta versions of e-Mail, project management, collaboration, website design, and analytics programs will be available in early 2006. Microsoft aims to offer some services to small businesses for free; the basic version of Microsoft Office Live, will be supported by advertisers and will allow small businesses to establish a domain name, complete with a hosted web site with 30 MB of storage, among other services.

ware, and increasingly texts and books. Compared with physical distribution involving compact disks (CDs), digital video disks or digital versatile disks (DVDs), and paper formats, ESD offers dramatic improvements in cost, delivery time, and convenience. The trend toward ESD is irreversible. Nearly all software vendors offer electronic delivery options, and many new companies choose online downloads as their only vehicle for software delivery. An increasingly large proportion of consumer software and enterprise software are being delivered electronically.

45.2.4 Electronic Software Delivery

a) Ensuring robust download performance to promote user satisfaction, avoid costly physical fulfillment, and prevent lost sales b) Providing high-performance infrastructure that meets highly unpredictable demands in a costeffective manner c) Measuring and understanding download results and completion rates to gain insight into customer base and ESD efficacy d) Identifying specific geographic regions where end-users are downloading from and controlling/restricting access accordingly.

Distributing software over the Internet is becoming an increasingly popular means to distribute software to users. Electronic software delivery (ESD) refers to such distribution and particularly the practice of enabling users to download software from the Internet. In fact, ESD is the future of software distribution, sales, invoicing, payment, maintenance, and customer service and support. It is already an efficient and preferred way for vendors and buyers to distribute/acquire a wide range of software including music, videos, productivity soft-

Major Challenges of Electronic Software Delivery System ESD however has a long way to go as regards quality, reliability, and security of delivery. The following constitute the major challenges that ESD faces [45.8]:

Part E 45.3

45.3 Asset Management 45.3.1 Software Asset Management and Optimization Typically the investment in software amounts to 20% of an organization’s IT budget. The portfolio of software development and maintenance tools and its enterprise applications may be licensed from third-party vendors, developed in-house, or in some cases be open-source system software such as Linux, or open-source applications such as Apache or EMACS. In any case the IT organization must take full systems responsibility including currency, interoperability, and local support for all of the software in its portfolio, managing these as the organization would any other asset. In the case of in-house developed application packages the organization has conventional ownership of the asset; in the case of third-party systems or application software the or-

ganization has a lease-like licence for the use of the software and perhaps a maintenance service subscription; for open-source software the situation is less clear but can be sufficiently unambiguous that any risk of use is vastly outweighed by the technological and economic benefits of use. Depending on the size of the organization and its IT commitment, the human and financial resources required to manage software as an asset may vary widely. At an IBM conference for IT directors in Atlanta recently one of the authors sat next to a senior technical person from Bell-South. As the presenter went around the room of 30 IT directors asking for their titles and affiliation, he got to my seat mate who declared he was a Unix system administrator. The others looked at him in disgust, wondering how a low-level grunt like him got into this august gathering of senior managers. The

Software Management

fellow went on to finish his sentence saying that he managed 6700 Unix servers for Bell-South. Their expressions quickly turned from disgust to admiration as he probably had the biggest and most critical IT responsibility in the group. The complexity that the software asset manager must deal with comes in two flavors: first the inherent complexity of the software functionality itself, but second the number of desktop or laptop workstations that must be dealt with. Two decades ago most chief information officers (CIOs) avidly avoided taking responsibility for small computers outside their immediate purview, but since then the proliferation of client–server computing organization has long insisted that they take responsibility for them without the mandate of ownership. This introduces the complexity of numbers or volume, similarity to the complexity of a 1000 piece jigsaw puzzle, which is more than twice as difficult to assemble as a 500 piece puzzle. Few IT administrators are responsible for 6700 servers, but many are responsible for the effective operation of 10 000 or more client workstations remotely attached to the mainframe(s) or servers for which they do have ownership. As client–server technology as grown along with the third-party software vendor industry, so has the need for automation in software asset management. A glance at the Internet will reveal dozens of packages designed to aid the software asset manager in his or her task. We will not attempt to catalog this dynamic market here but rather only mention the availability and capability of such support software packages and describe what you might expect from one.

The director of development in the IT organization usually takes responsibility for what is called the applications portfolio of all the software systems, application packages, and tools licensed, owned, or used to fulfill the organization’s functions. In larger organizations the responsibility may be divided between a director of systems for system software and a director of applications for applications software. An organization supporting thousands of client platforms may have a third person responsible for the management of client hardware asset leasing, software asset licensing, and end-user support. As in any other IT function these managers must walk a tightrope to avoid too slow incorporation and support of new technology and too rapid incorporation of new technology. In the former case their end-users lose

787

competitive advantage because they do not have access to current software features and functions, and in the latter they lose competitive advantage due to unreliability of early release software, and perhaps even end-user training problems. The software asset portfolio should include all environmental, legal, functional, and resource requirements information about any program system, application, or tool, whether licensed from the hardware vendor, a third-party software vendor, open source or locally developed. During the preparation for addressing year 2000 (Y2K) computer compliance one of the most often asked questions about a perfectly working but not Y2K compliant COBOL75 program to the IT manager was: Do you have current source code? If the answer was “yes” the second question was: Do you still have an archived copy of your COBOL75 compiler? All too often the answer to the second question was that it had long ago been discarded because it was no longer supported by the vendor. It was very easy (and relatively inexpensive) to help those IT managers who saved everything and knew exactly where it all was. As the prophet Isaiah once said, “my people go into exile (or captivity) for lack of knowledge” (Isaiah 5:13). The requirements for a software portfolio are relatively simple:

• • • • • • •

Know what software you are using and its source and environmental requirements Know which of your users needs it, how often, and what their applications are If still supported by a vendor, know who to contact at the vendor for emergency support If not supported, maintain a current copy of the source code and a compiler that will compile it Know the legal or licensing constraints of the software and any sublicensed components that may be used within it Maintain a current source code file if possible; if not, insure that one is escrowed for you by the vendor Archive software that is no longer used; it is amazing how often someone very high up in the organization will need it one more time.

45.3.3 Software Asset Management Tools The issues that software asset management (SAM) tools offer to handle for an organization concern IT managers, financial managers, and corporate legal staff. For IT managers the issues of concern are:

Part E 45.3

45.3.2 The Applications Inventory or Asset Portfolio

45.3 Asset Management

788

Part E

Automation Management

• • • • • • • •

Manage site licences for software by user and workstation Get more out of underutilized assets by moving unused software to new users rather than purchasing more licences Manage unlicensed and open-source software anywhere in the system Summarize entitlement information Reconcile installations to entitlements Provide user services high-level access to vendor support as required Monitor lifecycles and proposed upgrades of all licensed software Ensure uniform corporate-wide installation of upgrades and support.

For financial managers:

• • • • •

Know whether all the software in firm is licensed and legal Avoid compliance fines and fees Ensure that site licences are sufficient but also at lowest cost Maximize underused software assets by redistributing existing licences to new users Minimize overall cost of licensed software.

For corporate legal staff in support of IT and financial management:

• • Part E 45.3

• •

Ensure absolute compliance with site licence provisions Inform all staff and department heads about the risks of using unlicensed software Inhibit maverick departments and personnel from downloading or sharing unlicensed software Limit corporate liability by ensuring that unauthorized or illegal (e.g., off-shore gaming, pornographic, child pornography, etc.) software is never installed on corporate desktops or laptops.

While managing these issues calls for little more than the application of common sense, doing so in a large corporation, university, or government organization with several hundred servers and 15 000 or more workstations and laptops is best done in a rigorous and disciplined way. One of the most pervasive problems is easiest solved; when CIO at a research university one of the authors had difficulty discouraging some faculty, research staff, and department personnel from downloading and sharing nonlicensed, non-opensource software that they felt entitled to use without licence. A short conversation with the general counsel

solved the problem with a single joint memo informing such users and department that, in the event they were sued for such noncompliance, they would have to pay the legal fees for their defense and any fines out of personal or departmental budgets, since the university would not be doing so. As for the latter, more sensitive and even higher risk issue, making sure everyone in the organization knows the consequences of noncompliance with corporate standards is usually sufficient. A somewhat larger issue is that software licensing agreements are not all the same. Site licences for colleges and universities are often more lenient, and may for example allow a faculty person to use two copies of the software, one on a desktop and the other on a laptop, providing that only one of them is being used at a time. Provisions such as this may require invoking a bit of the honor system but are usually manageable without undue risk.

45.3.4 Managing Corporate Laptops and Their Software Laptops have a special set of problems due to their mobility and susceptibility to shock-related damage. Many organizations support both personal computer (PC) and Mac laptops and thus may have to license the same software in more than one way. As laptop hard drives are more subject to shock damage and consequent loss of both system and application software as well as data, an inventory of the software licensed to each laptop may be critical. The mobility of laptops introduces hazards beyond shock in terms of theft or just loss of the whole machine. Corporate data may be seriously compromised in these situations so security and backup is especially important for laptops. If an organization has thousands of laptop users it may require a full-time person to maintain currency and security of both laptop hardware and software. Now that many organizations issue only laptops and not a desktop computer as well, a special focus on laptop computing assets beyond just SAM generally is worthy of consideration.

45.3.5 Licence Compliance Issues and Benefits As every personal computer user well knows, if you do not agree to the license agreement, the software will not install and open for you. Thus, the first benefit from licensing compliance is access. At the beginning the user was forced to actually read the licence before the in-

Software Management

staller would accept their agreement, but users never read these things (licences and contracts are written by lawyers and therefore only read by lawyers). When the vendors realized that everyone (except lawyers) was just scrolling to the end and clicking on the accept radio button, they began to allow the user to just hit the button and install. The licence is a form of contract and thus protects both the vendor and the user. Software is no longer bought and sold; rather the use of it or access to it is guaranteed by the vendor to purchaser of a licence. This means that, if it does not work as delivered, you can insist on certain fixes and upgrades, although you may have to pay an additional sum for the upgrades. After spending a great deal of creative effort building a system of application, it is some comfort to know that it will not be arbitrarily dropped or made unavailable to you. In such a case the end-user may need to finally read the licence agreement to determine his or her rights and what remedies may be available. If you build a complex ap-

45.4 Cost Estimation

789

plication without benefit of licence and something goes wrong then you have no recourse. In a large organization this is very important and careful management of site licences may be an important business continuity consideration if a real disaster happens.

45.3.6 Emerging Trends and Future Challenges The trend in personal computing and information sharing is definitely towards mobility. As WiFi wireless networks proliferate beyond today’s local-area networks (LANs) and small digital assistants, including enhanced cell phones, become more popular, one may expect to see the corporations computing, software, and information assets spread ever more widely. As in any other management situation it is necessary to know where everything is, how it is being used, and to minimize risk.

45.4 Cost Estimation 45.4.1 Estimating Project Scope

45.4.2 Cost Estimating for Large Projects Large software development projects have an unfortunate history of time and cost overruns and the largest and more complex of them have often been abandoned after several years and many millions of dollars invested. It is also true that some large software projects do finish, on time, within budget, and satisfy their users. Capers Jones, the dean of software estimation, did a study of both 59 manual and 50 automated estimates for large projects (e.g., in the 5000 function-point range) [45.10]. The manual estimates were created by managers using conventional methodologies and the automated ones by commercially available estimating tools. The manual estimates yielded lower costs and shorter times than actually experienced and only 4 of the 50 were within 10% of actual. Only one of the automated estimates was optimistic, most were much too conservative, but 22 were within 10% of actual experience. Curiously, both were fairly accurate estimating the actual coding involved, but the manual estimates were much too optimistic for the nonprogram-

Part E 45.4

One of the major problems in computer systems development is estimating the time and cost of a development project. It has often been said that the next major development in hardware technology forecast to be available in 10 years arrives in about half that time, but the time forecast to build a new software system takes twice as long and costs at least twice as much as the initial forecast. The field of software development is strewn with disasters and abandoned projects because the scope of the project was not understood from the beginning or was expanded beyond the time and resources available during development. A few tragic examples are given in the book Design for Trustworthy Software [45.3, p. 536]. One of the earliest and best contributions to the solution of this problem was Prof. Barry Boehm’s magisterial work entitled Software Engineering Economics [45.9]. The constructive cost model (COCOMO) which he developed for estimating the cost and development time for large mainframe software projects has been updated for the current client–server era and will be discussed below. While there are other similar development models and methodologies, COCOMO has long since become the gold standard for such methods and is available in several commer-

cial versions. Here we will briefly review the COCOMO suite and a recent product, SEER-SEM, which is based on it. These are typical of the software estimation packages available to the software developer today.

790

Part E

Automation Management

Table 45.1 Relative software development activities and effort by genre (after [45.10, p. 3]) Activity

WWW %

Requirements Prototype Architecture Planning Func design Tech design Design review Coding Reuseability Package purchase Code inspection Verification and validation Config management Integration Documentation Unit testing Functional testing Integration testing System testing Field testing Acceptance testing Independent testing Quality testing Training Project management

5 10

30 5

MIS % 7.5 2 0.5 1 8 7 20 1

Outsource %

Commercial %

System %

Military %

9 2.5 1 1.5 7 8 0.5 16 2 1

4 1 2 1 6 5 1.5 23 2

4 2 1.5 2 7 6 2.5 20 2 1 1.5

7 2 1 1 6 7 1 16 2 1 1 1 1.5 1.5 10 3 5 5 6 3 3 1 1 1 13

1.5

10 30

10

3 2 7 4 6 5 7

3 2 9 3.5 5 5 5

5

3

2 12

1 3 12

Part E 45.4

ming parts of the project such as design, documentation, rework, testing, project management, etc. Jones notes that, for projects with fewer than 1000 function points (or about 125 000 C source LOC), programming is the major cost driver, but for projects above 10 000 function points (or about 1 250 000 C source LOC), testing and bug removal, plus documentation are much more costly than the programming or actual implementation cost. The dynamic of software development cost estimation is that developers tend to be conservative, especially those who have survived one or more unsuccessful project death marches, but their customers, i. e., the senior managers who need the application tend to be optimistic about both cost and time and crowd the developers toward more optimistic estimates. If the developer is too cautious and conservative the manager will simple not buy the project. Any estimate, whether it turns out to be optimistic or conservative in the end, must be defensible and is best based on experience with previous projects of the same size and complexity.

1 1.5 12 2.5 6 4 7 6

2 11

1 2 10 5 5 5 5 1.5 1 2 1 12

As software development projects get larger and the specter of later abandonment becomes more threatening, the need for more accurate estimates has prompted the development of a whole new software development service industry to provide quality tools. Some of the estimating tools on the market today are COCOMO II, CoStar, CostModeler, CostXpert, KnowledgePlan, PriceS, SEER, SLIM, and SoftCost [45.10, p. 2]. Some of the first generation of tools are still in use but are no longer being supported or sold. Jones reports that the basic kernel of functions supported by such tools includes:

• • • • •

Sizing logic for specifications, source code, and test cases Phase-level, activity-level, and task-level estimation Schedule adjustments for holidays, vacations, and overtime Local salary and burden rate adjustments Adjustments for military, systems, and commercial standards

Software Management

• •

Metrics support for function points and/or LOC Support for maintenance and enhancement.

More advanced features available in some estimating systems include quality and reliability estimates, risk and value analysis, return on investment (ROI), models to collect historical data, statistical analysis, and currency conversion for overseas development. Table 45.1 summarizes Jones experience with six different application genres. While he styles the table as merely illustrative it certainly fits one of the author’s more than 50 years developing software in all six of these genres. The new class of automatic software estimating tools may not be perfect but they are very good and may prompt the user to include factors he or she might otherwise overlook. As always in any complex human endeavor, there is no substitute for experience.

45.4.3 Requirements-Based a priori Estimates

software engineering one must make the estimate before design since, for software, design replaces manufacturing. This places a tremendous burden on requirements discovery [45.12] and on the subsequent specification process. It has long been conventional wisdom in software development that one could write a precise functional specification (i. e., precise to both the implementers and the end users). Efforts to do so have greatly improved in the current era of object-oriented programming analysis and design, and have given new hope for the decades-long search for the holy grail of software development: specification-based programming [45.3, p. 502–506]. New technologies coming on to the market today such as Lawson Software’s Landmark and Wescraft’s Java refactoring system, inter alia promise a high degree of programming automation for Java-based software [45.3, p. 501]. Moreover, since the precise functional specification is written by domain specialist(s) and the Java code produced automatically by a metacompiler, what you as domain expert or allele for the end-user specify is truly what you get. This innovation in programming will dramatically change the way software is designed, implemented, and maintained, but it also will put even more burden on the requirements discovery and specification part of the project, which according to Dekkers are already the source of 60–99% of the defects delivered into implementation [45.12]. We have long known that defects are written into software, just like villains are written into melodramas, and with similar high drama and anxiety we see as we approach the end of the project, i. e., the well-known and very precise date of delivery. In our opinion the best way to deal with this commonly occurring situation is the analytic hierarchy process (AHP) developed by Saaty [45.13], which naturally prioritizes the user requirements as they are identified. Clearly, the most critical and timeconsuming requirements come first to the user’s mind and are the most important to the user’s ultimate satisfaction with the end result of the software development project. A description and overview of AHP together with examples of its application is given in [45.3, Chap. 8].

45.4.4 Training Developers to Make Good Estimates The need to train developers to make precise estimates is an artifact of the conventional software design process, in which requirements and functional designers write in one language, technical or detail designers in

791

Part E 45.4

Of course it is easier to estimate a large software project if this is the tenth one you have done just like it, but that is rarely the case. Developers who managed to do two or three projects fairly well are often promoted to chief software architect or director of MIS. What can be done when you need to create a requirementsbased estimate and the requirements are not completely known yet? Amazing as it may seem to an engineer, this case occurs very often in software development, and can be tolerated because software is not manufactured like other systems and products designed by engineers. A software development project is the result of a negotiation and, like any other negotiated decision, can be renegotiated. Software is simply designed, redesigned, and redesigned again as it is implemented. The only software implementation analog to hard goods manufacturing is the almost completely error-free process of copying a computer file on to one or more CD-ROMs. The hardware designer would think that the software engineer would follow the logical process of requirements discovery, functional design, technical design, implementation, testing, documentation, and delivery; however, the more common case in software development is that the first truly known and understood parameter is the delivery date to the customer. Hence, the software developer’s commonly employed process, known as date-driven estimation [45.11]. So at this point we now when the project is to be completed but as yet do not know what is to be delivered. In traditional engineering one makes the estimate after design but in

45.4 Cost Estimation

792

Part E

Automation Management

Part E 45.4

another, testers in yet another, and the end-user in even yet another, but a bit more similar to the first language in the sequence. Understanding software design documents (including the actual source code) going from one stage to the next in the process seems to involve an inherent language translation effort which can be the source of many defects in the end result; for example, functional specification writers tend to think in terms of effort units, placing the project in a cost and resource framework. Developers however, tend to describe size and complexity in terms of the things they will have to make to implement the requirements [45.14]. Commercial estimation packages need either concrete measures such as source LOC or abstract measures such as function points as input, but are encoded with sufficient industry experience to translate back and forth between such measures. Putnam and his associates, the developers of SLIM, have developed a process for mapping units of need into units of work which is able to train estimators to take best advantage of the new software estimation tools coming onto the market. As an alternative to the conventional software development process, one determines the size of the software components by analyzing them into common low-level software implementation units; creating a model-based first-cut estimate using known experiential productivity assumptions, project size, and critical components; performing what-if modeling until an agreed-upon (i. e., negotiated) estimate has been developed; and finally creating a detailed plan for the project [45.14]. The what-if modeling part of this alternative employs the estimation tool (SLIM in his example) in a feedback loop as well as a feedforward process and provides a powerful learning experience for the estimator. The intermediate units, for example, would include forms, new reports, changed reports, table changes, job control language (JCL) changes, and SQL procedures, and for each such unit of work a qualitative measure of effort, e.g., simple, average or complex. This is a more analytical and finer-grained approach than the conventional method of estimating the number of reports, estimating the number of forms, then the number of transactions per component, and then the number of database accesses, etc. Highly experienced COBOL programmers of yesteryear could use the timehonored conventional approach to estimate a project’s source LOC to within a few percent using conventional methods and experience, but object-oriented analysis, design and programming (OOAPD)-based design begs for a more analytical and finer-grained modeling as proposed and implemented by Putnam.

45.4.5 Software Tools for Software Development Estimates In the late 1970s and early 1980s Barry Boehm developed the original COCOMO system mentioned above to support the first generation of true software engineers in estimating the cost of mainframe software development. By 2000 the need for a version this tool able to handle object-oriented programming (OOP) and client–server software development led to COCOMO II. There is also a large suite of collateral but genre or methodology specific variations and derivatives of COCOMO models and packages available today [45.15, p. 2]. The major variations are COCOMO II, COINCOMO, and DBA COCOMO, which are fundamentally the same model but tailored for different development situations. Commercial version of COCOMO such as Costar (http://www.softstarsystems.com) and CostXpert (http://www.CostXpert.com) provide even further cost estimation capability (see also http://sunset. usc.edu/ ). The basic logic of COCOMO models is based on the general model or formula 

( B # × EM , PM = A × Size where PM is person months, A is a calibration factor, Size is a measure of functional size for a software model that has an additive effect on the software development effort, B are scale factors that have an exponential of nonlinear effect on software development effort, and EM are effort multipliers that influence software development effort. Clearly this is an experience-based model or formula, not merely an equation to be evaluated. Each factor in the equation can be represented by either a single or multiple values depending on the purpose of the factor; for example, Size may be measured in either source LOC or function points, and EM may be used to describe development environment factors such as software complexity or software reuse. COCOMO II has 1 additive, 5 exponential, and 17 multiplicative factors, but other models in the suite have a different number depending on the scope of the effort and software genre being estimated by that model [45.15]. Boehm’s current research at the University of Southern California (USC) is directed toward a unification of the COCOMO suite of models in order to provide a comprehensive estimate for the development of a software system to help developers make more precise cost and time estimates for their projects.

Software Management

SEER-SEM is a cousin of COCOMO since both followed the early work of both Jensen method and Halstead’s software science. As in most other models the development environment is characterized by parameters. The architecture of a software cost estimation model is characterized by the way it answers the following questions [45.16]:

• • • • • • •

How large is the project? How productive are the developers? How much effort and time are required to complete the project? How does the outcome change under resource constraints? How much will the project cost? What is the expected quality of the outcome? How much effort will be required to maintain and upgrade the system in the field?

While the model can estimate program size in either LOC or function points we will display only the source LOC formula here Se = NewSize + ExistingSize × (0.4 × Redesign + 0.25 × Reimpl + 0.35 × Retest) ,

K = D0.4 (Se /Cte )1.2 , where Se is effective size, and Cte is effective technology, a composite metric capturing factors relating to development efficiency or productivity, based on an extensive set of experiential people, process, and product parameters. D is staffing complexity, which depends on how quickly qualified staff can be added to the project [45.16]. Once effort is obtained, the time to implement can be found from td = D−0.2 (Se /Cte )0.4 . The exponent on the critical ratio reflects the fact that as the project size increases so does the time to complete, however at a lesser rate.

793

45.4.6 Emerging Trends and Future Challenges The future of software development may be a very interesting revolutionary one rather than the evolutionary one we have experienced for the past 50 years. The emergence of very high-quality open-source software such as Linux and Apache raises the natural question as to why management should pay large sums and wait long times to develop custom proprietary software when open-source alternatives are available. Of course the answer to this question is they do not need to: if a timeand industry-tested alternative is available it should be added to the portfolio, but managed in a somewhat different way. We should only write software when we have to, and this principle is leading to a restructuring of the software industry from a vertical to a horizontal model. In the future most software vendors will sell their products to other software vendors, similar to the structure of today’s automobile industry. Henry Ford began his company with an extremely vertically integrated business model like those of European manufacturers: he had his own taconite mines in Minnesota to feed his own steel mills, his own sand mines in New Mexico for producing glass windows, his own sheep ranches in Montana to produce the wool for upholstery, etc. Today, every small engineering and machining firm in Windsor, Ontario produces part for Ford. We think the same development is happening in software, aided by OOP and the functional componentization and consequent reusability of software. Many firms will write software but only a few of them will deliver it to endusers. The computer revolution has produced a hardware performance gain of more than 1010 in the 60 years since the ENIAC was announced in 1946; however, the productivity gain in software development has been much less impressive. Countess Ada Lovelace invented the idea of the program loop in 1844 while watching Jacquard loom operate; Betty Holberton invented the sort–merge generator at the Harvard Computation Laboratory 100 years later; a decade later Dr. Grace Hopper left Harvard to build the first compilers, MathMatic and FlowMatic at Univac, while Mandaly Grems at Boeing was creating sophisticated scientific programming interpretive systems for the IBM 701.26. The progression of programmer-oriented languages such as COBOL, FORTAN, and ALGOL in the second software generation led to a factor of 10–20 in programming productivity. Third- and fourth-generation software added additional increase by at least an order of magnitude

Part E 45.4

where Se increases in direct proportion to the amount of new code being added, but by a lesser amount for the redesign, implementation, and retesting of existing code [45.16, p. 1]. This pragmatic approach reflects the fact that today’s programmer rarely starts with a blank piece of paper (or a blank screen, as it were), but rather is redesigning or upgrading some existing system or application package to run in a new or enhanced environment. The formula for effort in SEER-SEM is

45.4 Cost Estimation

794

Part E

Automation Management

each, but three or four orders of magnitude overall is much smaller than ten orders of magnitude. The future of software development belongs to pattern languages. Christopher Alexander is a building architect who discovered the notion of a pattern language for designing and constructing buildings and cities. In general, a pattern language is a set of patterns or design solutions to some particular design problem in some particular domain. The key insight here is that design is a domain-specific problem that takes deep understanding of the problem domain and that, once good design solutions are found to any specific problem, we can codify them into reusable patterns. A simple example of a pattern language and the nature of domain specificity is perhaps how farmers go about building barns. They do not hire architects but rather get together and, through a set of rules of thumb based on how

much livestock they have and storage and processing considerations, build a barn with certain dimensions. One simple pattern is that, when the barn gets to be too long for doors only at the ends, they put a double door in the middle. Only with a powerful design or pattern language – and in general a domain-specific design language (DSDL) – can we overcome the inherent limitations we have in our ability to comprehend the working of some complex system, to model it, and then automate those portions which can be mechanized [45.17]. Lawson Software’s experience with Richard Patton and Richard Lawson’s Landmark [45.3, p. 501] DSDL has not only shown more than another order of magnitude in business enterprise software development, but in the first year of delivering accounting, supply chain, and human resources (HR) applications only one bug has been reported. This is the future of software development.

45.5 Further Reading • • • • Part E 45

• • •

J. Bosch: Design and Use of Software Architectures: Adopting and Evolving a Product Line Approach (Addison-Wesley, Reading 2000) A. Cockburn: Agile Software Development (Longman, Boston 2002) D. Dikel, D. Kane, S. Ornburn, W. Loftus, J. Wilson: Applying software product-line architecture, IEEE Comput. 30(8), 49–55 (1997) D. Dori: Object-Process Methodology (Springer, Berlin, Heidelberg 2002) A. De Lucia, F. Ferrucci, G. Tortora, M. Tucci: Emerging Methods, Technologies, and Process Management in Software Engineering (Wiley, IEEE Computer Society, New York 2008) R. Fantina: Practical Software Process Improvement (Artech House, Norwood 2005) P. Hall, J. Fernandez-Ramil: Managing the Software Enterprise: Software Engineering and Information

• • • • • •

Systems in Context (Cengage Learning Business, London 2007) I. Jacobson, G. Booch, J. Rumbaugh: The Unified Software Development Process (Addison-Wesley, Reading 1999) D. Leffingwell: Scaling Software Agility: Best Practices for Large Enterprises (Addison-Wesley, Reading 2007) D. Leffingwell, D. Widrig: Managing Software Requirements: A Unified Approach (Addison-Wesley, Reading 1999) A. Mathur: Foundations of Software Testing (Addison-Wesley, Reading 2008) P. Robillard, P. Kruchten, P. d’Astous: Software Engineering Processes: With UPEDU (AddisonWesley, Reading 2002) W. Royce: Software Project Management: A Unified Framework (Addison-Wesley, Reading 1998)

References 45.1

45.2

45.3

S. Barclay, S. Padusenko: Case Tools History, Curriculum Methods Class CURR 309, Computer Science, Faculty of Education (Queen’s University, Kingston 2008), http://educ.queensu.ca/˜compsci/units/ casetools.html#HIST B. Boehm: Foreword. In: Software Management, ed. by D.J. Reifer (Wiley Interscience, New York 2006) p. ix B.K. Jayaswal, P.C. Patton: Design for Trustworthy Software: Tools Techniques of Developing Robust

45.4 45.5

45.6

Software (Prentice Hall, Upper Saddle River 2006) p. 58 D.J. Reifer (Ed.): Software Management (Wiley Interscience, New York 2006) LANDesk Software Ltd.: http://www.networkd.com/ pdf/LDMS8/Data_Sheets/ds_mgtsuite_en-US.pdf (South Jordan, 2007) Microsoft System Center: Controlling Costs and Driving Agility in the Datacenter, MS Whitepaper (Microsoft, Redmond 2007)

Software Management

45.7 45.8

45.9 45.10

45.11

45.12

LANDesk Software Ltd.: Solutions Brief (LANDesk, South Jordan 2007), http://www.landesk.com Akamai Electronic Software Delivery: Business Benefits and Best Practices (Akamai, Cambridge 2007), http://www.akamai.com/dl/whitepapers Akamai_ESD_Whitepaper.pdf B.W. Boehm: Software Engineering Economics (Prentice Hall, Upper Saddle River 1981) C. Jones: Software cost estimating methods for large projects, Crosstalk (2005), http://www.stsc. hill.af.mil/crosstalk/2005/04/0504Jones.html S. McConnell: After the Gold Rush, 2004 Systems and Software Technology Conference (Salt Lake City 2004) C.A. Dekkers: Creating requirements-based estimates before requirements are complete, Crosstalk (2005), http://www.stsc.hill.af.mil/crosstalk/2005/ 04/0504Dekkers.html

45.13

45.14

45.15

45.16

45.17

References

795

T.L. Saaty: The Analytic Hierarch Planning Process: Planning, Priority Setting, Resource Allocation (McGraw-Hill, New York 1980) L.H. Putnam, D.T. Putnam, D.H. Beckett: A method for improving developer’s software size estimates, Crosstalk (2005), http://www.stsc.hill.af.mil/ crosstalk/2005/04/0504Putnam.html B.W. Boehm, R. Valerdi, J.A. Lane, A.W. Brown: COCOMO suite methodology and evolution, Crosstalk (2005), http://www.stsc.hill.af.mil/crosstalk/2005/ 04/0504Boehm.html L. Fischman, K. McRitchie, D.D. Galorath: Inside SEER-SEM, Crosstalk (2005), http://www.stsc.hill.af. mil/crosstalk/2005/04/0504Fischman.html R.D. Patton: What can be automated? What cannot be automated?. In: Springer Handbook of Automation, ed. by S.Y. Nof (Springer, Berlin, Heidelberg 2009), Chap. 18

Part E 45

“This page left intentionally blank.”

797

Practical Auto 46. Practical Automation Specification

Wolfgang Mann

46.1 Overview.............................................. 797 46.2 Intention ............................................. 46.2.1 Encapsulation ............................ 46.2.2 Generalization (Inheritance) ........ 46.2.3 Reusability ................................ 46.2.4 Interchangeability...................... 46.2.5 Interoperability..........................

798 798 799 799 799 800

46.3 Strategy............................................... 46.3.1 Device Drivers ............................ 46.3.2 Equipment Blocks ...................... 46.3.3 Communication ......................... 46.3.4 Rules ........................................

800 800 801 802 802

46.4 Implementation ................................... 803 46.5 Additional Impacts ............................... 46.5.1 Vertical Integration and Views ..... 46.5.2 Testing...................................... 46.5.3 Simulation ................................

803 803 804 804

46.6 Example .............................................. 46.6.1 System ...................................... 46.6.2 Impacts..................................... 46.6.3 Succession.................................

804 806 807 807

46.7 Conclusion ........................................... 807 46.8 Further Reading ................................... 807 References .................................................. 808 1000 physical input/output (I/O) and measurement points.

46.1 Overview Complex systems with many dozens of subsystems become increasingly challenging in terms of programming, interfacing, and commissioning, in both operation and maintenance. The reasons for this intricacy are multifarious and include [46.1]:

1. Product lifecycles getting shorter, and even technological cycles getting shorter. 2. Orders to stock are replaced by short orders to delivery. 3. Change form self-production to integration of subsuppliers.

Part E 46

This chapter specifies equipment-based control system structures for complex and integrated systems and describes the approach to and implementation of an equipment-based control strategy. Based on a view of subsystems in a production, process or a single machine the control system has to abstract the subunits in an objectoriented manner to obtain their methods and properties. The base subunits will run as separate state machines (either on centralized or decentralized control devices) representing themselves to the next control hierarchy level only by said methods and properties. These base subunits form functional subsystems in the same way. Advantages of such a modular specification are: easy replacement of different base units with the same functionality to the next hierarchy level, high efficiency in construction kit engineering of systems, easy integration of systems to vertical integration attempts – especially in the field of networking and data concentration. The challenge is the implementation on standard industrial programmable logic controller (PLC) systems with a standard industrial-like programming language (e.g., EN 61131). An example demonstrates the implementation in a modern test stand for heat meters for the German Physikalisch-Technische Bundesanstalt (PTB) institute, a system with about

798

Part E

Automation Management

4. Decentralized stock and service. 5. High price pressure. 6. Change form manually process integration to integrated processes. 7. Change from product supplier to system supplier. 8. Production processes control multiple enterprises. 9. e-Commerce and quality management systems additionally produce enormous quantity of data and force the introduction of data management throughout the company. These requests can be covered by a horizontal and vertical integration of process and production systems and subsystems within the company and extending the network to suppliers and even customers. So the systems become more complex, open, distributed, and heterogeneous. Dataflow often has to be implemented throughout the complete enterprise hierarchy form the shop floor area to enterprise management level. To address these attempts, new software concepts have to be implemented throughout the complete information chain within an enterprise, starting at the I/O level of the production line.

Although there are well-developed methods and strategies to produce well-structured, reusable, and sophisticated code in the information technology (IT) personal computer (PC)-based environment, industrial control at its base level is still done using standard PLC systems with EN 61131-like programming language using functional blocks, structured text or instruction lists at large scale [46.2]. As long as the projects are small and centralized a programming technique limited to the I/Os of industrial controllers and their periphery is applicable, with some restrictions. However, with the above-stated imperative demands in mind, conventional I/O-based engineering attempts leads to unstructured, error-prone code, resulting in cost overruns during installation and operation. We consider the traditional PLC programming style more as an expression of old-fashioned thinking, having its tradition as far back as relay-based times, rather than an exigency coming from existing hardware and in particular software systems. Before the implementation strategy is described, we look for the must-haves to overcome the shortcomings of traditional industrial control programming.

46.2 Intention

Part E 46.2

Many of the following topics have been implemented for years on IT-based systems using C++, Java or other object-oriented (OO) languages. Some of these have found their way into the International Electrotechnical Commission (IEC) 61499 function blocks (with a lack of practical implementations untill now), but actually the bulk of industrial control applications is still done on EN 61131-based systems. So, in the following, focus is placed on the implementation on these existing systems [46.3].

46.2.1 Encapsulation The most important part of changing the thinking in the traditional control programming approach is to leave the physical I/O connections as the primary way of composition and interaction of systems. Normally an object in the real world is experienced by humans through its properties, attributes, features (however named), and the possibilities it offers or what we can do with this object (called methods). In general we realize this object only in a certain abstracted layer.

The level of this abstraction is chosen according to our actual demands. As an example we take a human being (a car mechanic). If our car is broken, we abstract him as an object: human with the attributes educated, skilled on certain brand, available, etc., and his methods: takes order, repairs car, renders account, etc. We are not interested in how the blood flows through his veins, how his heart functions, etc. His doctor on the other hand is interested in exactly these methods and attributes. He will abstract him as object: human with the attributes: sex, age, blood pressure, etc. and methods: sees, smells, tastes, etc. Coming back to automation. We are interested in automated systems for production and processes, control and testing, etc. Usually complex systems are built as a network of subsystems (objects) based again on a network of subsystems. In our namespace these subsystems are called equipment. The term equipment could lead to some unaesthetic grammar constructs in the following, but we have chosen it intentionally: firstly, equipment ex-

Practical Automation Specification

presses things we need for some activities, especially in production, and secondly it is already a plural expression, although it could be a single device or the whole factory. So it represents the modularity of the method down to the smallest base modules (that in general again consist of parts). We differentiate between base equipment and abstracted equipment. A motor, a valve or a pump is a single base equipment. A pump station, for example, is a single abstracted equipment. What is the definition of base equipment in contrast to abstracted equipment? A base equipment implements interface access via physical I/Os to the real world, whereas an abstracted equipment implements interface access to physical I/Os only via base equipment. Nevertheless base equipment can of cause host other base equipment (see Sect. 46.2.2 as well). The intention for building equipment is to encapsulate the actual physical implementation of one subsystem from the collaboration with other subsystems, as well as to support the abstraction of these systems for different service subscribers (with different interests, e.g., production, service, and management) to its methods and/or properties. The encapsulation consists of three layers: the interface layer (providing abstracted methods and properties), the implementation layer (running the algorithms, the event routines, and the exception routines), and the base layer. The base layer is the interface to the physical I/Os and the access to the implementation layer of subequipment for base equipment and the same without direct access to physical I/Os for abstract equipment. Access from one single equipment to another is allowed only by use of its interface layer. This strict access method allows one to change the implementation layer as well as the base layer of equipment without affecting how it is accessed.

46.2 Intention

general equipment and a more specific one, without redefining all the specific methods and properties, although if required it is possible to override the generic methods and properties of the generic equipment with more specific ones. To follow our first example, a woman inherits all the methods and properties of the object human, but has of course more specific attributes and methods, most importantly to give life to new humans. We will give more technical examples in the implementation section.

46.2.3 Reusability Probably it is the basic idea of every engineer to built up a system (whichever) out of already existing, used, and tested parts coming from a toolbox (as children do when playing Lego) to create new systems and projects. By doing this an engineer mostly thinks about saving time, lowering risks, and reducing overall costs. The basis for doing this is encapsulation and the concept of instances. As a single equipment is the implementation of methods and at the same time its abstraction, and the base layer is the interface to the physical world, it is only a general construct. The base equipment, e.g., is brought to life when it is connected via its interface to defined physical I/O points and placed as a defined instance in the state machine call (see the implementation section); for example, we define an equipment pump with all its methods and properties, but only when we have certain pumps (FreshwaterPump01, WasteWaterPump01, . . . ) instantiated in our project will water flow. So with these concepts we are able to forget the internal details of the equipment for all future implementations of the same sort of pump and can concentrate on the method and property interface.

Interchangeability has a strong relation to reusability as it is based on the same concepts, although it describes another topic. Reusability refers to the ability to use the same equipment without major efforts again in the same or another project. Interchangeability applies when we want to replace existing equipment with another type of equipment, but with the same functionality. According to our encapsulation approach, equipment with the same functionality should have the same interface layer, although the implementation layer and the base layer

Part E 46.2

46.2.4 Interchangeability 46.2.2 Generalization (Inheritance) In the implementation of object-oriented languages the method of inheritance is an important feature. It describes the deployment from more generic objects to more specialized ones. As in the following implementation we have no special software tools in standard EN 61131-based systems for constructing strict inheritance (as is possible in C++), we talk about generalization and bear in mind the underlying ideas. So, for our needs, generalization allows hierarchical structures and establishes a relationship between a more

799

800

Part E

Automation Management

are different. We advise strict application of this rule, at least for base equipment. Consider, for example, that one has to change a pump with all its control and monitoring periphery for any reason (customer demand, unavailability, etc.) with one from another manufacturer. It is evident that often the physical implementation of the machine will be different, even though it has the same functionality. Having two equipments describing the two pump systems with the same interface layer makes software changes possible in a matter of minutes.

46.2.5 Interoperability As long as the defined equipment runs on a centralized system, communication between them leads to no trouble. As we pointed out in the Introduction systems nowadays are highly complex and distributed, so we need implementation concepts to simplify access between equipment even in high-grade networked and

heterogeneous environments, starting at low control levels with existing equipment. We will not describe all the systems and attempts in hardware and software that exist or are in development, which could fill books. We only want to focus on the way we structure the issue. As pointed out in the paragraph on encapsulation, the only way of accessing other equipment is by using the interface layer. As a fetch-ahead of the implementation, we will see that this interface is not part of the equipment functions, but is a separate, generally available data structure. For the time being, this data structure is only composed of basic data types. As a paradigm we do not allow the implementation layer of a single equipment to be spread over several controllers. This seems like a strong restriction on first reflection, but it enforces well-elaborated encapsulation, and good and fine-structured systems. As an additional advantage, it simplifies equipment communication, which is one of our aims.

46.3 Strategy 46.3.1 Device Drivers As we defined in the paragraph on encapsulation the basis of every system is its base equipment – the equipment connected directly to the physical world. We consider this equipment like device drivers in the PC environment. In the same way that a program in WinEquipment Control valve

Methods: GoPosition 50% Open Close etc.

Properties: Position 50% Status FailureNr etc.

Part E 46.3

Device driver

Equipment interface Discrete configuration:

µC based positioner

Motor Status pot Limit switches

Discrete

Bus based

Digital I/O Analog I/O

Profibus Fieldbus

SW implementation Implementation layer

Communication Base layer Physical interface Physical implementation

Electric

Pneumatic

Fig. 46.1 Example of a single base equipment (control valve)

dows does not care about the actual physical mouse type connected to the computer, but only the abstracted events, other base or abstracted equipment does not care about the physical implementation of the accessed equipment. All base equipment, at least, is implemented in the implementation layer as a state machine, executing the functions necessary to the actual state and checking all allowed transitions to switch to another. Typically another cycle is used to catch all possible exceptions. Figure 46.1 shows the example of a control valve (base equipment) with two possible physical and software implementations. The left column in the implementation part depicts a discrete built-on electric valve with a motor, a potentiometer providing feedback, and limit switches for the end positions. In this case the implementation layer has to build up the complete functionality of the valve, such as the control of the motor, the control loop with the feedback potentiometer, etc. In the right column a micro controller (µC) positioner-based pneumatic valve is represented. Here the implementation layer has to manage mainly the communication to the µC positioner, as the control itself is done by the external logic. Additionally the independency of the physical implementation is visible. As the electrical valve is

Practical Automation Specification

Interface layer State 02

Trans02

Base layer

Central Decentral

Trans01

State 03

Trans02

Discrete Bus based

State 01

Interface Equip01:

Physical I/O:

Meth01, Meth02, ... Prop01, Prop02, ...

Discrete Bus based

Fig. 46.2 Example of a specifying single base equipment (equipment block diagram); Equip01 does not use other equipment, accessing only physical I/O

connected via the base interface to discrete I/O, the pneumatic valve is running on a bus system. Nevertheless the interface layer is the same, and we can control both valve types from higher-level equipment with a handful of methods and properties. So we fulfill at least the demands for encapsulation, reusability, and interchangeability.

46.3.2 Equipment Blocks To model a complex system a graphical representation of its equipment is helpful. We choose a unified modeling language (UML) class-like representation, although the diagram has an additional area for the base layer [46.4]. Figure 46.2 shows an equipment block for a single base equipment interfacing the base layer singly to

Methods: Start, stop, break, ... Properties: Running, stopped, break status, error, errorNO

Interface layer

Physical I/O: Motor relay on, break on, relay/protection feedback

Base layer

Physical I/O Equip01 Fig. 46.4 Specifying generalization of single base equip-

ment

physical I/O and not to other equipment. The implementation layer is not a must for the diagram representation, but can be used for clarification of the actual execution of the equipment. Figure 46.3 depicts the diagram for a simple motor with a brake controlled only by a relay–motor protection switch combination with feedback contacts. Figure 46.4 shows a more specific equipment inherited from a general one. Equip11 will use the methods and properties of Equip01, adding some specific new methods and properties by implementing additional

Motor 11 Methods: Start, stop, break, hold, changeDirection, changeFrequency Properties: Running, stopped, direction, frequency, break status, error, errorNo Interface Motor01: Start, stop, break, running, stopped, error, errorNo

Physical I/O: DirectionInput, FrequencyAl, FrequencyAO, ...

Fig. 46.5 Specifying implementation of single base equipFig. 46.3 Specifying implementation of single base equip-

ment (relay/motor protection controlled motor)

ment based on generalized base equipment (motor controlled by frequency converter)

Part E 46.3

Motor 01

Central Decentral

Base layer

State 02

Trans03

Interface layer

State 03

Trans01

State machine

Base layer

State 01

Trans03

Algorithms

Implementation layer

Interface layer

State machine

Methods: Meth11, Meth01, ... Properties: Prop11, Prop01, ...

Implementation layer

Methods: Meth01, Meth02, ... Properties: Prop01, Prop02, ...

Physical I/O:

801

Equip 11

Equip 01

Algorithms

46.3 Strategy

802

Part E

Automation Management

physical IO and/or by implementing new algorithms and transitions in the interface of Equip01. Figures 46.4 and 46.5 demonstrate the generalization (inheritance) of equipment in general based on the example of a more sophisticated implementation of the motor controlled by a frequency converter unit. Bear in mind that there are no automatic mechanisms for inheritance in EN 61131 as in object-oriented programming (OOP) languages. Nevertheless, we implement the underlying idea and call the method generalization to indicate the difference. Equip11 can forward the interface layer of Equip01 to its own interface layer or can override certain methods and properties of Equip01 as its own implementation. Figure 46.5 depicts a motor controlled via an intelligent frequency converter. It is derived from the more general Motor01 shown in Fig. 46.3. Depending on the actual implementation, the interface of Motor01 can be forwarded directly to the interface layer of Motor11, or some methods and/or properties have to be overridden. Figure 46.6 represents a single abstract equipment, built up on two sublevels, as Equip02 accesses another equipment. Euip21 is abstract, as it does not access physical I/O directly.

Algorithms

State machine (optional)

Trans03

State 01

State 03

Trans01 State 02

Implementation layer

Methods: Meth21_1, Meth11, Meth21, ... Properties: Prop21_1, Prop11, Prop21, ...

Interface layer

Equip 21

Part E 46.3

Interface Equip01:

Interface Equip02:

Meth11, Meth12, ... Prop11, Prop12, ...

Meth21, Meth22, ... Prop21, Prop22, ...

Physical I/O Equip01

Phys. I/O Equip02

Base layer

Trans02

Interface Equip0X

Fig. 46.6 Abstract equipment (does not access physical I/O directly)

46.3.3 Communication As stated above, communication between equipment is allowed only through use of the interface level. For communication between equipment on the same control unit, shared data blocks are used in general. For homogenous distributed systems all open or proprietary transfer mechanisms are allowed, ensuring coherent data transfer. For data communication between heterogeneous distributed systems a standardized communication protocol has to be used. As object linking and embedding (OLE) for process control (OPC) data access (DA) is widespread, we normally use this protocol. As version 2 of this protocol does not support well-structured data, the interface layer data has to built up by basic data types only when using OPC DA 2. With broad distribution of OPC DA 3 or OPC extensible markup language (XML) data access (OPC XML-DA) this constriction will vanish in the foreseeable future, reducing implementation time for the interface communication [46.5]. Special attention has to paid to access to the interface layer of a single equipment by another equipment. As indicated in Figs. 46.4 and 46.6, in general, a single subequipment is embedded into another equipment only via connecting the interface layer of subequipment to the base layer of the accessing equipment. In this way we will obtain a well-structured and strictly hierarchical system. An exception to this rule has been implemented for accessing data of interest to a group of equipment, bypassing this strict hierarchical system. A separate handler extracts filtered data from the interface layer of defined equipment, stores it in separated data blocks, and present these to a certain interface of the implementation layer. In general we use this outlier only for exception handling.

46.3.4 Rules As the following implementation will be done on standard EN 61131-based systems, the following rules have to be implemented as a design guide, as these existing systems do not force the engineer to act in an equipment-oriented way. On the other hand, only by

Practical Automation Specification

using a C++ compiler, nobody is barred from writing unstructured code in an objectless method. The rules are: 1. Encapsulation is a must: no direct access to the physical level other than by distinctive base equipment. 2. Abstraction has to be forced to the highest level possible, which makes especially interchangeability simple.

46.5 Additional Impacts

803

3. Interequipment communication has to be done only by use of the interface level (methods and properties). 4. Generalization has to be used whenever possible. 5. The use of abstract equipment should be done in the lowest possible level. 6. Implementation of one single equipment on a single controller (no implementation of algorithms or state machines in the implementation layer via more then one controlling unit).

46.4 Implementation whether the device is actually used or not. This is a major advantages for early failure detection. The way of connecting physical I/O to base equipment is not limited and could be either discrete on a centralized unit or via fieldbuses, Ethernet or even wireless for decentralized peripherals. As mentioned earlier, one homogenous control unit is responsible for implementing the algorithms and state-machine functions for a single equipment, i. e., such a control unit can of course host a large number of equipments, but the implementation layer of one single equipment cannot be executed by several control devices. So, considering the communication aspect, even in heterogeneous networked systems different communication methods simply have to map the interface data blocks to the participating control units in a coherent manner. Practically we use system-specific communication methods, as long we are working in homogenous platforms, because they are in general more efficient. If we leave the homogenous domain, we normally use OPC DA and OPC AE (alarms and events) [46.5]. Because we do not mix data with data communication in our strategy, functional engineering and data communication setup can be handled separately.

46.5 Additional Impacts 46.5.1 Vertical Integration and Views In the Introduction of this chapter we found that vertical integration of processes is an answer to many of

the demands of modern business [46.6]. Figure 46.7 represents the business as well as the communication pyramid in a contemporary enterprise. Nowadays the control level is the domain of PLCs, whereas

Part E 46.5

As pointed out at the beginning, in this chapter we want to focus on the implementation of the topics elaborated so far for EN 61131-based PLCs, since this poses a particularly challenge. We have chosen the implementation in instruction list form, as the flexibility of this method in general is the largest for most control systems. Nevertheless one can use structured text or function block diagrams; ladder diagrams or sequential function charts are not adequate [46.2]. All equipment is implemented in function blocks; each instance of the function block represents a physical unit for base equipment or a certain virtual or composed unit for abstract equipment. The base layer is represented by the function block’s own encapsulated data block, whereas the interface layer is represented by a separate, general data block, unique for each implementation of the equipment. This allows simple data communication and easy multiple access for different higher-level equipment. For base equipment a state-machine implementation is a must, although abstract equipment should also use this method if it makes sense. All base equipment function block are called cyclically by a service routine and run their state-machine functions. Implementing this approach, at least error handling is done for all devices, independently of

804

Part E

Automation Management

ERP

Enterprise planning

IT

applications, as the equipment constructs are built up in a class-like manner [46.7]. The hierarchical structure of the equipment implementation follows the natural business pyramid, and the encapsulation and abstraction support the inherent enforcement of data reduction in the lower field level.

MES

46.5.2 Testing Factory management

SCADA

Data Production management

A major part of resources during the development of a system is spent on testing its functionality and exception handling. By using software strategies with a clear hierarchical structure, a modular concept with a strictly defined interface layer architecture, these resources can be dramatically reduced, concurrently increasing performance and stability.

Control Process level

Field

Fig. 46.7 Business and communication pyramid in a modern enter-

prise

supervisory control and data acquisition (SCADA), manufacturing execution systems (MES), and enterprise resource planning (ERP) are the domains of PC-based devices [46.7]. With the implementation of an equipment-based programming structure at the control level we overcome the existing gap between the field and the data/IT level. As many enterprise MES and ERP solutions are already object oriented, equipment-based control structures can easily be mapped to objects in higher-level

46.5.3 Simulation Another attempt to reduce testing resources is the simulation of systems or subsystems of a project. If a simulation is connected to real hardware, we speak of hardware in the loop simulation. In testing developed equipment, one can differentiate between two cases. The higher-level equipment accessing the part to be tested is simulated, or a lower-level part we want to access is simulated. In both cases the concept of equipment-based structures simplifies the simulation part. Firstly, most simulation tools are object oriented, so we are able to map our equipment easily to their classes. Second the simulation environment has to model only the abstracted interface layer of the simulated subsystem, rather than simulating all the necessary I/Os.

46.6 Example Part E 46.6

Profactor, amongst others, has been a supplier of test stands for heat and flow meters for 20 years; additionally the automation group of ARC-sr delivers innovative automation solutions for the process and production industry and also develops new automation processes for a couple of sectors [46.8]. In 2000 Profactor was put in charge of building one of the largest and most modern test stands for heat meters in Berlin. The customer was the well-known Physikalisch Technische Bundesanstalt (PTB) [46.9]. In addition to building up the mechanics and measurement system, challenging the frontiers of today’s realizability, the test stand had to be embedded into an

overall test administration system. This started with the management of customers, test orders, and devices under test, and ended with management of test reports and quality management for the test stand with its own subsystems. As the system for the PTB was the most complex test stand ever, incorporating about 1000 physical I/O and measurement points, including dozen of subsystems, and has to be vertically integrated into the testing hierarchy of the PTB, Profactor decided to implement the described system for the actual and future system as well as for other complex systems in their field of activities [46.10].

Practical Automation Specification

46.6 Example

805

Fig. 46.8 Layout of the test stand for

flow and heat meters

PTB intranet

Switch

100 MBit/s Ethernet

PXI comp. balance system

Linux server VMK system

100 MBit/s Ethernet

Evaluation balance system Time synchronization

Individual treatments of testees (special treatments)

Temperature detection

Flow Pressure Density Humidity

SCADA workstation

SCADA batch server

OPC server DB server

Signal condition

DMV multiplex

Processsystemautomation field

SCADA workstation

VMK Linux

VMK serial

VMK Linux

VMK serial

VMK Linux

VMK serial

VMK Linux

Testees Secondarystandard

Fig. 46.9 Specifying subsystems and their communication implementation (Seibersdorf Research) (VMK – measurement interface, GBIP – general purpose interface bus, PXI – PCI extensions for instrumentation)

Part E 46.6

Remote maintenance

Precision DMV

PXI comp. testees

Backup system

Network GBIP/ printer Ethernet

Remote maintenance

Industrial controller Simatic S7-400

806

Part E

Automation Management

Clients Operator Operator commands Input mask

Access mask system

Simatic OPC server

BC

Server

OD

Remote-tags

Visualization

Batch process

OPC-tags

ODBC

Oracle database

Remote-tags OP

C-

tag

TEMP

VMK

Subsystems

Waagen subsystem

Balance

Counter subsystem

Synch. signal

Others

NI-DAQ

RS232

Simatic SPS

VMK subsystem

Subsystems

RS485

Keithley subsystem

Subsystems

E-net

Subsystems

E-net

Industrial

Ethernet

s

Subsystems

Counter

Fig. 46.10 Specifying communication pyramid of the test stand (ODBC – open database connectivity, SPS – PLC, NIDAQ – national instruments – data acquisition)

Part E 46.6

46.6.1 System Figure 46.8 depicts the layout of the test stand. The facility is built up on two floors. The upper floor houses the test bench itself, the balance device with its selfcalibration unit, the diverter unit, and the elevated tank. The pressure tank, compensation tank, pumping stations, and electrical heating device are installed on the lower floor. Auxiliary equipment such as the gas heating unit and air cooling systems as well as compressor-

based cooling systems are in separate locations and are not shown in the figure. To get an impression of the dimensions, the linear expansion of the system as depicted in Fig. 46.8 is about 40 m. The maximal testing flow rate is 1000 m3 /h. The operation temperature is between 3 ◦ C and 90 ◦ C with an installed heating capacity of 680 kW h, an air cooling capacity of about 1000 kW h, a compressor-based cooling capacity of about 380 kW h, and an installed pump capacity of about 350 kW h.

Practical Automation Specification

The technical requests for the commissioning were at the limit of technical feasibility:

• • • • •

Stability of temperature in all operating points < 0.1 K Stability of flow (up to 1000 m3 /h) < 1% Stability of pressure (in pressure mode) < 3 mbar Accuracy of balance unit < 50 g (on 20 t of load) Overall measurement uncertainty < 4 × 10−4 .

These demands resulted in highest efforts to the control and measurement system. The key factor for the integration request was the integration of the test stand management database (Oracle or MS SQL server (Microsoft structured query language)) with its user front-end in the production database that organizes the test routines and is responsible for the storage of real-time trend data from the testing process [46.11]. In these databases not only the test and test management data is stored, but also the complete setup and control parameters as well as the calibration data of the test stands measurement devices. For exact repetition of tests all setup and control parameters of the complete facility can be reloaded to the subsystems automatically by recalling a certain date or test order from the database. In additionally providing the necessary automatic documents for quality

46.8 Further Reading

807

control the customer owns not only one of the most modern test stands in measuring technology, but also in terms of data management. Figure 46.9 shows the communication of the subsystems. The control of the test stand itself and its auxiliary systems is realized by an EN 61131-like PLC system. This PLC system alone manages about 800 physical I/O points on a centralized and decentralized basis. The programming strictly follows the described equipment structure.

46.6.2 Impacts The first PLC implementation of this concept required more effort and expense compared with traditionally programming, but major benefits were observed already during start of operation and integration into the vertical environment.

46.6.3 Succession Subsequently Profactor was put in charge of building another, smaller test stand for a major German power authority. Although Profactor was forced to use a lot of different components compared with the PTB installation, the decrease of time, efforts, cost, and failures was significant.

46.7 Conclusion It is possible to implement a lot of concept features normally only supported by objectoriented languages. Most importantly, the engineer can use existing software and hardware platforms that have been available for many years and anywhere.

Part E 46.8

By following the described methods of implementing an equipment-based control system structure, the system designer is able to deliver software with a clear hierarchical structure and a modular concept with strictly defined interface layer architecture, simultaneously decreasing the required resources and increasing performance, stability, and openness.

46.8 Further Reading 1. M. Frappier, H. Habrias: Software Specification Methods: An Overview Using a Case Study (Springer, Berlin Heidelberg 2000)

2. H. Ehrig: Integration of Software Specification Techniques for Application in Engineering: Introduction and Overview of Results (Springer, Berlin Heidelberg 2004)

808

Part E

Automation Management

References 46.1 46.2

46.3

46.4 46.5 46.6

46.7

G. Strohrmann: Automatisierungstechnik 1 (Oldenburg, Munich 1998), in German K.H. John, M. Tiegelkamp: SPS Programmierung mit IEC 61131-3 (Springer, Berlin Heidelberg 2000), in German R.W. Lewis: Modelling Control Systems Using IEC 61499: Applying Function Blocks to Distributed Systems (Inst. Engineering and Technology, London 2001) H.E. Eriksson: UML Toolkit (Wiley, New York 2001) OPC Task Force: OPC Overview (OPC Foundation, Scottsdale 1998) Arbeitskreis Systemaspekte des ZVEI Fachverbandes AUTOMATION: Die Prozessleittechnik im Spannungsfeld neuer Standards und Technologien, J. Appl. Test. Technol. 43, 53–60 (2001), in German A. Dedinak, G. Kronreif, C. Wögerer: Vertical integration of production systems, IEEE Int. Conf. Ind. Technol. ICIT’03 (Maribor 2003)

46.8

46.9

46.10

46.11

A. Dedinak, C. Wögerer, H. Haslinger, P. Hadinger: Vertical integration of mechatronic systems demonstrated on industrial examples – theory and implementation examples, BASYS’04, Proc. 6th IFIP Int. Conf. Inf. Technol. Autom. Syst. Manuf. Serv. (Vienna 2004) A. Dedinak, C. Wögerer: Automatisierung von Großprüfanlagen am Beispiel eines Wärmezählerprüfstandes für die PTB, White Paper (ARC Seibersdorf Research, Vienna 2002), in German A. Dedinak, W. Studecker, A. Witt: Fully automated test-plant for calibration of flow-/heat-meters, Int. Fed. Autom. Control (IFAC), 16th World Congr. (Prag 2005) A. Dedinak, S. Koetterl, C. Wögerer, H. Haslinger: Integrated vertical software solutions for industrial used manufacturing and testing systems for research and development (Advanced Manufacturing Technology, London 2004)

Part E 46

809

Automation a 47. Automation and Ethics

Srinivasan Ramaswamy, Hemant Joshi

Should we trust automation? Can automation cause harm to individuals and to society? Can individuals apply automation to harm other individuals? The answers are yes; hence, ethical issues are deeply associated with automation. The purpose of this chapter is to provide some ethical background and guidance to automation professionals and students. Governmental action and economic factors are increasingly resulting in more global interactions and competition for jobs requiring lower-end skills as well as those that are higher-end endeavors such as research. Moreover, as the Internet continually eliminates geographic boundaries, the concept of doing business within a single country is giving way to companies and organizations focusing on serving and competing in international frameworks and a global marketplace. Coupled with the superfluous nature of an Internet-driven social culture, the globally-distributed digitalization of work, services and products, and the reorganization of work processes across many organizations have resulted in ethically challenging questions that are not just economically, or socially sensitive, but also highly culturally sensitive. Like the shifting of commodity manufacturing jobs in the late 1900s, standardization of information technology and engineering jobs have also accelerated the prospect of services and jobs more easily moved across the globe, thereby driving a need for innovation in design, and in the creation of higher-skill jobs. In this chapter, we review the fundamental concepts of ethics as it relates to automation, and then focus on the impacts of automation and their significance in both education and research.

47.1 Background ......................................... 810 47.2 What Is Ethics, and How Is It Related to Automation? .................................... 810 47.3 Dimensions of Ethics............................. 811 47.3.1 Automation Security ..................... 813 47.3.2 Ethics Case Studies ....................... 814 47.4 Ethical Analysis and Evaluation Steps ..... 814 47.4.1 Ethics Principles ........................... 816 47.4.2 Codes of Ethics ............................. 817 47.5 Ethics and STEM Education .................... 47.5.1 Preparing the Future Workforce and Service-Force ........................ 47.5.2 Integrating Social Responsibility and Sensitivity into Education ....... 47.5.3 Dilemma-Based Learning.............. 47.5.4 Model-Based Approach to Teaching Ethics and Automation (Learning) ..

817 818 818 819 820

47.6 Ethics and Research .............................. 822 47.6.1 Internet-Based Research............... 822 47.6.2 More on Research Ethics and User Privacy Issues ................. 823 47.7 Challenges and Emerging Trends ........... 825 47.7.1 Trends and Challenges .................. 825 47.8 Additional Online Resources .................. 826 47.A Appendix: Code of Ethics Example .......... 47.A.1 General Moral Imperatives ............ 47.A.2 More Specific Professional Responsibilities............................ 47.A.3 Organizational Leadership Imperatives ................................. 47.A.4 Compliance with the Code .............

827 827 829 830 831

Part E 47

References .................................................. 831

810

Part E

Automation Management

47.1 Background To educate a man in mind and not in morals is to educate a menace to society. (Theodore Roosevelt) In this chapter we attempt to address a key issue facing people from industry and academia, especially with the rapid pace of globalization and technological advancement related to automation. Why is ethics, and what makes studying and understanding ethics and its link to automation important; both the inculcation of it among our present and future colleagues, employees, and public services, and understanding it within the context of academic, government, and corporate research. After describing the ethical issues related to automation, we focus our presentation on two specific areas, education and research, respectively. In the section on education, we present a mechanism whereby the inculcation of ethics can, and should, be integrated within a student’s curricular program and learning experience, instead of the simpler onecourse approach that is taken by educational institutions

today, in response to the mandatory requirement of teaching ethics as sought by employers and accreditation agencies such as ABET. The section on research could have been written on many levels – from ethics in workplace, personal ethics, to social and professional perspectives of what can be considered ethical behavior in research. Since these topics are widely covered elsewhere (references are given below), we have chosen to illustrate and explore the critically emerging issues of user profiling by logging user activities on a network (the Internet and automation networking in general). This illustration is important because this issue is beginning to assume a greater degree of significance in today’s world, with the ability of people and organizations to use advanced automation to gather, store, mine and analyze enormous amounts of data, very cheaply. Hence, addressing this issue will likely prompt ethical questions (not just limited to what we present here) across all the above different perspectives.

47.2 What Is Ethics, and How Is It Related to Automation? New and emerging automation technologies and solutions pose significant new challenges for ethical individuals, organizations, and policy-makers. (Automation Scholars)

Part E 47.2

Ethics is a set of principles of right and wrong that individuals apply when making decisions influencing their behavior. Many decisions can clearly be recognized by most people as being wrong or immoral, including violations of the law, dishonesty, and any other behaviors that conflict with common behavioral norms and societal values. The role of ethics, ethical thinking, is important especially when there are no clear-cut guidelines, for example, when individuals encounter conflicts between objectives and their principles, and as often happens with the emergence of new technology, including automation technology [47.1–7]. As new choices and new experiences become available to individuals and organizations, they face dilemmas between risks and benefits, short-term benefits against long-term risks, risks to individuals versus benefits to a group, and so on. A major challenge to ethical behavior is the fact that not only changes in technological abilities over time pose new ethical dilemmas, but that ethics is deeply rooted in local and domain cultures, hence, it requires adjust-

ments and calibration in the interfaces and exchanges. This dual challenge for inter-cultural ethical behavior over time and location has been evident throughout history, and is particularly sharp at the edges during our age of tremendous automation innovations coupled with intensifying global exchanges (Fig. 47.1). Automation has several particular impacts on ethics: 1. Automation enables unethical behavior, e.g., applying automatic imaging to monitor private situations violates privacy rights, but may be necessary for security and prevention of theft. 2. Automation simplifies unethical behavior by obscuring its source, e.g., people blaming automation for mistakes, delays, inefficiency, and other weaknesses (It’s not me; it’s this dumb computer). 3. Automation increasingly enables unethical behavior related to information and communication, e.g., recording conversations and proprietary knowledge; maintaining and visiting web-sites with illegal, violent, or hateful contents. 4. Automation enables replacement of labor, e.g., by robots, automated sorting, and automatic inspection. 5. Automation affords anonymous access over and to private or restricted property.

Automation and Ethics

a)

b)

c)

Me

Time

Culture Location Domain

My community and professional organization Other societies

Automatic devices

811

d) Ethical dilemmas and automation

Ethical dilemma

Ethical values

47.3 Dimensions of Ethics

Ethical dilemmas and automation

Manual tools

Before computer automation

Computer automation and networking

Fig. 47.1a–d Ethics values and dilemmas: (a) Ethics of today may not be the same as ethics of yesteryears due to changes in cultural and technological evolution (changes) around the globe. (b) Ethical dilemmas are conflicts between individual’s or groups of individuals’ rights, benefits, and rewards versus community, organization, and society at large gains and sustainability. (c) Major ethical dilemmas emerge when changing from manual tools and procedures to automated and automatic devices, e.g., remote imaging, banking automation, and Internetworking. (d) Major ethical dilemmas further emerge, more frequently and with farther impact when automation evolves and with computers and worldwide network communications advancements

6. Automation enables cyber-crime, cyber-terrorism, information hiding or obscuring, forgery, identity theft, or identity hiding. Some of these examples overlap with criminal and other illegal behavior [47.6, 8, 9]. But there are many

examples where the situations are ambiguous, or ambivalent. When society realizes the severity and damage caused by some such cases, laws are developed and implemented. Often, however, ethical issues emerge and require urgent individual and organizational responses in the face of far-reaching ethical dilemmas.

47.3 Dimensions of Ethics people, not automation, are the potential misusers and abusers of automation in the context of ethics. Ethics and automation can also generally be divided into ethical issues involving information-focused automation [47.1, 2, 4, 10], e.g., information security and privacy; and automatic device/systems ethic [47.7, 9, 11–13], e.g., ethics of robotics (sometimes called roboethics), for instance, trust in tele-surgery by robots. There are, of course, overlapping ethical dimensions, for instance, when information systems are hacked (security breach) to disrupt automatic traffic and aviation control (impact dimension), or to dysfunction automatic power distribution (technology and impact dimensions) [47.5, 14–16].

Part E 47.3

Dimensions of ethics can be considered in multiple aspects, which are inter-related (Table 47.1): From the aspect of automation technology, how and what it enables in challenging ethical behaviors, e.g., financial crimes through banking automation; from the aspect of impacts on individuals, on communities, and on society, e.g., hate crimes through the Internet. From the aspect of automation security, how automation’s own security can be breached with unethical schemes and outcomes, e.g., by intentionally or unintentionally disabling software safety functions. In all dimensions, however, it is clear that people are responsible, directly or indirectly, intentionally or unintentionally, for their ethical decisions, behaviors, and the outcomes; furthermore,

812

Part E

Automation Management

Table 47.1 Aspects and dimensions of ethical concerns with automation

Aspect of ethical dimensions

Scope

Technology aspect

Ethical challenges enabled and raised by automation functions and abilities

Impact aspect

Ethical impacts on individuals, communities, and society

Automation security aspect

Ethical issues of man-made (malicious and erroneous) and natural disasters causing security threats through automation, and vulnerabilities caused by automation

Main ethical dimensions

• • • • • • • • • • •

Sample references

Cyber-ethics (a.k.a. e-Ethics) Robo-ethics

[47.3, 7, 8, 11–15, 17–20]

Privacy Property Quality Accessibility

[47.1,2,4,6,10,14, 16, 19, 21–23]

Information security Technical failures Cyber-crime Cyber-terrorism Cyber-warfare; robo-warfare

[47.2,4,6,7,12–15, 20, 24–30]

Consider the four main automation areas (Chap. 3, Fig. 3.2): 1. Automation with just computers – Data processing and decision support, e.g., enterprise resource planning, accounting services 2. Automation with various automation platforms and applications, but without robots, meaning automation with devices, sensors, and communication, e.g., weather forecasting, air-traffic control 3. Automation applying also robotics, e.g., fire safety including alarms and robotic sprinklers 4. Automation with robotics, e.g., robot painting, robotics in microelectronics fabrication and assembly

Part E 47.3

Each of these automation areas involves ethical decisions and behaviors, along the dimensions indicated in Table 47.1, by managers, operators, maintenance personnel, and designers, who have to adhere to ethical values to enable sustainable services and viable society. Additional examples follow below. Another common view of ethics and automation has been the view from the aspect of impacts on individuals, on communities, and on society. Four main dimensions of ethics in this context, as related to automation, are privacy, property, quality, and accessibility. Privacy: Privacy issues are related to gathering, maintaining, distributing, analyzing, and mining information about individuals. For example:

• • • •

What rights do individuals have to their own information and its protection? What information about themselves do individuals have to share with others? Who is responsible for the security of private information about individuals when it is maintained in a database? What rights to surveillance over individuals do organizations and government services have?

Property: Issues involving ownership of physical and intellectual property. For example:

• • • • •

Can corporate automation equipment be used for personal purposes? How should software, music, and other media piracy be handled? Who is accountable and liable for damage caused by automation? How will intellectual property be traced and accounted for, when automation enables its easy and rapid copying and transfer? Who is responsible and accountable for backup records?

Quality: Quality of automation implies its integrity and safety of functions, fidelity, authenticity and accuracy. For example:



Can an individual trust an automatic device, e.g., in medical diagnostics and treatment?

Automation and Ethics

• • • •

What quality standards are needed to protect society’s and individuals’ safety and health, also including long-term environmental concerns? What quality standards and protocols concerning automation and information are required to protect individuals’ rights? Who is responsible, accountable, and liable for the accuracy and authenticity of information and of automatic functions? Who is responsible, accountable, and liable when functions relying on automation fail?





Accessibility: Accessibility issues involve the right to access and benefit from automation, the authority of who can and cannot access certain automation assets and resources, and the increasing dependency on automation. For example:

• • • • • • • •

What skills and what values should be preserved and maintained in a society increasingly relying on automation? What about loss of judgment due to such reliance? Who is authorized to use automation and access automation resources? How can such access be managed and controlled? Can employees or clients with disabilities be provided with access to automation, for their work, healthcare, learning, and entertainment? How and under what conditions should access to automation be priced and charged? Can automation limit political freedom? Does automation cause addiction and isolation from family and community?

47.3.1 Automation Security



What are the vulnerabilities of automation security that impact on privacy, property, quality, and accessibility ethical dimensions, and who is respon-

813

sible for overcoming them? For recovering from them? With increasing automatic interconnections and automatic interactions between various automation systems and devices, how can security levels be maintained, shared, and warranted over entire services? Who is responsible for tracking, tracing, and blocking the instigators and initiators of the security shortcomings causing unsatisfactory service? Who is responsible and who is liable in the case of harmful and damaging security breaches, such as trespassing, espionage, sabotage, information extortion, data acquisition attacks, cyber-terrorism, cyber-crime, compromised intellectual property, private information theft, and so on? What would be the difference between breaches caused by unintentional human error versus malicious, unethical acts? Who is responsible and who is liable when there are automation software attacks, e.g., software viruses, worms, Trojan horses, denial of service, phishing, spamware, and spyware attacks?

Governments, national and international organizations, and companies have already advanced various measures of defenses and protection mechanisms against security breaches. Examples are the Business Software Alliance (www.bsa.org), the cyber consequences unit in the US Department of Homeland Security, computer and information security enterprises such as www.cybertrust.com, and university centers such as CERIAS (Center of Education and Research in Information Assurance and Security, www.cerias.purdue.edu), and CERT (Computer Emergency Response Team, www.cert.org). Yet, automation security poses complex and difficult challenges because of the high cost of preventing hazards, associated with the difficulty to justify such controls, the difficulty to protect automation networks that cross platforms, organizations, countries, and continents, and the rapid automation advances, which render new security measures obsolete. More about automation security can be found in [47.25–30]. The ethical dilemmas discussed above and their dimensions illustrate some of the ethical questions raised by developing and applying automation, and by its rapid advancement and influence over our society, from automatic control devices, robots and instruments, to the computing, information, communication, and Internet applications.

Part E 47.3

Automation security involves security of computer and controller software and hardware; of information and knowledge stored, maintained, and collected by automation, e.g., the Internet, imaging satellites, and sensor networks; and of automation devices, appliances, systems, networks, and other platforms. Most of the ethical concerns in automation security overlap the previous aspects and dimensions, but have certain unique security related dimensions. Some of the ethical issues associated with automation security are:



47.3 Dimensions of Ethics

814

Part E

Automation Management

47.3.2 Ethics Case Studies Ethics is best taught and explained through case studies and examples [47.2, 3, 5, 14, 21, 31, 32]. In Table 47.2, examples of ethical issues related to automation are described. Some examples are clear ethical dilemmas.

Some of them are more subtle ethical problems. As often is the case in ethical dilemmas, solutions are usually not simple. Table 47.2 Examples of ethical issues in automation and

their dimensions 

47.4 Ethical Analysis and Evaluation Steps What is hateful to you, do not do to your fellow human. (Hillel the Elder, Talmud, Shabbat 31a) How can one rationalize situations and decisions involving ethical conflicts? And how can automation systems be designed and operated with assurance that intended ethical imperatives and decisions would indeed be followed? Some of the earliest thinking about ethics and automation, in the area of robotics, is attributed to Isaac Asimov, a prominent scientist and science fiction author, who wrote in his book I, Robot, [47.33] and also in Looking Ahead [47.34] The Three Laws of Robotics: 1. A robot may not injure a human being, or, through inaction, allow a human being to come to harm. 2. A robot must obey the orders given it by human beings except where such orders would conflict with the First Law. 3. A robot must protect its own existence as long as such protection does not conflict with the First or Second Law. Substituting an automation system for a robot in the three laws above would still make a lot of sense in any context of automation, including the threat of automation singularity (see Chap. 3). But a critical issue is how to implement it during the design and activation of any automation functions. While this challenge is still open to research and discoveries, ethics educators and scholars recommend a five-step approach, as follows [47.1–8, 18, 19]:

Part E 47.4

Step 1 Characterize and Specify the Facts Establish the stakeholders and events involved, including the 6 Ws, who, what, when, why, to whom, and where. Notes: a) Sometimes, just clarifying the facts results in simplifying the resolution and the decision. b) Often getting multiple parties, even those in conflict, to agree on the facts may help resolve the ethical conflict.

c) Often the clarification of facts sharpens and simplifies the realization of an ethical imperative, leading one or more of the participants to share the facts with authorities (known as the whistle blower), thus leading to a resolution of the ethical dilemma. Step 2 Formulate the Dilemma and Conflict (or Conflicts), and Find the Involved Values Ethical issues are always linked with values; the parties in conflict usually claim their motivation as the pursuit of high values, such as fairness, freedom, protection of privacy and property, saving resources and the environment, and increasing quality. Step 3 Clarify Who Would Benefit and Who Would Be Harmed by the Given Ethical Issue Beyond the facts established in Step 1, including the stakeholders, analyzing and finding who may benefit and who may be harmed can be useful in clarifying and understanding which solution, or solutions, may be effective and feasible and practicable. Step 4 Weigh and Balance the Resolution Options Ethical dilemmas and conflicts are characterized by having complex variables and dependencies, and rarely present a simple solution. Usually, not every one of the stakeholders and other involved individuals, organizations, and society members can be satisfied. Moreover, the thorny realization is that there would almost always be some who may suffer or would consider themselves harmed under any given decision. In some cases, there may not be any optional strategies that could balance the consequences to all the involved parties. Step 5 Analyze and Clarify the Potential Outcome of the Ethical Decision Certain options of ethical strategy and policy to resolve a given ethical dilemma may satisfy our principles and values, yet they may be harmful from other aspects. For example, a policy

Automation and Ethics

47.4 Ethical Analysis and Evaluation Steps

815

Dimensions of ethical issues Ethical case Property









Quality

Accessibility √























√ √ √

Part E 47.4

1. Company database highlights employees’ personal attributes, e.g., nearing retirement, potentially being discriminatory. Furthermore, who needs to know this information? Who is authorized to access it? 2. Service providers monitor employees’ access to certain websites. Employees cannot prevent being monitored while using company computers; employers may abuse the gathered private information. 3. Organization audits individuals’ use of unauthorized software, either to create policies, protect itself from property lawsuits, or monitor individual private behavior. 4. Company is using automated imaging technology to replace employees. This case illustrates a typical conflict between economy, accuracy, and efficiency goals achievable with automation, and the loyalty to dedicated employees (who will lose access to work with automation). 5. New automatic sorter has hidden design deficiencies that are too costly to repair after deployment of thousands of such devices. This case is common, as evident by some ethical companies occasionally recalling defective automation equipment for upgrade and repair. If there is no recall in such cases, clients and users are denied access to better quality and safer equipment. 6. A robot controller, under certain undisclosed conditions, will cause substantial chemical waste and pollution. This multi-dimensional ethical problem, involving issues of significant potential damages to life, life quality and property, possibly denying access to inflicted areas and properties, and potentially costing also in major remedial and recovery efforts, is illustrated by cases of whistle blowers, ethical individuals who risked their employment to warn about imminent hazards. 7. Company has superior medical automation technology but will not produce it for several years till it recovers all previous investments in the inferior product currently being marketed to hospitals. This case is similar to Case 6, except it is a different scenario. 8. A vending machine delivers (a) the right item, but returns too much change; (b) the wrong item, and no change. Ethical dilemmas are caused by automation’s dysfunctional quality. 9. A manager blames automation for faulty packaging. Is automation to blame, or is it its designer/implementer/user? 10. A student blames the school’s computer for lost homework. Ethical challenges concerning work quality (and computer automation quality) are posed to both the student and the instructor. 11. (Think of an ethical dilemma with your home automation.) 12. (Think of an ethical dilemma unique to your organization’s use of automation.)

Privacy √

816

Part E

Automation Management

Table 47.3 Examples of conflicts between ethical values and principles

Values/Principles in conflict

Illustration

1. Short versus long term 2. Individual versus community 3. Justice versus mercy 4. Privacy versus convenience 5. Loyalty versus truth 6. Loyalty to present versus former organization (employer) 7. Efficiency versus safety

Software patch solving security problems now but causing hazards later Wasteful exploitation of resources harming later generations Charging for mass email to prevent spamming Reading the fine details of use-contracts for each downloaded software Divulging harmful private or proprietary information gained in confidence Sharing knowledge about relative advantages or shortcomings of design or applications Higher speed limits and lower weight versus automobile accidents’ severity

that works well for some situations may not work well, or may work only partially well for the same situations under different conditions (it is a conditional solution); or not work well at another time period (it is a time-dependent solution). In analyzing potential outcomes, one may consider the conflicts arising between wrong and right solutions or decisions, between two wrong solutions or decisions, and between two right solutions or decisions. Examples of such ethical conflict analyses are illustrated in Table 47.3. In analyzing ethical conflicts, usually the conflicts between two or more right solutions, or between two or more right values, pose the most complicated dilemmas.

For example, decisions in examples 1 and 5, which may be relatively simple when the potential hazard is enormous. The situations are also relatively more complex when multiple conflicts combine, e.g., individual versus community for short versus long term implications. Additional guidance is offered by ethics principles.

47.4.1 Ethics Principles Numerous ethics principles have evolved since ancient times, and have been suggested by ethics philosophers and scholars. Seven of the well known principles are listed in Table 47.4. Principles (1) and (2) are considered the individual fairness principles. Principle (3) is similar to (2), but stated from a group aspect. Principle (4) represents the

Table 47.4 Seven ethics principles

Part E 47.4

Principle’s name

Ethical principle imperative/lesson

1. Hillel the Elder’s principle 2. The Golden rule 3. Immanuel Kant’s categorical imperative 4. Descartes’ rule of change

Do not do to others what you do not want to be done to you. Do to others what you would accept if done to you. If an action is not right for everyone (in a team, or group, or community) to take, then it is not right for anyone. If an action cannot be taken repeatedly (e.g., a small action that may snowball out of control), it is wrong to take it at any time. Decide on the action that leads to the higher, or greater, or more significant value (if values can be prioritized, and if consequences can be predicted). Decide on the action that leads to the least damage, or the smallest hazard. Respect the ownership of tangible and intangible assets, and if ownership is unknown to you, assume somebody owns assets that do not belong to you.

5. Utilitarian principle 6. Risk aversion principle 7. No Free Lunch rule

Automation and Ethics

impact of time and changes over time (at least those changes that are predictable. Principle (5) addresses the issue of conflict between several objectives and principles, and maximizing the value of consequences. Principle (6) is similar to (5) but from the aspect of minimizing damage. Finally, principle (7) addresses the value and concern for intellectual property protection, on par with physical property protection, as a fair principle for a globally sustainable society. The above principles provide some guidance for initial analysis. Often, however, they may point to conflicting strategies, and individuals still need to carefully weigh their decisions and take responsibility for each of their decisions. On the other hand, these basic principles offer clear tests for actions and decisions that should not be followed if they fail these tests.

47.4.2 Codes of Ethics To address the complexities of ethical issues, corporations and organizations define, accept, and publicize their code of ethics [47.10, 21–23]. Such a code prescribes values to which the organization or corporation members are supposed to adhere. The typical structure of a code of ethics closely related to automation is illustrated in the Appendix. Examples of codes of ethics in different countries are included on the USA National Academy of Engineering site ([47.21],

47.5 Ethics and STEM Education

817

http://onlineethics.org). Values that may be incorporated in a code of ethics include:

• • • • • • • • • • • •

Care for others Compliance with the law Consideration of cultural differences Courtesy Fairness Honesty Integrity Loyalty Reliability Respect for sustainable environment Trustworthiness Waste avoidance and elimination.

General moral imperatives that are included in a code of ethics are listed as follows:

• • • • • • • •

Follow fairness principles Contribute to society and human well-being and sustainability Avoid harming others Be honest and trustworthy Honor property rights including copyrights and patents Give proper credit to intellectual property Access automation resources only when authorized Respect the privacy, diversity, and rights of others.

47.5 Ethics and STEM Education I didn’t know I was a slave until I found out I couldn’t do the things I wanted. (Frederick Douglass)

Part E 47.5

Rapid advancements in automation have led to significant challenges, as indicated earlier in this chapter. Automation has also influenced changes to demographics, and the creeping of problems associated with student and employee recruitment, retention, and focused funding. Good educational preparation in the science, technology, engineering, and mathematics (STEM) disciplines is one of the primary means available to prepare the workforce to compete globally for highly skilled technology-based and automation-based jobs. In their current work environments, not only do students need to understand and deal with the increased

knowledge expectations from the workforce, but they need to also understand and deal with the pervasive and dominant role of automation technology within their chosen fields, and operate effectively in an increasingly multi-cultural and multi-ethnic, global environment. In these jobs, softer skills, which relate to how we go about getting things done, being language, society, and culture-sensitive, are becoming equally important as the hard functional skills (e.g., programming, problem solving, techniques selection, modeling) that have traditionally defined what it means to be competent in a chosen professional field. The widespread globalization of the job market calls for future employees to be adaptive, curious, and nurturing so as to work effectively in a team, which may be either co-located or geographically separated.

818

Part E

Automation Management

47.5.1 Preparing the Future Workforce and Service-Force I cannot tell anybody anything; I can only make them think. (Socrates)

Part E 47.5

Many organizations, for profit and not-for-profit, now realize that hiring workers who have been trained to understand international issues, specifically from an ethical and cultural perspective, will provide their businesses and services the necessary competitivesustainable advantage in a global society and global market. For example, conducting transactions in another country can be riddled with cultural issues that require deft personal touch, such as demonstrating appropriate hospitality, and respecting cultural and religious diversity. Thus, the future in many professional disciplines is not in merely a collective ability to prepare and graduate good designers, programmers, practitioners, managers, and technologists – these skills have now become commodities that can be outsourced. It lies is in the ability to prepare entry-level employees and continuing education employees who are highly comfortable with the theory, can appropriately blend it with necessary practice and possess an understanding of both the business culture and the social issues involved, while being able to effectively share, communicate, articulate, and advance their ideas for an innovative product or solution. Hence, how we educate students to become such successful employees and entrepreneurs, while acting ethically in the global economy and society is an important consideration, often better taught through the use of appropriate case studies. The rapidly emerging and evolving, and highly sensitive global economy is profoundly affecting the employment patterns and the professional lives of graduates. Thus educating the future workforce to understand such issues in a global context is becoming a highly sought-after experience and a critical differentiator in their employability, often testing their ability to bridge discipline-specific theoretical research issues with real-world practice, including addressing and resolving ethical dilemmas, as reflected by the inundation of single and multi-semester capstone projects in many disciplines. While it has been widely reported that despite intensifying competition, off shoring between developed and developing countries can benefit both parties, many students from western countries have shunned STEM careers because they fear that job opportunities and salaries in these fields will decline. Thus, education is confronted with needing to provide

students with higher-order technological skills aptly blended with the consideration of emerging social needs across the globe to provide much needed experiences to thrive in the future, as well as be frontline contributors to the technologically and ethically savvy workforce. A fundamental change in the education of future workforce and service-force is necessary to assure that we are well prepared for the increasingly more professionally demanding roles. These demands relate to success in the job market, responsibilities toward employers, customers, clients, community and society, and responsibilities as developers of powerful and pervasive automation technologies. In addition to strong technical and management skills, future software and automation designers need the skills to design customized products and integrated services that meet the diverse needs of a multi-cultural, multi-ethnic, and increasingly smaller world united by rapid scientific and technological advances, and facing globally and tightly inter-related hazards and challenges. These trends come with unforeseen social and ethical challenges and tremendous opportunities.

47.5.2 Integrating Social Responsibility and Sensitivity into Education Effectively integrating social-responsibility, sensitivity and sustainability into our educational curricula has become essential for employers and organization leaders [47.32, 35–37]. See also, for example, the IEEE and ACM model curricula in the context of automation (IEEE.org, ACM.org). Students, trainees, and employees need the diverse exposure to problems and ideas to develop a broad, yet pragmatic vision of the technologically-shifting employment and business landscape. A case study-based approach to teaching, training, and inculcating ethical behavior can provide adequate opportunities to develop the necessary soft skills for being successful in the global service and workplace. Such an exposure can vastly benefit those who may very well be charged with developing policies, priorities, and making investments that can help regions and nations to remain competitive and integrated in the global automation systems and services industry. Many STEM curricula, in response to these growing industry needs, have placed emphasis on team-based projects and problem-based instruction styles. However, these projects have their own bag of pit falls; for example, in project-based software development classes students often epitomize software development as building the best solution to address customers’ re-

Automation and Ethics

quirements. In the following section, a dilemma-based case study approach that goes beyond a project-based curriculum is described. It encourages students to reflect upon the social and ethical ramifications of technology, expanding the narrow, functional-focused tunnel vision that currently (subliminally) exists across many computing and automation curricula, and the automation and software industry, in particular. This is an attempt to address some specific concerns that arise out of such a problem/project focused curricula. With respect to automation and software-related issues, some of these concerns include: 1. In today’s post-scandal business climate, additional scrutiny, public condemnation, and possible legal consequences could result if individuals and companies continue to violate accepted ethics and fairness standards. While it is often difficult, if not impossible to predict the future, or the negative consequences of a creation, is ignoring such possible consequences on individuals not ethically questionable? 2. Is it responsible automation development practice, when creating a new technology, and is it ethically sound enough with regard to any possible negative consequences of the new creation and its effects on society?

47.5.3 Dilemma-Based Learning Education is what remains after one has forgotten what one has learned in school. (John Dryden) Case-based learning has long been used in management and business schools [47.38, 39]. It has also proved to be highly effective in other disciplines [47.40–42]. According to [47.43], “Students change profoundly in their ability to undertake critical analysis and discuss issues intelligently”. Case-based instruction offers a number of advantages and is effective for increasing student motivation [47.40, 41]. In summary, it is thought to be more effective than didactic teaching methods because real-world cases:

Problem-based learning, a case-based derivative, is also widely used, where students are required to learn and apply assimilated knowledge [47.45]. It is reported to broaden students’ views and causes a new awareness of their own ideologies and capabilities, and effects growth, questioning, or affirmation [47.42]. In dilemma-based learning [47.31, 37], another case-based derivative, a story or game is used to communicate the feeling of real-life dilemmas, while challenging its users to learn from the results of their actions. Dilemmas are chosen for their relevancy to complex and costly situations that are difficult for people to comprehend. For example, dilemmas may reflect the complexities of network implementations or the impact of blame on team productivity and project costs. Dilemmas in the classroom challenge learners to balance trade-offs between short-term rewards and long-term results [47.37]. In prior work, it has been noticed that discussions on real-world topics through dilemma-based case studies that couple logical investigative thinking of the problem-based approaches with strategic needs assessments – cost, performance metrics, etc., make appropriate sense in motivating CS students [47.32, 35, 37]. The use of enthusiasm, empathy, and role-play by students has also been shown to be beneficial in improving overall student attitude and encouraging more participation by women students and minorities [47.36, 46]. It helps develop learning communities and other forms of peer support structures, while emphasizing the positive social benefits of automation and computing. It instills a good feeling among students and motivates them to be participative [47.47, 48]. Hence, a secondary effect of this approach is to help student retention efforts, as they explore related technology issues and interests in the various domains based upon their own personal analogical contexts and experiences. Thus, a recurring dilemma-based approach integrated into multiple automation and computing classes could help increase retention of acceptable ethical standards among students regarding automation technology and help them better understand different ethical issues and perspectives. Dilemma-based learning, by adopting and building upon themes that dominate our everyday lives, in introductory level classes can not only have the greatest impact on subsequent classes, but also help correct the bad blame-driven rapport that the engineering and computing disciplines have received since the 2001 market crash. Progressive refinement of knowledge gained through more dilemma-based cases in different

819

Part E 47.5

1. More accurately represent the complexity and ambiguity of problems 2. Provide a framework for making explicit the problem-solving processes of both novices and experts 3. Provide a means for helping students develop the kind of problem-solving strategies that practicing professionals need [47.44].

47.5 Ethics and STEM Education

820

Part E

Automation Management

classes throughout the curriculum provide the natural progression necessary for the retention of ethical issues, while allowing for reinforcement learning through similar dilemmas, but with increasing technical content of cases. Currently, for interested educators, there are several archival case resources (this is a partial set of references to such material) on ethics with appropriate real-world cases that can be adapted to the needs of a particular class (e.g., [47.1–7, 21, 50, 51]). They can serve as resources to start the building of dilemma-based case studies across several core classes in automation-related curricula.

47.5.4 Model-Based Approach to Teaching Ethics and Automation (Learning) Several model-based approaches to teaching ethics and automation have been developed and implemented effectively. For example, in [47.21] a model for teaching information assurance ethics is presented. The model is composed of four dimensions: 1. 2. 3. 4.

The moral development dimension The ethical dimension The security dimension The solutions dimension.

The ethical dimension explores the ethical ramifications of a topic from a variety of perspectives. The security dimension includes ways in which an informa-

tion assurance topic manifests to information assurance professionals. The solutions dimension focuses on remedies that individuals, groups of individuals, and society have created to address security problems and associated ethical dilemmas. The moral development dimension describes the stages and transitions that humans experience as they develop morally, and as they develop their own personal beliefs and behaviors about right and wrong. Another model-based approach [47.49] is the IDEA model, described next. The IDEA model presents how dilemma-based learning can be accomplished. There are two primary players and four steps to the IDEA model (Fig. 47.2). The players include the teachers involved in teaching the courses and the participating students. The four steps are, in turn, specific to these players. The four steps are explained in more detail and illustrated next. IDEA Step 1: Involve and Identify From the teacher’s perspective, the ‘I’ in IDEA stands for involve and from the students’ perspective it stands for identify. The teacher begins by engaging in a discussion of specific cases that are related to the topic being discussed in the class. For example, in an introductory programming course the discussion may be based on a case that is related to the issue of outsourcing. The teacher presents various concerns with respect to the case in question while at the same time engaging the students’ interest through discussions (several societal

Teacher

Involve

Direct

Evolve

Analyze Engage

Engage

Engage

Engage

Discuss

Concerns policies

Discuss

Opinions consensus

Discuss

Social needs and issues

Discuss

Examples concerns

Part E 47.5

Identify

Develop

Explain

Adapt

Examples interest

Empathy appreciation

Values experiences

Assess improve

Student Years 1–2 Years 3– 4

Fig. 47.2 The IDEA Model (after [47.49])

Automation and Ethics

issues can be discussed here: job loss, immigration issues, changing business culture, companies relocating to other countries, etc.). By engaging the students in the identification of appropriately interesting cases, they become active participants in the class discussions and hence are more likely to engage in investigating the case study further from various socially-interesting perspectives. A case study on outsourcing provides the ideal opportunity to dispel some of the pervasive myths that students seem to be swayed by, in their choice of automation and computing as a career choice. Current world news information is critical to involving students in the topic of discussion. For example, at the time of writing this chapter, in the current state of the economy (August 2008), according to the CIO magazine, the unemployment rate for people in the IT industry is less than 3%, while that for the entire USA is 5.9%. Such information opens up the classroom for engaging discussions on IT-driven outsourcing myths and realities. In the rest of this section, we use outsourcing as an engaging example to illustrate the IDEA model. However, this example is by no means meant to be restrictive; other relevant examples may be issues of poor GUI design, issues with electronic voting machines (especially in years of national elections), issues of multi-language support in browsers, issues of robots in tele-surgery, issues with automation for earthquake rescue and refugee survival, automation innovations for energy production, distribution, and delivery, etc.

821

software development, as well as appreciate the finer details of even studying a subject such as assembly language programming and its need within an automation and computing-based curriculum. Often, students tend to develop a follow the herd mentality and are swayed by what they see and hear as requisite job skills. Students may often espouse the clouded view that they need to spend most of their time in the program learning marketable skills – such as the next hot programming language or system. By association, they may believe they should not spend time learning issues that may not be directly related to their immediate future jobs. This learning misconception has indeed been the observation of instructors and trainers in many disciplines. Hence, although highly relevant to learning the fundamentals of automation, or computer science, courses such as assembly language programming, evoke less interest among current-day students. Integrating such a dilemma-oriented case study driven discussion can help assure the students of the need for focusing on such fundamental courses as well as understanding its high relevance to societal needs – for example, helping build privacy and security in I/O drivers and embedded automation devices and systems. IDEA Step 3: Evolve and Explain

The mediocre teacher tells. The good teacher explains. The superior teacher demonstrates. The great teacher inspires. (William Ward) In step 3, the ‘E’ in IDEA stands for evolve from the teacher’s perspective, and explain from the students’ perspective. The student, in the same (automation programming/digital design/assembly language) or a follow up class (say a database systems class that normally appears in a junior/senior year of the curriculum) is guided by the teacher to explore more details of the case to understand the magnitude and implications of the various issues involved. Again, on the issue of outsourcing, the teacher can engage the students in cases such as credit card sales and marketing (or cellular communication devices, etc.), whereby the jobs of identifying and seeking likely customers are outsourced to BPO companies (business process outsourcing). Foreign governments are offering significant fiscal and non-fiscal incentives to attract such foreign direct investments into their respective countries and hence it is difficult for a business to ignore such compelling benefits. Experts who see the growing global demand for BPO (estimated to be at US$180 billion in 2010) indi-

Part E 47.5

IDEA Step 2: Direct and Develop In step 2, most possibly in a follow up class, the student is directed (guided) by the teacher to explore some specific issues of the case further to develop a deeper understanding of the various issues involved. Following the outsourcing case study identified earlier, say an automation assembly language programming class, students can be engaged in a discussion of software outsourcing for embedded systems, say the development of software modules such as drivers that are further integrated into everyday automation systems. Issues of security and privacy that are affected by these lowlevel software modules, which may be produced in any part of the world, can be discussed and articulated. It has been observed that students participate in such engaging topics with great enthusiasm. This enthusiasm allows learners and trainees to develop a mental model of the entire issue, as well as understand some of the subtle issues in the globalized system of automation

47.5 Ethics and STEM Education

822

Part E

Automation Management

cate a shift from cost-effectiveness to issues of skills, quality, and competence. Issues of personal, professional, and business ethics would definitely be factored as we move towards meeting such expectations, often driven by concerned citizens whose personal data is at stake as part of such BPO decision processes in multinational organizations. In a course such as database systems, the teacher can guide discussion on how such practices effect the compilation, sharing, and administration of the data contained in large-scale distributed databases in question, their effect on issues of an individual’s privacy, which possibly is no longer within the geographical confines of the source country, and issues of checks and bounds verifications that need to occur for such business arrangements between business operating in across different countries that are culturally different. How is an individual’s right to privacy different across cultures and what does privacy mean in a different society? What are the issues a business needs, or service needs, to be concerned with respect to the laws of the country? How can the business or service contain and secure the assimilation and sharing of such data? Instructors can promote discussions that can actually engage the student in understanding core values that may be viewed differently across cultures and grow by discussing cases that involve such experiences.

IDEA Step 4: Analyze and Adapt Through the use of the three earlier steps, students would have incrementally developed the mental and subject-level maturity needed to understand the various issues, their interrelatedness and the socio-cultural effects of the various aspects of automation and computing. In an appropriate junior/senior level course, say systems analysis and design, software engineering or a capstone automation course, where students normally develop large-scale projects to demonstrate their deep understanding of their career subject, students can focus on better understanding the design and development, or process; issues that need to be enforced for guaranteeing globally standardized automation development practices when dealing with data and signals that can be potentially misused. Students will also be better prepared to understand and discuss issues of professional codes of ethics, since they would have been exposed to and have developed a deeper understanding of the need for them in a globalized sense. In addition, they may have actually gained the necessary skills to analyze and assess ethical dilemmas and conflicts, good versus evil ideas and policies, and issues of sensitivity to social and global sustainability concerning the design and enforcement of such policies for globally-distributed services and businesses.

47.6 Ethics and Research

Part E 47.6

Collectively this book provides a wealth of automationrelated research topics: sensor networks, cybernetics, communication, automatic control, soft computing, artificial intelligence, evolutionary automation, etc. All these automation research topics may serve as valid, timely topics for ethical concerns related to research; highly appropriate for this section. For the purposes of demonstration of emerging issues that can be ethicallysensitive vis-á-vis research, we focus specifically on the ethical issues related to research aided by the exponential growth of the World Wide Web and the information it could offer research about Internet users [47.52]. In order to advance research and serve the users of their products, many Internet companies keep web access logs, search history logs, or transaction logs. Why is this perspective of logs important? On bulletin boards, peer-to-peer and social networks, e-Commerce sites, and the Internet in general, individuals can behave and operate with certain anonymity in the absence of

the presentation of self. Individuals online have a sense of complete autonomy and anonymity. Often the learnt social norm from such interactions is that there is little incentive to feel responsible for one’s own actions or sensitivity to the open public and community, in general, if the community does not provide some kind of instantaneous visible reward or tangible penalty.

47.6.1 Internet-Based Research The scaling up of web content as well as users has resulted in increased difficulty in searching for information over the web. The ever increasing number of pages that match any given set of query words compel users to modify their queries a number of times before obtaining the required information. This repeated, inefficient search results in increased traffic on the network and in a spiraling effect, which in turn results in higher resource consumption and overload. Search engines have

Automation and Ethics

made it possible for anyone to look up information from any corner of the world on the Internet. In an unprecedented decision statement a judge in New Zealand banned online media from publishing the names of two people accused of murder [47.53]. All other news media such as TV, printed media, etc. were allowed to publish the names except the Internet media. This distinction was based on the concern that information about the accused is available on Internet for a long time even after the trial is over. This case poses a dilemma about the information available on the Internet and in search engine logs much longer after the validity of the information has expired. The availability of query log datasets such as AOL has opened up the doors for carrying out exploratory research on searching user query logs and coming up with possible solutions to make the user search sessions more productive with the intent to provide better search experience for users. While AOL seems to have not taken adequate measures to hide personally identifiable information, the availability of the data set itself poses interesting ethical questions. Several related developments can be summarized about research and internet-based search, which may shed light on ethical concerns and conflicts in this domain:





823

log datasets. Included are multi-faceted logs coupled with relevant information such as time spent on the web page clicked on, web pages opened, printed and/or bookmarked, and whether the user’s true or at least intended information needs are satisfied. Potential ramifications or lack of such query logs dataset vis-á-vis user privacy issues are outlined in [47.57–59] and are subject to further research. Addressing privacy rights and issues and Internet-based research requires review boards, as described also in the next section. Ethical thinking in this direction includes, for example:

• • •

Setting up a review board for release of query log data for research purposes, while adhering to certain guidelines of ethical practices [47.60]. Classifying sensitive queries in the query log dataset from a privacy perspective; for example, by partial anonymization of queries [47.61]. Specific methods of anonymizing sensitive queries in the AOL and similar such datasets [47.62, 63]. For instance: a) applying threshold cryptography systems that eliminate highly identifying queries in real time, and b) dealing with a set of aggregated queries that are overly identifying, and addressing issues of tradeoff between privacy and utility of the query log data.

47.6.2 More on Research Ethics and User Privacy Issues While internet users’ search session data availability for research and other exploitation illustrates serious ethical issues, some of which are described above, other privacy, property, quality, and accessibility ethical concerns need to be addressed. These concerns need to be appropriately handled, including: fair use of information, ethics of anonymity, and critical need for carefully enabling selective access to private information and behavioral research for specific goals of information for safety, health, security, and other essential public needs [47.64]. Privacy and Accessibility Rights Versus Significant Public Service What about limiting research on behavior patterns, which may result in losing the opportunity to obtain unique results for targeted services that are significantly beneficial to society, even critical for sustainability? For

Part E 47.6



In order to encourage research with user search query logs, Microsoft announced that it would avail its dataset to selected research organizations upon signing agreements. Such safeguards are necessary to protect user privacy and advance research, while developing better tools to help search engine users. Users’ opt-in and opt-out, meaning personally selective, optional acceptance or rejection of sharing their personal information, have become common as part of codes of privacy [47.4]. Users’ web searching behavior has been an interesting research area for some time now. Researchers have studied the overall nature of information behavior, including information seeking behavior [47.54], information retrieval (IR) with hidden behavioral patterns and semantically superconcepts [47.55,56]. Sometimes, the thirst for information and convenience influence human searchers (as well as purchasers on the web researching available options) to willingly compromise, at least in part, their principled sensitivity to protect their privacy. Privacy rights and protection privileges are also associated with the availability of user search query

47.6 Ethics and Research

824

Part E

Automation Management

example, health, safety, and security related issues may need Internet-based and mobile phone-based research. An emerging optional way is to have informed consent from the users at appropriate instances, to enable the fair use of behavior information for agreed upon and selectively chosen research activities. This area is being addressed already by different industry segments and is handled by various legal means. Policies for Conducting Research Based on Automation Policies for research based on knowledge obtained by automation have been developed and are still emerging to address ethical concerns, e.g., [47.65]. Initiatives have emerged and need to be strengthened and widened to satisfy World Wide Web media related issues, as such data may become increasingly available for organizations to mine for gaining competitive advantage in the market place, e.g., [47.33]. A consortium for university researchers, industries, government agencies, and other concerned organizations to discuss policy and other related issues of conducting such research is being developed. The Myth About User Privacy with Automation One myth about privacy of automation-users is that protecting privacy rights is the onus of the user. In today’s world, where information systems security management is a discipline that is fast emerging, its peripheries are yet to be well defined. Who are the gatekeepers?





Internet service provider (ISP) are burdened with the responsibility of being gatekeepers of their users’ privacy; they have to regularly compromise with governmental agencies trying to gain access to ISP user data in order to prevent crime or conduct data forensics. Search engine services have a similar responsibility, though they are not burdened with the bulk of keeping the identity of their users private (exceptions being Google or Yahoo! users who may opt to log in before conducting a web-based search).

Part E 47.6

Neither of these entities, the ISP and the search engine service, would like to be burdened with the bulk of the responsibility of protecting the identity of a user, when the user performs web-based searches. But the fact is that the necessary interface for Internet access is provided to the user by the ISP. This fact lays the primary

responsibility of user identity obfuscation squarely on the ISP. ISP employees may be able to gain access to searches conducted by their users and may be able to exploit these details in various unethical or ethical ways. This risk is higher in smaller communities that have populations less than 50 000 and are typically serviced by a few local ISPs. Policies on Data Mining for Efficiency Automation data preservation, analysis and indexing are important for web-based search engines and other Internet companies and automation services to perform efficiently, since correlating diverse user searches and interactions are the modus operandi of enhancing performance results. This information can be useful for automation design and architecture evolution. However, this data mining can also be misused by the automation service provider. Self regulations should be supported by clearly defined policies on how the data is collected, accessed, and distributed even for research purposes. Institutional Review Boards As common with any research involving human subject, universities and research organizations need to follow strict review board scrutiny. The Internet data research initiatives undertaken by universities and research organizations should also go through institutional review boards’ (IRB) formal approval process to make sure human interests, rights, and privacy are protected. Since the review, scrutiny and approval procedures can also be automated, Internet service providers and companies should set clearly defined guidelines and policies for its researchers and users. Many companies already focus on establishing a working group of individuals from privacy, legal, IRB, and security teams to discuss various aspects of the problem and proposed solutions. Such working groups study problems on a case by case basis ensuring a company’s competitive advantage without compromising on the ethical issues (if any) involved in the research. The ethical issues about research and automation will undoubtedly be addressed as organizations and society learn the pitfalls and find methods to resolve the ethical dilemmas that have been mentioned. At the same time, it is clear (as indicated in Fig. 47.1) that newly developed and far reaching automation functions will continue to pose tremendous ethical challenges to individuals, organizations, and society at large.

Automation and Ethics

47.7 Challenges and Emerging Trends

825

47.7 Challenges and Emerging Trends – for example, issues such as cookies leaving digital trail mixes on people’s machines, in light of protecting society, while also protecting individual freedom and individual rights.

47.7.1 Trends and Challenges Ethical issues, dilemmas, and conflicts, and unethical behaviors, some of which are horrendous and tragic, are unfortunately an integral part of the proliferation of computers and automation in our lives. Major concerns range from privacy, copyrights, and cyber crime issues, to the global impact of computers and communication, online communities and social networks, and effects of virtual reality. Articles, books, conferences, on-line resources, social and political processes have evolved and continue to grow in importance and influence, contributing to ethics expertise in diverse disciplines. The breadth of multi-disciplinary scope allows students and professionals to learn, understand, and evaluate the individual, social, and ethical issues brought about by computer and automation technologies. Some specific trends to consider: International Policies Impact of digitized information on individuals, communities, organizations, and societies, including continued discussions and necessary development of international policies on:

• • • • •

Privacy Automation quality and reliability Automation security Copyrights and intellectual property Collaborative protocols for rational automation control, equality of access under authorization procedures, and trust and authentication agreements

Frameworks and Regulations Development of ethical frameworks and regulatory processes are needed for substantial treatment of the interrelated automation issues of cyber-ethics: accessibility, free speech and expression, property, privacy, and security. Self-Repair and Self-Recovery Research and development of automatic self-repair and self-recovery are needed to address the risks as-

Part E 47.7

In this chapter, ethical challenges, dilemmas, and conflicts related to automation and enabled or introduced by automation have been highlighted. The context of automation and internationalization, or globalization of services and businesses bring further need for rational, acceptable, and sustainable ethical sensitivities and behaviors. These should continue to be the responsibility of individuals, and of individuals within organizations, but should also be supported, monitored, and maintained by automation mechanisms. Therefore, the increasing attention being paid to ethics in the context of automation, specifically from the perspective of education and research, has been explained. Challenging ethical issues have been presented and illustrated relative to the dimensions of technology, security, privacy, property, quality, and accessibility. For education and training, the model-based approach for integrating ethics and socially responsible automation/computing into the undergraduate curricula, as well as training courses, has been presented. Examples from automation and computing curricular perspective have been used, and can be adapted to other science and technological disciplines, and commercial and service organizations. For the effective application of this approach, or similar programs, one needs the participation of several multi-disciplinary members, instructors or trainers. However, the attractiveness of such an approach is in its ability to engage the students and trainees meaningfully while still undertaking the primary task of learning the skills and techniques they would need to be successful upon graduation or completion. For research, certain open challenges in gathering, mining, and observing user information-seeking behavior, while maintaining individuals’ privacy rights have been highlighted. Policies, including review boards, have been and are being developed to address these ethical concerns. In such situations a strong rational balance between advanced research and user privacy must be maintained at all times. While the research community at large would come up with the solutions, privacy, anonymity, and fair use issues need to be effectively addressed to demonstrate the innumerable benefits that such research work can yield for the great benefits of individuals and of society. Marketing and information dissemination in a digital world represent an emerging area of research that can be timely and exciting for students, for users, for organizations, and for the public

826

Part E

Automation Management

sociated with unexpected computer and automation break-downs, disasters, and failures that open up vulnerabilities to unethical, unsustainable scenarios. Ethical Automation Research and development are needed of inherently ethical software and ethical automation (including ethical robotics) able to automatically handle and automatically help resolve issues such as media copying, file sharing, infringement of intellectual property, security risks and threats, Internetbased crime, automation-assisted forgery, identity theft, unethical employee surveillance, individual privacy, and compliance with ethical and professional codes. Ethics of Robotic Automation Major advancements are needed in robo-ethics to address the fact that (1) robots and robotic automation are increasingly more capable, and (2) there are humans that will increasingly abuse these powerful capabilities, deploying them in ethically questionable situations and environments (e.g., in schools, hospitals, etc.) where ethically wrong robotic automation conduct could have disastrous impacts on humans.

• •

We must develop ways to ensure that automation, without robots and with robots, will always behave in an ethically correct manner. We need to be able to trust that automation, through software-inherent ethics-rationale reflecting ethical human logic (preferably specified in natural languages) will always behave under strict ethical constraints. These constraints must follow previously defined ethical codes, and be able to limit their actions and behavior under these constraints, always reflecting ethical humans’ instructions, even without human supervision.

The dual challenge in front of us is that as we develop more powerful, intelligent, and autonomous automation, we must also be careful it is not and cannot be abused by unethical people against us and against other people; we must also be careful that this powerful automation does not assume independence to, on its own, hurt people and inflict damage. The challenge for automation scientists, designers, and managers is that we need to consider how to ethically control the behavior of automation and how to ethically restrict its autonomy – because automation is all around us and because we are so dependent on it.

47.8 Additional Online Resources

Part E 47.8

Source materials relevant to ethics and automation are available from the ACM and IEEE model curricula, national societies such as ACM, IEEE, AAAS, ASEE, AAES, AIS, and others, for guidelines on ethics; groups such as ACM SIGCAS, CERIAS, CPSR, EFF, EPIC, and other professional organizations that promote responsible behavior. Their conferences, journals and materials provide rich, additional topics on automation and ethics. In addition, the following are several online resources relevant for ethics and automation: http://www.bsa.org http://catless.ncl.ac.uk/risks http://www.cerias.purdue.edu/ http://computingcases.org/index.html http://www.cpsr.org/ethics/eei http://csethics.uis.edu/dolce http://www.cyberlawclinic.org/casestudy.htm

http://www.dhs.gov/dhspublic (on strategy to secure the cyberspace) http://ethics.iit.edu/resources/onlineresources.html http://ethics.iit.edu/codes/engineer.html http://ethics.iit.edu/emerging/index.html http://ethics.sandiego.edu/resources/cases/ HomeOverview.asp http://ethics.tamu.edu/1995nsf.htm http://www.georgetown.edu/research/nrcbl/nrc http://microsoft.com/piracy http://onlineethics.org http://privacyrights.org http://www.rbs2.com/ethics.htm http://repo-nt.tcc.virginia.edu/ethics/index.htm http://seeri.etsu.edu/Ethics.htm http://government.zdnet.com/?p=3935 (Modern Wars: Cyber assisted warfare).

Automation and Ethics

47.A Appendix: Code of Ethics Example

827

47.A Appendix: Code of Ethics Example ACM (Association for Computing Machinery) Code of Ethics and Professional Conduct

47.A.1 General Moral Imperatives As an ACM member I will. . .

Adopted by ACM Council 10/16/92. Preamble

Avoid Harm to Others Harm means injury or negative consequences, such as undesirable loss of information, loss of property, property damage, or unwanted environmental impacts. This principle prohibits use of computing technology in ways that result in harm to any of the following: users, the general public, employees, employers. Harmful actions include intentional destruction or modification of files and programs leading to serious loss of resources or unnecessary expenditure of human resources such as the time and effort required to purge systems of computer viruses. Well-intended actions, including those that accomplish assigned duties, may lead to harm unexpectedly. In such an event the responsible person or persons are obligated to undo or mitigate the negative consequences as much as possible. One way to avoid unintentional harm is to carefully consider potential impacts on all those affected by decisions made during design and implementation. To minimize the possibility of indirectly harming others, computing professionals must minimize malfunctions by following generally accepted standards for system design and testing. Furthermore, it is often necessary to assess the social consequences of systems to project the likelihood of any serious harm to others. If system features are misrepresented to users, coworkers,

Part E 47.A

Commitment to ethical professional conduct is expected of every member (voting members, associate members, and student members) of the Association for Computing Machinery (ACM). This Code, consisting of 24 imperatives formulated as statements of personal responsibility, identifies the elements of such a commitment. It contains many, but not all, issues professionals are likely to face. Section 47.A.1 outlines fundamental ethical considerations, while Sect. 47.A.2 addresses additional, more specific considerations of professional conduct. Statements in Sect. 47.A.3 pertain more specifically to individuals who have a leadership role, whether in the workplace or in a volunteer capacity such as with organizations like ACM. Principles involving compliance with this Code are given in Sect. 47.A.4. The Code shall be supplemented by a set of Guidelines, which provide explanation to assist members in dealing with the various issues contained in the Code. It is expected that the Guidelines will be changed more frequently than the Code. The Code and its supplemented Guidelines are intended to serve as a basis for ethical decision making in the conduct of professional work. Secondarily, they may serve as a basis for judging the merit of a formal complaint pertaining to violation of professional ethical standards. It should be noted that although computing is not mentioned in the imperatives of Sect. 47.A.1, the Code is concerned with how these fundamental imperatives apply to one’s conduct as a computing professional. These imperatives are expressed in a general form to emphasize that ethical principles which apply to computer ethics are derived from more general ethical principles. It is understood that some words and phrases in a code of ethics are subject to varying interpretations, and that any ethical principle may conflict with other ethical principles in specific situations. Questions related to ethical conflicts can best be answered by thoughtful consideration of fundamental principles, rather than reliance on detailed regulations.

Contribute to Society and Human Well-Being This principle concerning the quality of life of all people affirms an obligation to protect fundamental human rights and to respect the diversity of all cultures. An essential aim of computing professionals is to minimize negative consequences of computing systems, including threats to health and safety. When designing or implementing systems, computing professionals must attempt to ensure that the products of their efforts will be used in socially responsible ways, will meet social needs, and will avoid harmful effects to health and welfare. In addition to a safe social environment, human well-being includes a safe natural environment. Therefore, computing professionals who design and develop systems must be alert to, and make others aware of, any potential damage to the local or global environment.

828

Part E

Automation Management

or supervisors, the individual computing professional is responsible for any resulting injury. In the work environment the computing professional has the additional obligation to report any signs of system dangers that might result in serious personal or social damage. If one’s superiors do not act to curtail or mitigate such dangers, it may be necessary to blow the whistle to help correct the problem or reduce the risk. However, capricious or misguided reporting of violations can, itself, be harmful. Before reporting violations, all relevant aspects of the incident must be thoroughly assessed. In particular, the assessment of risk and responsibility must be credible. It is suggested that advice be sought from other computing professionals. See principle 2.5 regarding thorough evaluations. Be Honest and Trustworthy Honesty is an essential component of trust. Without trust an organization cannot function effectively. The honest computing professional will not make deliberately false or deceptive claims about a system or system design, but will instead provide full disclosure of all pertinent system limitations and problems. A computer professional has a duty to be honest about his or her own qualifications, and about any circumstances that might lead to conflicts of interest. Membership in volunteer organizations such as ACM may at times place individuals in situations where their statements or actions could be interpreted as carrying the weight of a larger group of professionals. An ACM member will exercise care to not misrepresent ACM or positions and policies of ACM or any ACM units.

Part E 47.A

Be Fair and Take Action not to Discriminate The values of equality, tolerance, respect for others, and the principles of equal justice govern this imperative. Discrimination on the basis of race, sex, religion, age, disability, national origin, or other such factors is an explicit violation of ACM policy and will not be tolerated. Inequities between different groups of people may result from the use or misuse of information and technology. In a fair society, all individuals would have equal opportunity to participate in, or benefit from, the use of computer resources regardless of race, sex, religion, age, disability, national origin or other such similar factors. However, these ideals do not justify unauthorized use of computer resources nor do they provide an adequate basis for violation of any other ethical imperatives of this code.

Honor Property Rights Including Copyrights and Patent Violation of copyrights, patents, trade secrets and the terms of license agreements is prohibited by law in most circumstances. Even when software is not so protected, such violations are contrary to professional behavior. Copies of software should be made only with proper authorization. Unauthorized duplication of materials must not be condoned. Give Proper Credit for Intellectual Property Computing professionals are obligated to protect the integrity of intellectual property. Specifically, one must not take credit for other’s ideas or work, even in cases where the work has not been explicitly protected by copyright, patent, etc. Respect the Privacy of Others Computing and communication technology enables the collection and exchange of personal information on a scale unprecedented in the history of civilization. Thus there is increased potential for violating the privacy of individuals and groups. It is the responsibility of professionals to maintain the privacy and integrity of data describing individuals. This includes taking precautions to ensure the accuracy of data, as well as protecting it from unauthorized access or accidental disclosure to inappropriate individuals. Furthermore, procedures must be established to allow individuals to review their records and correct inaccuracies. This imperative implies that only the necessary amount of personal information be collected in a system, that retention and disposal periods for that information be clearly defined and enforced, and that personal information gathered for a specific purpose not be used for other purposes without consent of the individual(s). These principles apply to electronic communications, including electronic mail, and prohibit procedures that capture or monitor electronic user data, including messages, without the permission of users or bona fide authorization related to system operation and maintenance. User data observed during the normal duties of system operation and maintenance must be treated with strictest confidentiality, except in cases where it is evidence for the violation of law, organizational regulations, or this Code. In these cases, the nature or contents of that information must be disclosed only to proper authorities.

Automation and Ethics

Honor Confidentiality The principle of honesty extends to issues of confidentiality of information whenever one has made an explicit promise to honor confidentiality or, implicitly, when private information not directly related to the performance of one’s duties becomes available. The ethical concern is to respect all obligations of confidentiality to employers, clients, and users unless discharged from such obligations by requirements of the law or other principles of this Code.

47.A.2 More Specific Professional Responsibilities As an ACM computing professional I will. . . Strive to Achieve the Highest Quality, Effectiveness and Dignity in Both the Process and Products of Professional Work Excellence is perhaps the most important obligation of a professional. The computing professional must strive to achieve quality and to be cognizant of the serious negative consequences that may result from poor quality in a system. Acquire and Maintain Professional Competence Excellence depends on individuals who take responsibility for acquiring and maintaining professional competence. A professional must participate in setting standards for appropriate levels of competence, and strive to achieve those standards. Upgrading technical knowledge and competence can be achieved in several ways: doing independent study; attending seminars, conferences, or courses; and being involved in professional organizations.

829

accept responsibility for one’s actions and for the consequences. Accept and Provide Appropriate Professional Review Quality professional work, especially in the computing profession, depends on professional reviewing and critiquing. Whenever appropriate, individual members should seek and utilize peer review as well as provide critical review of the work of others. Give Comprehensive and Thorough Evaluations of Computer Systems and Their Impacts, Including Analysis of Possible Risks Computer professionals must strive to be perceptive, thorough, and objective when evaluating, recommending, and presenting system descriptions and alternatives. Computer professionals are in a position of special trust, and therefore have a special responsibility to provide objective, credible evaluations to employers, clients, users, and the public. When providing evaluations the professional must also identify any relevant conflicts of interest, as stated in imperative 1.3. As noted in the discussion of principle 1.2 on avoiding harm, any signs of danger from systems must be reported to those who have opportunity and/or responsibility to resolve them. See the guidelines for imperative 1.2 for more details concerning harm, including the reporting of professional violations. Honor Contracts, Agreements, and Assigned Responsibilities Honoring one’s commitments is a matter of integrity and honesty. For the computer professional this includes ensuring that system elements perform as intended. Also, when one contracts for work with another party, one has an obligation to keep that party properly informed about progress toward completing that work. A computing professional has a responsibility to request a change in any assignment that he or she feels cannot be completed as defined. Only after serious consideration and with full disclosure of risks and concerns to the employer or client, should one accept the assignment. The major underlying principle here is the obligation to accept personal accountability for professional work. On some occasions other ethical principles may take greater priority. A judgment that a specific assignment should not be performed may not be accepted. Having clearly identified one’s concerns and reasons for that judgment, but failing to procure a change in that assignment, one may

Part E 47.A

Know and Respect Existing Laws Pertaining to Professional Work ACM members must obey existing local, state, province, national, and international laws unless there is a compelling ethical basis not to do so. Policies and procedures of the organizations in which one participates must also be obeyed. But compliance must be balanced with the recognition that sometimes existing laws and rules may be immoral or inappropriate and, therefore, must be challenged. Violation of a law or regulation may be ethical when that law or rule has inadequate moral basis or when it conflicts with another law judged to be more important. If one decides to violate a law or rule because it is viewed as unethical, or for any other reason, one must fully

47.A Appendix: Code of Ethics Example

830

Part E

Automation Management

yet be obligated, by contract or by law, to proceed as directed. The computing professional’s ethical judgment should be the final guide in deciding whether or not to proceed. Regardless of the decision, one must accept the responsibility for the consequences. However, performing assignments against one’s own judgment does not relieve the professional of responsibility for any negative consequences. Improve Public Understanding of Computing and Its Consequences Computing professionals have a responsibility to share technical knowledge with the public by encouraging understanding of computing, including the impacts of computer systems and their limitations. This imperative implies an obligation to counter any false views related to computing. Access Computing and Communication Resources only when Authorized to Do so Theft or destruction of tangible and electronic property is prohibited by imperative 1.2–Avoid harm to others. Trespassing and unauthorized use of a computer or communication system is addressed by this imperative. Trespassing includes accessing communication networks and computer systems, or accounts and/or files associated with those systems, without explicit authorization to do so. Individuals and organizations have the right to restrict access to their systems so long as they do not violate the discrimination principle (see 1.4). No one should enter or use another’s computer system, software, or data files without permission. One must always have appropriate approval before using system resources, including communication ports, file space, other system peripherals, and computer time.

47.A.3 Organizational Leadership Imperatives

Part E 47.A

As an ACM member and an organizational leader, I will. . . Background Note: This section draws extensively from the draft IFIP Code of Ethics, especially its sections on organizational ethics and international concerns. The ethical obligations of organizations tend to be neglected in most codes of professional conduct, perhaps because these codes are written from the perspective of the individual member. This dilemma is addressed by stating these imperatives from the perspective of the organizational leader. In this context leader is viewed as any organizational member who has

leadership or educational responsibilities. These imperatives generally may apply to organizations as well as their leaders. In this context organizations are corporations, government agencies, and other employers, as well as volunteer professional organizations. Articulate Social Responsibilities of Members of an Organizational Unit and Encourage Full Acceptance of Those Responsibilities Because organizations of all kinds have impacts on the public, they must accept responsibilities to society. Organizational procedures and attitudes oriented toward quality and the welfare of society will reduce harm to members of the public, thereby serving public interest and fulfilling social responsibility. Therefore, organizational leaders must encourage full participation in meeting social responsibilities as well as quality performance. Manage Personnel and Resources to Design and Build Information Systems that Enhance the Quality of Working Life Organizational leaders are responsible for ensuring that computer systems enhance, not degrade, the quality of working life. When implementing a computer system, organizations must consider the personal and professional development, physical safety, and human dignity of all workers. Appropriate human-computer ergonomic standards should be considered in system design and in the workplace. Acknowledge and Support Proper and Authorized Uses of an Organization’s Computing and Communication Resources Because computer systems can become tools to harm as well as to benefit an organization, the leadership has the responsibility to clearly define appropriate and inappropriate uses of organizational computing resources. While the number and scope of such rules should be minimal, they should be fully enforced when established. Ensure that Users and Those Who Will Be Affected by a System Have Their Needs Clearly Articulated During the Assessment and Design of Requirements; Later the System Must Be Validated to Meet Requirements Current system users, potential users and other persons whose lives may be affected by a system must have their needs assessed and incorporated in the statement of requirements. System validation should ensure compliance with those requirements.

Automation and Ethics

Articulate and Support Policies that Protect the Dignity of Users and Others Affected by a Computing System Designing or implementing systems that deliberately or inadvertently demean individuals or groups is ethically unacceptable. Computer professionals who are in decision making positions should verify that systems are designed and implemented to protect personal privacy and enhance personal dignity. Create Opportunities for Members of the Organization to Learn the Principles and Limitations of Computer Systems This complements the imperative on public understanding (2.7). Educational opportunities are essential to facilitate optimal participation of all organizational members. Opportunities must be available to all members to help them improve their knowledge and skills in computing, including courses that familiarize them with the consequences and limitations of particular types of systems. In particular, professionals must be made aware of the dangers of building systems around oversimplified models, the improbability of anticipating and designing for every possible operating condition, and other issues related to the complexity of this profession.

47.A.4 Compliance with the Code As an ACM member I will. . .

References

831

Uphold and Promote the Principles of this Code The future of the computing profession depends on both technical and ethical excellence. Not only is it important for ACM computing professionals to adhere to the principles expressed in this Code, each member should encourage and support adherence by other members. Treat Violations of this Code as Inconsistent with Membership in the ACM Adherence of professionals to a code of ethics is largely a voluntary matter. However, if a member does not follow this code by engaging in gross misconduct, membership in ACM may be terminated. This Code and the supplemental Guidelines were developed by the Task Force for the Revision of the ACM Code of Ethics and Professional Conduct: Ronald E. Anderson, Chair, Gerald Engel, Donald Gotterbarn, Grace C. Hertlein, Alex Hoffman, Bruce Jawer, Deborah G. Johnson, Doris K. Lidtke, Joyce Currie Little, Dianne Martin, Donn B. Parker, Judith A. Perrolle, and Richard S. Rosenberg. The Task Force was organized by ACM/SIGCAS and funding was provided by the ACM SIG Discretionary Fund. This Code and the supplemental Guidelines were adopted by the ACM Council on October 16, 1992. This Code may be published without permission as long as it is not changed in any way and it carries the copyright notice. Copyright 1997, Association for Computing Machinery, Inc.

References 47.1 47.2

47.3 47.4

47.5 47.6

47.8 47.9

47.10 47.11

47.12

47.13

47.14 47.15

47.16

J.M. Kizza: Ethical and Social Issues in the Information Age, 3rd edn. (McFarland, Jefferson 2007) S. Bringsjord, K. Arkoudas, P. Bello: Toward a general logicist methodology for engineering ethically correct robots, IEEE Intell. Syst. 21, 38–44 (2006) D. Dennett: When HAL kills, who’s to blame?. In: HAL’s Legacy: 2001’s Computer as Dream and Reality, ed. by D. Stork (MIT Press, Cambridge 1996) G. Veruggio, F. Operto: Roboethics: Social and ethical implications of robotics. In: Springer Handbook of Robotics, ed. by B. Siciliano, O. Khatib (Springer, Berlin Heidelberg 2007), Chap. 64 R. Spinello: Readings in CyberEthics, 2nd edn. (Jones Bartlett, Boston 2004) S. Helmers, U. Hoffmann, J.-B. Stamos-Kaschke: (How) Can software agents become good net citizens?, CMC Magazine 3, 1–9 (1997) V. Perri: Ethics, regulation and the new artificial intelligence, part II: autonomy and liability, Inf. Commun. Soc. 4, 406–434 (2001)

Part E 47

47.7

G. Reynolds: Ethics in Information Technology, 2nd edn. (Cengage Learning, Florence 2006) H.T. Tavani: Ethics and Technology: Ethical Issues in an Age of Information and Communication Technology (Wiley, New York 2006) D.M. Hester, P.J. Ford: Computers and Ethics in the Cyberage (Prentice Hall, Englewood Cliffs 2000) R.K. Rainer, E. Turban: Ethics, privacy, and information security. In: Introduction to Information Systems, 2nd edn. (Wiley, New York 2009), Chap. 3 D.G. Johnson: Computer Ethics, 3rd edn. (Prentice Hall, Englewood Cliffs 2000) S. Baase: A Gift of Fire: Social, Legal, and Ethical Issues for Computers and the Internet, 2nd edn. (Prentice Hall, Englewood Cliffs 2002) J.M. Kizza: Computer Network Security and Cyber Ethics, 2nd edn. (McFarland, Jefferson 2006) R. Spinello: Cyber Ethics: Morality and Law in Cyberspace, 3rd edn. (Jones Bartlett, Boston 2006) P.M. Asaro: Robots and responsibility from a legal perspective, Proc. IEEE ICRA (2007)

832

Part E

Automation Management

47.17 47.18

47.19 47.20

47.21

47.22

47.23 47.24 47.25 47.26

47.27 47.28 47.29 47.30 47.31

47.32

47.33 47.34

Part E 47

47.35

J. Sullins: When is a robot a moral agent?, Int. J. Inf. Ethics 6, 12 (2006) K. Himma: Artificial agency, consciousness, and the criteria for moral agency: what properties must an artificial agent have to be a moral agent?, 7th Int. Comput. Ethics Conf. (San Diego 2007) R. Lucas: Moral theories for autonomous software agents, ACM SIGCAS Comput. Soc. Arch. 34, 4 (2004) R.C. Arkin: Governing lethal behavior: embedding ethics in a hybrid deliberative/reactive robot architecture, Proc. 3rd ACM/IEEE Int. Conf. Human–Robot Interact. (Amsterdam 2008) pp. 121–128 Online Ethics Center, National Academy of Engineering, Washington (2009) http://www.onlineethics.org/ T.W. Bynum, S. Rogerson (Eds.): Computer Ethics and Professional Responsibility (Blackwell, New York 2004) G. Stamatellos: Computer Ethics: A Global Perspective (Jones Bartlett, Sudbury 2007) P. Lucas: Why bother? Ethical computers - that’s why!, ACM Int. Conf. Proc. Ser. 7, 33–38 (2000) J. Berge: Software for Automation: Architecture, Integration, and Security (ISA, New York 2005) T. Macaulay: Industrial Automation and Process Control Security: SCADA, DCS, PLC, HMI (Auerbach, London 2009) E. Cayirci, A. Levi, C. Rong: Security in Wireless Ad Hoc and Sensor Networks (Wiley, New York 2009) J. Dubin: The Little Black Book of Computer Security, 2nd edn. (Penton Media, New York 2008) C. Easttom: Computer Security Fundamentals (Prentice Hall, Englewood Cliffs 2005) D. Gollmann: Computer Security (Wiley, New York 2006) M. Dark: Learning and Teaching with Case Studies, Center for Education and Research in Information Assurance and Security (Purdue University, Purdue 2008) http://www.cerias.purdue.edu/education/ post_secondary_education/undergrad _and_grad/ curriculum_development/ethical_social _prof _ issues/learning_and_teaching.php T. Brignall, S. Ramaswamy: A Framework for Integrating Ethical and Values-Based Instruction into the ACM Computing Curricula 2001, Workshop Prot. Inf. Comput. Beyond (CERIAS, Purdue 2001) I. Asimov: I, Robot (Gnome Press, New York 1950) S.Y. Nof (Ed.): Handbook of Industrial Robotics (Wiley, New York 1985) M. J. Dark, A. Ghafarian, M. A. Saheb, J. Yu: Information ethics and social issues in the undergraduate computer science curriculum: a curriculum development and implementation report, (Redmond 2002) http://www.cerias.purdue.edu/education/ post_secondary_education/undergrad _and_grad/ curriculum_development/ethical_social _ prof_issues/framework.php

47.36

47.37

47.38 47.39 47.40

47.41

47.42 47.43 47.44

47.45

47.46

47.47

47.48

47.49

47.50

47.51

47.52

47.53

P. James: Transformative learning: promoting change across cultural worlds, J. Vocat. Educ. Train. 49, 197–219 (1997) M. Dark, N. Harter, L. Morales, M.A. Garcia: An information security ethics education model, J. Comput. Sci. Coll. 23, 82–88 (2008) T.L. Beauchamp: Case Studies in Business, Society and Ethics (Prentice Hall, Englewood Cliffs 1998) R. Spinello: Case Studies in Information Technology Ethics, 2nd edn. (Prentice Hall, New York 2003) B.B. Levin: Using the case method in teacher education: the role of discussion and experience in teachers’ thinking about cases, J. Teach. Teach. Educ. 10, 2 (1996) J. Kleinfeld: Changes in problem solving abilities of students taught through case methods, 1991 Annu. Meet. Am. Educ. Res. Assoc. (Chicago 1991) J. Cossom: Teaching from cases: Education for critical thinking, J. Teach. Soc. Work 5, 139–155 (1991) D.W. Ewing: Inside the Harvard Business School (Random House, New York 1990) M.J. Julian, M.B. Kinzie, V.A. Larsen: Compelling case experiences: performance, practice, and application for emerging instructional designers, Perform. Improv. Q. 13, 164–201 (2000) L. Wilkerson, W.H. Gijselaers: Bringing ProblemBased Learning to Higher Education: Theory and Practice (Jossey-Bass, New York 1996) J. Lave: The culture of acquisition and the practice of understanding. In: Cultural Psychology: Essays on Comparative Human Development, ed. by J.W. Stigler, R.A. Schweder, G. Herdt (Cambridge Univ. Press, Boston 1990) pp. 309–327 J.M. Cohoon: Recruiting and retaining women in undergraduate computing majors, ACME SIGCSE Bulletin 34, 48–52 (2002) K. Treu, A. Skinner: Ten Suggestions for a genderequitable CS classroom, ACME SIGCSE Bulletin 34, 165–167 (2002) S. Ramaswamy: Societal-consciousness in the computing curricula: a time for serious introspection, Int. Symp. Technol. Soc.: Risk, Vulnerability, Uncertainty, Technology and Society (Las Vegas 2007) Privacy Rights Clearinghouse: San Diego, CA 92103, USA, http://privacyrights.org (last accessed January 2009) ComputingCases.org: http://computingcases.org/index.html (last accessed January 2009) P. Lyman, H.R. Varian, P. Charles, N. Good, L.L. Jordan, J. Pal: How much Information? (SIMS Lab, University of Berkeley 2003), http://www.sims.berkeley.edu/research/ projects/how-much-info-2003/ (last accessed January 2009) E. Gay: Judge restricts online reporting of case, The New Zealand Herald, Aug 25 (2008)

Automation and Ethics

47.54

47.55

47.56

47.57

47.58

47.59

http://www.nzherald.co.nz/nz/news/ article.cfm?c_id=1&objectid=10528866 (last accessed January 8, 2009) T.D. Wilson, D. Ellis, N. Ford, A. Foster: Uncertainty in Information Seeking, Final Report, LIC Res. Rep. 59 (Department of Information Studies, University of Sheffield 1999) http://informationr.net/tdw/publ/unis/ report.html (Dec 1, 2006) L.D. Catledge, J.E. Pitkow: Characterizing browsing strategies in the world wide web, Comput. Netw. ISDN Syst. 27 (Elsevier Science, Amsterdam 1995) H. Joshi, S. Ito, S. Kanala, S. Hebbar, C. Bayrak: Concept set extraction with user session context, Proc. 45th ACM South East Conf. (Winston-Salem 2007) C. Silverstein, H. Marais, M. Henzinger, M. Moricz: Analysis of a very large web search engine query log, ACM Special Interest Group on Information Retrieval (SIGIR) Conference (1999) pp. 6–12 A. Goker, D. He: Analyzing web search log to determine session boundaries for user-oriented learning, Proc. Adapt. Hypermedia Adapt. Web Based Syst. Int. Conf. AH 2000 (Trento 2000) pp. 319–322 D. Downey, S. Dumais, E. Horvitz: Models of searching and browsing: languages, studies,

47.60

47.61

47.62

47.63

47.64

47.65

References

833

and application, Int. Conf. Artificial Intell. IJCAI (2007) J. Bar-Ilan: Access to query logs — An academic researcher’s point of view, Position paper at Query Log Anal. Workshop at WWW 2007 Conf. (Banff 2007) X. Li, E. Agichtein: Towards privacy-preserving query log publishing, Position paper at Query Log Anal. Workshop at WWW 2007 Conf. (Banff 2007) E. Adar: User 4XXXXX9: Anonymizing query logs, Query Log Anal. workshop at WWW 2007 Conf. (Banff 2007) L. Weinstein: Search engine privacy dilemmas – and paths towards solution, on line blog entry at http://lauren.vortex.com/archive/000188.html (February 12, 2007) J. Waldo, H.S. Lin, L.I. Millett (Eds.): Engaging Privacy and Information Technology in a Digital Age (The National Academies Press, Washington 2007) Committee on Technical and Privacy Dimensions of Information for Terrorism Prevention and Other National Goals, National Research Council: Protecting Individual Privacy in the Struggle Against Terrorists: A Framework for Program Assessment (The National Academies Press, Washington 2008)

Part E 47

“This page left intentionally blank.”

835

Part F

Industria Part F Industrial Automation

48 Machine Tool Automation Keiichi Shirase, Kobe, Japan Susumu Fujii, Tokyo, Japan 49 Digital Manufacturing and RFID-Based Automation Wing B. Lee, Kowloon, Hong Kong Benny C.F. Cheung, Kowloon, Hong Kong Siu K. Kwok, Kowloon, Hong Kong 50 Flexible and Precision Assembly Brian Carlisle, Auburn, USA

51 Aircraft Manufacturing and Assembly Branko Sarh, Huntington Beach, USA James Buttrick, Seattle, USA Clayton Munk, Seattle, USA Richard Bossi, Renton, USA 52 Semiconductor Manufacturing Automation Tae-Eog Lee, Daejeon, Korea

53 Nanomanufacturing Automation Ning Xi, East Lansing, USA King Wai Chiu Lai, East Lansing, USA Heping Chen, Windsor, USA

54 Production, Supply, Logistics and Distribution Rodrigo J. Cruz Di Palma, San Juan, Puerto Rico Manuel Scavarda Basaldúa, Buenos Aires, Argentina 55 Material Handling Automation in Production and Warehouse Systems Jaewoo Chung, Daegu, South Korea Jose M.A. Tanchoco, West Lafayette, USA 56 Industrial Communication Protocols Carlos E. Pereira, Porto Alegre RS, Brazil Peter Neumann, Magdeburg, Germany 57 Automation and Robotics in Mining and Mineral Processing Sirkka-Liisa Jämsä-Jounela, Espoo, Finland Greg Baiden, Sudbury, Canada 58 Automation in the Wood and Paper Industry Birgit Vogel-Heuser, Kassel, Germany 59 Welding Automation Anatol Pashkevich, Nantes, France 60 Automation in Food Processing Darwin G. Caldwell, Genova, Italy Steve Davis, Genova, Italy René J. Moreno Masey, Sheffield, UK John O. Gray, Genova, Italy

836

Industrial Automation. Part F Industrial automation is well known and fascinating to all of us who were born in the 20th century, from visits to plants and factories to watching movies highlighting the automation marvels of industrial operations and assembly lines. This part begins with explanation of machine tool automation, including various types of numerical control (NC), flexible, and precision machinery for production, manufacturing, and assembly, digital and virtual industrial production, to detailed design, guidelines and application of automation in the principal industries, from aerospace and automotive to semiconductor, mining, food, paper and wood industries. Chapters are also devoted to the design, control and operation of functions common to all industrial automation, including materials handling, supply, logistics, warehousing, distribution, and communication protocols, and the most advanced digital manufacturing, RFID-based automation, and emerging micro-automation and nano-manipulation. Industrial automation represents a major growth and advancement opportunity, because as explained in this part, it can provide significant innovative solutions to the grand challenges of our generation, including the production and distribution capacity of needed goods and equipment, as well as food, medical and other essential sustenance supplies for the quality of life around the globe.

837

Keiichi Shirase, Susumu Fujii

Numerical control (NC) is the greatest innovation in the achievement of machine tool automation in manufacturing. In this chapter, first a history of the development up to the advent of NC machine tools is briefly reviewed (Sect. 48.1). Then the machining centers and the turning centers are described with their key modules and integration into flexible manufacturing systems (FMS) and flexible manufacturing cells (FMC) in Sect. 48.2. NC part programming is described from manual programming to the computer-aided manufacturing (CAM) system in Sect. 48.3. In Sect. 48.4 and Sect. 48.5, following the technical innovations in the advanced hardware and software systems of NC machine tools, future control systems for intelligent CNC machine tools are presented.

48.1 The Advent of the NC Machine Tool ........ 48.1.1 From Hand Tool to Powered Machine................... 48.1.2 Copy Milling Machine.................. 48.1.3 NC Machine Tools .......................

839 839 840 840

Numerical control (NC) is the greatest innovation in the achievement of machine tool automation in manufacturing. Machine tools have expanded their performance and ability since the era of the Industrial Revolution; however all machine tools were operated manually until the birth of the NC machine tool in 1952. Numerical control enabled control of the motion and sequence of machining operations with high accuracy and repeatability. In the 1960s, computers added even greater flexibility and reliability of machining operations. These machine tools which had computer numerical control were called CNC machine tools. A machining center, which is a highly automated NC

48.2 Development of Machining Center and Turning Center............................... 48.2.1 Machining Center ....................... 48.2.2 Turning Center ........................... 48.2.3 Fully Automated Machining: FMS and FMC..............................

841 841 843 843

48.3 NC Part Programming............................ 48.3.1 Manual Part Programming........... 48.3.2 Computer-Assisted Part Programming: APT and EXAPT ....... 48.3.3 CAM-Assisted Part Programming...

844 845

48.4 Technical Innovation in NC Machine Tools 48.4.1 Functional and Structural Innovation by Multitasking and Multiaxis ............................ 48.4.2 Innovation in Control Systems Toward Intelligent CNC Machine Tools......................................... 48.4.3 Current Technologies of Advanced CNC Machine Tools.... 48.4.4 Autonomous and Intelligent Machine Tool .............................

847

846 846

847

848 849 853

48.5 Key Technologies for Future Intelligent Machine Tool ........ 856 48.6 Further Reading ................................... 857 References .................................................. 857

milling machine performing multiple milling operations, was developed to realize process integration as well as machining automation in 1958. A turning center, which is a highly automated NC lathe performing multiple turning operations, was also developed. These machine tools contributed to realize the flexible manufacturing system (FMS), which had been proposed during the mid-1960s. FMS aims to perform automatic machining operations unaided by human operators to machine various parts. The automatically programmed tool (APT) is the most important computer-assisted part programming language and was first used to generate part programs

Part F 48

Machine Tool 48. Machine Tool Automation

Industrial Automation

Analog control/mechanical control (mechanics)

NC machine tools Digital control (servo, actuator, sensor)

NC machine tools with adaptive control The third innovation

• Motion control • Multi tasks/multi functions • System • Cutting process control • Feedback of cutting process

Digital control with AC (performance function/constraint conditions)

Intelligent NC machine tools

• Artificial intelligence • Knowledge/knowhow • Learning/evolution

Digital control with AC (machining strategy/decision making)

Autonomous operation instructed by in-process planning and decision

The second innovation

• High speed • High precision • High productivity

Automatic operation pre-instructed by NC programs

Tools Machine tools

Information

Part F 48

The first innovation

Software

Part F

Hardware

838

Fig. 48.1 Evolution of machine tools toward the intelligent machine for the future

in production around 1960. The extended subset of APT (EXAPT) was developed to add functions such as setting of cutting conditions, selection of cutting tool, and operation planning besides the functions of APT. Another pioneering NC programming language, COMPACT II, was developed by Manufacturing Data Systems Inc. (MDSI) in 1967. Technologies developed beyond APT and EXAPT were succeeded by computeraided manufacturing (CAM). CAM provides interactive part programming with a visual and graphical environment and saves significant programming time and effort for part programming. For example, COMPACT II has evolved into open CNC software, which enables integration of off-the-shelf hardware and software technologies. NC languages have also been integrated with computer-aided design (CAD) and CAM systems. In the past five decades, NC machine tools have become more sophisticated to achieve higher accuracy and faster machining operation with greater flexibility. Certainly, the conventional NC control system can perform sophisticated motion control, but not cutting process control. This means that further intelligence of NC control system is still required to achieve more sophisticated process control. In the near future, all machine tools will have advanced functions for process planning, tool-path generation, cutting process monitoring, cutting process prediction, self-monitoring, failure

prediction, etc. Information technology (IT) will be the key issue to realize these advanced functions. The paradigm is evolving from the concept of autonomy to yield next-generation NC machine tools for sophisticated manufacturing systems. Machine tools have expanded their performance and abilities as shown in Fig. 48.1. The first innovation took place during the era of the Industrial Revolution. Most conventional machine tools, such as lathes and milling machines, have been developed since the Industrial Revolution. High-speed machining, highprecision machining, and high productivity have been achieved by these modern machine tools to realize mass production. The second innovation was numerical control (NC). A prototype machine was demonstrated at MIT in 1952. The accuracy and repeatability of NC machine tools became far better than those of manually operated machine tools. NC is a key concept to realize programmable automation. The principle of NC is to control the motion and sequence of machining operations. Computer numerical control (CNC) was introduced, and computer technology replaced the hardware control circuit boards on NC, greatly increasing the reliability and functionality of NC. The most important functionality to be realized was adaptive control (AC). In order to improve the productivity of the ma-

Machine Tool Automation

In order to realize an intelligent machine tool for the future, some innovative technical breakthroughs are required. An intelligent machine tool should be good at learning, understanding, and thinking in a logical way about the cutting process and machining operation, and no NC commands will be required to instruct machining operations as an intelligent machine tool thinks about machining operations, and adapts the cutting processes itself. This means that an intelligent machine tool can perform autonomous operations that are instructed by in-process planning made by the tool itself. Information technology (IT) will be the key issue to realize this third innovation.

48.1 The Advent of the NC Machine Tool 48.1.1 From Hand Tool to Powered Machine It is well known that John Wilkinson’s boring machine (Fig. 48.2) was able to machine a high-accuracy cylinder to build Watt’s steam engine. The perfor-

Fig. 48.2 Wilkinson’s boring machine (1775)

Fig. 48.3 Maudsley’s screw-cutting lathe with mecha-

nized tool carriage (1800)

mance of steam engines was improved drastically by the high-accuracy cylinder. With the spread of steam engines, machine tools changed from hand tools to powered machines, and metal cutting became widespread to achieve modern industrialization. During the era of the Industrial Revolution, most conventional machine tools, such as lathes and milling machines, were developed. Maudsley’s screw-cutting lathe with mechanized tool carriage (Fig. 48.3) was a great invention which was able to machine high-accuracy screw threads. The screw-cutting lathe was developed to machine screw threads accurately; however the mechanical tool carriage equipped with a screw allowed precise repetition of machined shapes. Precise repetition of machined shape is an important requirement to produce many of the component parts for mass production. Therefore, Maudsley’s screw-cutting lathe became a prototype of lathes. Whitney’s milling machine (Fig. 48.4) is believed to be the first successful milling machine used for cutting plane of metal parts. However, it appears that Whitney’s milling machine was made after Whitney’s death. Whitney’s milling machine was designed to manufacture interchangeable musket parts. Interchangeable parts require high-precision machine tools to make exact shapes. Fitch’s turret lathe (Fig. 48.5) was the first automatic turret lathe. Turret lathes were used to produce complex-shaped cylindrical parts that required several operating sequences and tools. Also, turret lathes can perform automatic machining with a single setup and can achieve high productivity. High productivity is an

839

Part F 48.1

chining process and the quality of machined surfaces, several AC systems for real-time adjustment of cutting parameters have been proposed and developed [48.1]. As mentioned above, machine tools have evolved through advances in hardware and control technologies. However, the machining operations are fully dominated by the predetermined NC commands, and conventional machine tools are not generally allowed to change the machining sequence or the cutting conditions during machining operations. This means that conventional NC machine tools are allowed to perform only automatic machining operations that are pre-instructed by NC programs.

48.1 The Advent of the NC Machine Tool

840

Part F

Industrial Automation

Part F 48.1 Fig. 48.6 A copy milling machine Fig. 48.4 Whitney’s milling machine (1818)

Fig. 48.5 Fitch’s turret lathe (1845)

for making molds, dies, and other shaped cavities and forms. A prove tracing the model contour is controlled to follow a three-dimensional master model, and the cutting tool follows the path taken by the tracer to machine the desired shape. Usually, a tracing prove is fed by a human operator, and the motion of the tracer is converted to the motion of the tool by hydraulic or electronic mechanisms. The motion in Fig. 48.6 shows an example of copy milling. In this case, three spindle heads or three cutting tools follow the path taken by the tracer simultaneously. In some copy milling machines the ratio between the motion of the tracer and the cutting tool can be changed to machine shapes that are similar to the master model. Copy milling machines were widely used to machine molds and dies which were difficult to generate with simple tool paths, until CAD/CAM systems became widespread to generate NC programs freely for machining three-dimensional freeform shapes.

48.1.3 NC Machine Tools important requirement to produce many of the component parts for mass production. As mentioned above, the most important modern machine tools required to realize mass production were developed during the era of the Industrial Revolution. Also high-speed machining, high-precision machining, and high productivity had been achieved by these modern machine tools.

48.1.2 Copy Milling Machine A copy milling machine, also called a tracer milling machine or a profiling milling machine, can duplicate freeform geometry represented by a master model

The first prototype NC machine tool, shown in Fig. 48.7, was demonstrated at the MIT in 1952. The name numerical control was given to the machine tool, as it was controlled numerically. It is well known that numerical control was required to develop more efficient manufacturing methods for modern aircraft, as aircraft components became more complex and required more machining. The accuracy, repeatability, and productivity of NC machine tools became far better than those of machine tools operated manually. The concept of numerical control is very important and innovative for programmable automation, in which the motions of machine tools are controlled or

Machine Tool Automation

instructed by a program containing coded alphanumeric data. According to the concept of numerical control, machining operation becomes programmable and machining shape is changeable. The concept of the flexible manufacturing system (FMS) mentioned

later required the prior development of numerical control. A program to control NC machine tools is called a part program, and the importance of a part program was recognized from the beginning of NC machine tools. In particular, the definition for machining shapes of more complex parts is difficult by manual operation. Therefore, a part programming language, APT, was developed at MIT to realize computer-assisted part programming. Recently, CNC has become widespread, and in most cases the term NC is used synonymously with CNC. Originally, CNC corresponded to an NC system operated by an internal computer, which realized storage of part programs, editing of part programs, manual data input (MDI), and so on. The latest CNC tools allow generation of a part program interactively by a machine operator, and avoid machine crash caused by a missing part program. High-speed and high-accuracy control of machine tools to realize highly automated machining operation requires the latest central processing unit (CPU) to perform high-speed data processing for several functions.

48.2 Development of Machining Center and Turning Center 48.2.1 Machining Center A machining center is a highly automated NC milling machine that performs multiple machining operations such as end milling, drilling, and tapping. It was developed to realize process integration as well as machining automation, in 1958. Figure 48.8 shows an early machining center equipped with an automatic tool changer (ATC). Most machining centers are equipped with an ATC and an automatic pallet changer (APC) to perform multiple cutting operations in a single machine setup and to reduce nonproductive time in the whole machining cycle. Machining centers are classified into horizontal and vertical types according to the orientation of the spindle axis. Figures 48.9 and 48.10 show typical horizontal and vertical machining centers, respectively. Most horizontal machining centers have a rotary table to index the machined part at some specific angle relative to the cutting tool. A horizontal machining center which has a rotary table can machine the four vertical faces of boxed workpieces in single setup with minimal human assistance. Therefore, a horizontal ma-

chining center is widely used in an automated shop floor with a loading and unloading system for workpieces to realize machining automation. On the other

Fig. 48.8 Machining center, equipped with an ATC (courtesy of Makino Milling Machine Co. Ltd.)

841

Part F 48.2

Fig. 48.7 The first NC machine tool, which was demonstrated at MIT in 1952

48.2 Development of Machining Center and Turning Center

842

Part F

Industrial Automation

Part F 48.2 Fig. 48.11 ATC: automatic tool changer (courtesy of Yamazaki Mazak Corp.) Fig. 48.9 Horizontal machining center (courtesy of Yamazaki

Mazak Corp.)

large capacity of the tool magazine allows a variety of workpieces to be machined. Additionally, higher toolchange speed and reliability are required to achieve a fast machining cycle. Figure 48.11 shows an example of a twin-arm-type ATC driven by a cam mechanism to ensure reliable high-speed tool change. Automatic Pallet Changer (APC) APC stands for automatic pallet changer, which permits loading and unloading of workpieces for machining automation. Most horizontal machining centers have two pallet tables to exchange the parts before and after ma-

Fig. 48.10 Vertical machining center (courtesy of Yamazaki Mazak

Corp.)

hand, a vertical machining center is widely used in a die and mold machine shop. In a vertical machining center, the cutting tool can machine only the top surface of boxed workpieces, but it is easy for human operators to understand tool motion relative to the machined part. Automatic Tool Changer (ATC) ATC stands for automatic tool changer, which permits loading and unloading of cutting tools from one machining operation to the next. The ATC is designed to exchange cutting tools between the spindle and a tool magazine, which can store more than 20 tools. The

Fig. 48.12 APC: automatic pallet changer (courtesy of Yamazaki Mazak Corp.)

Machine Tool Automation

48.2 Development of Machining Center and Turning Center

Part F 48.2

Fig. 48.13 Turning center or CNC lathe (courtesy of Yamazaki Mazak Corp.) 

chining automatically. Figure 48.12 shows an example of an APC. The operator can be unloading the finished part and loading the next part on one pallet while the machining center is processing the current part on another pallet.

48.2.2 Turning Center A turning center is a highly automated NC lathe to perform multiple turning operations. Figure 48.13 shows a typical turning center. Changing of cutting tools is performed by a turret tool changer which can hold about ten turning and milling tools. Therefore, a turning center enables not only turning operations but also milling operations such as end milling, drilling, and tapping in a single machine setup. Some turning centers have two spindles and two or more turret tool changers to complete all machining operations of cylindrical parts in a single machine setup. In this case, the first half of the machining operations of the workpiece are carried out on one spindle, then the second half of the machining operations are carried out on another spindle, without unloading and loading of the workpiece. This reduces production time. Turret Tool Changer Figure 48.14 shows a tool turret with 12 cutting tools. A suitable cutting tool for the target machining operation is indexed automatically under numerical control for continuous machining operations. The most sophisticated turning centers have tool monitoring systems which check tool length and diameter for automatic tool alignment and sense tool wear for automatic tool changing.

Fig. 48.14 Tool turret in turning center (courtesy of Ya-

mazaki Mazak Corp.)

48.2.3 Fully Automated Machining: FMS and FMC Flexible Manufacturing System (FMS) The concept of the flexible manufacturing system (FMS) was proposed during the mid-1960s. It aims to perform automatic machining operations unaided by human operators to machine various parts. Machining centers are key components of the FMS for flexible machining operations. Figure 48.15 shows a typical FMS, which consists of five machining centers, one conveyor, one load/unload station, and a central computer that controls and manages the components of the FMS.

843

Fig. 48.15 Flexible manufacturing system (courtesy of Yamazaki Mazak Corp.)

844

Part F

Industrial Automation

Part F 48.3

No manufacturing system can be completely flexible. FMSs are typically used for mid-volume and mid-variety production. An FMS is designed to machine parts within a range of style, sizes, and processes, and its degree of flexibility is limited. Additionally, the machining shape is changeable through the part programs that control the NC machine tools, and the part programs required for every shape to be machined have to be prepared before the machining operation. Therefore a new shape that needs a part program is not acceptable in conventional FMSs, which is why a third innovation of machine tools is required to achieve autonomous machining operations instead of automatic machining operations to achieve true FMS. An FMS consists of several NC machine tools such as machining centers and turning centers, materialhandling or loading/unloading systems such as industrial robots and pallets changer, conveyer systems such as conveyors and automated guided vehicles (AGV), and storage systems. Additionally, an FMS has a central computer to coordinate all of the activities of the FMS, and all hardware components of the FMS generally have their own microcomputer for control. The central computer downloads NC part programs, and controls

the material-handling system, conveyer system, storage system, and management of materials and cutting tools, etc. Human operators play important roles in FMSs, performing the following tasks: 1. Loading/unloading parts at loading/unloading stations 2. Changing and setting of cutting tools 3. NC part programming 4. Maintenance of hardware components 5. Operation of the computer system. These tasks are indispensable to manage the FMS successfully. Flexible Manufacturing Cell (FMC) Basically, FMSs are large systems to realize manufacturing automation for mid-volume and mid-variety production. In some cases, small systems are applicable to realize manufacturing automation. The term flexible manufacturing cell (FMC) is used to represent small systems or compact cells of FMSs. Usually, the number of machine tools included in a FMC is three or fewer. One can consider that an FMS is a large manufacturing system composed of several FMCs.

48.3 NC Part Programming The task of programming to operate machine tools automatically is called NC part programming because the program is prepared for a part to be machined. NC part programming requires the programmer to be familiar with both the cutting processes and programming procedures. The NC part program includes the detailed commands to control the positions and motion of the machine tool. In numerical control, the three linear axes (x, y, z) of the Cartesian coordinate system are used a)

+z +c

b) +x

+y +b

+z

–z –x

–x

+x +a –y –z

Fig. 48.16a,b Coordinate systems in numerical control. (a) Cylindrical part for turning; (b) cuboid part for

milling

to specify cutting tool positions, and three rotational axes (a, b, c) are used to specify the cutting tool postures. In turning operations, the position of the cutting tool is defined in the x–z plane for cylindrical parts, as shown in Fig. 48.16a. In milling operations, the position of the cutting tool is defined by the x-, y-, and z-axes for cuboid parts, as shown in Fig. 48.16b. Numerical control realizes programmable automation of machining. The mechanical actions or motions of the cutting tool relative to the workpiece and the control sequence of the machine tool equipments are coded by alphanumerical data in a program. NC part programming requires a programmer who is familiar with the metal cutting process to define the points, lines, and surfaces of the workpiece, and to generate the alphanumerical data. The most important NC part programming techniques are summarized as follows: 1. Manual part programming 2. Computer-assisted part programming – APT and EXAPT 3. CAM-assisted part programming.

Machine Tool Automation

This is the simplest way to generate a part program. Basic numeric data and alphanumeric codes are entered manually into the NC controller. The simplest commands example is shown as follows: N0010 N0020 N0030 N0040

M03 G00 Z20.000 G01

S1000 X20.000 EOB Z − 20.000

F100 Y50.000

EOB EOB

EOB

Each code in the statement has a meaning to define a machining operation. The “N” code shows the sequence number of the statement. The “M” code and the following two-digit number define miscellaneous functions; “M03” means to spindle on with clockwise rotation. The “S” code defines the spindle speed; “S1000” means that the spindle speed is 1000 rpm. The “F” code defines the feed speed; “F100” means that the feed is 100 mm/min. “EOB” stands for “end of block” and shows the end of the statement. The “G” code and the following two-digit number define preparatory functions; “G00” means rapid positioning by point-to-

point control. The “X” and “Y” codes indicate the xand y-coordinates. The cutting tool moves rapidly to the position x = 20 mm and y = 50 mm with the second statement. Then, the cutting tool moves rapidly again to the position z = 20 mm with the third statement. “G01” means linear positioning at controlled feed speed. Then the cutting tool moves with the feed speed, defined by “F100” in this example, to position z = −20 mm. The positioning control can be classified into two types, (1) point-to-point control and (2) continuous path control. “G00” is a positioning command for point-topoint control. This command only identifies the next position required at which a subsequent machining operation such as drilling is performed. The path to get to the position is not considered in point-to-point control. On the other hand, the path to get to the position is controlled simultaneously in more than one axis to follow a line or circle in continuous path control. “G01” is a positioning command for linear interpolation. “G02” and “G03” are positioning commands for circular interpolation. These commands permit the generation of two-dimensional curves or three-dimensional surfaces by turning or milling.

PARTNO TEMPLET Start statement REMARK PART TYPE KS-02 Comment $$ Comment MACHINE/F 240, 2 Selection of post processor CLPRT OUTTOL/0.002 Outer tolerance INTOL/0.002 Inner tolerance CUTTER/10 $$ FLAT END MILL DIA=10mm Cutting tool $$ DEFINITION Definition of geometry LN1=LINE/20, 20, 20, 70 LN2=LINE/(POINT/20, 70), ATANGL, 75, LN1 LN3=LINE/(POINT/40, 20), ATANGL, 45 LN4=LINE/20, 20, 40, 20 CIR=CIRCLE/YSMALL, LN2, YLARGE, LN3, RADIUS, 10 XYPL=PLANE/0, 0, 1, 0 $$ XYPLANE $$ SETPT=POINT/-10, -10, 10 Motion of machine tool $$ MOTION Start point FROM/SETPT FEDRAT/FO1 $$ RAPID SPEED Feed rate Tool motion GODLTA/20, 20, -5 Spindle on SPINDL/ON Coolant on COOLNT/ON Feed rate FEDRATE/FO2 Tool motion GO/TO, LN1, TO, XYPL, TO, LN4

Feed rate FEDRAT/FO3 $$ CUTTING SPEED Tool motion TLLFT, GOLFT/LN1, PAST, LN2 Tool motion GORGT/LN2, TANTO, CIR Tool motion GOFWD/CIR, TANTO, LN3 Tool motion GOFWD/LN3, PAST, LN4 Tool motion GORGT/LN4, PAST, LN1

PRINT/3, ALL FINI

Print out End statement

Y 80 60

LN2

40

LN1 LN3 LN4

20 0

Fig. 48.17 Example program list in APT

Feed rate Tool motion Spindle off Coolant off Feed rate Tool motion Stop

FEDRAT/FO2 GODLTA/0, 0, 10 SPINDL/OFF COOLNT/OFF FEDRAT/FO1 GOTO/SETPT END

CIR

X 0

20

40

60

80

845

Part F 48.3

48.3.1 Manual Part Programming

48.3 NC Part Programming

846

Part F

Industrial Automation

Part F 48.3

48.3.2 Computer-Assisted Part Programming: APT and EXAPT Automatically programmed tools is the most important computer-assisted part programming language and was first used to generate part programs in production around 1960. EXAPT contains additional functions such as setting of cutting conditions, selection of cutting tool, and operation planning besides the functions of APT. APT provides two steps to generate part programs: (1) definition of part geometry, and (2) specification of tool motion and operation sequence. An example program list is shown in Fig. 48.17. The following APT statements define the contour of the part geometry based on basic geometric elements such as points, lines, and circles: LN1 =LINE/20, 20, 20, 70 LN2 =LINE/(POINT/20, 70), ATANGL, 75, LN1 LN3 =LINE/(POINT/40, 20), ATANGL, 45 LN4 =LINE/20, 20, 40, 20 CIR =CIRCLE/YSMALL, LN2, YLARGE, LN3, RADIUS, 10 where LN1 is the line that goes through points (20, 20) and (20, 70); LN2 is the line that goes from point (20, 70) at 75◦ to LN1; LN3 is the line that goes from point (40, 20) at 45◦ to the horizontal line; LN4 is the line that goes through points (20, 20) and (40, 20); and CIR is the circle tangent to lines LN2 and LN3 with radius 10. Most part shapes can be described using these APT statements. On the other hands, tool motions are specified by the following APT statements: TLLFT, GOLFT/LN1, PAST, LN2 GORGT/LN2, TANTO, CIR GOFWD/CIR, TANTO, LN3 where “TLLFT, GOLFT/LN1” indicates that the tool positions left (TLLFT) of the line LN1, goes left (GOLFT), and moves along the line LN1. “PAST, LN2” indicates that the tool moves until past (PAST) the line LN2. “GORGT/LN2” indicates that the tool goes right (GORGT) and moves along the line LN2. “TANTO, CIR” indicates that the tool moves until tangent to (TANTO) the circle CIR. GOFWD/CIR indicates that the tool goes forward (GOFWD) and moves along

the circle CIR. “TANTO, LN3” indicates that the tool moves until tangent to the line LN3. Additional APT statements are prepared to define feed speed, spindle speed, tool size, and tolerances of tool paths. The APT program completed by the part programmer is translated by the computer to the cutter location (CL) data, which consists of all the geometry and cutter location information required to machine the part. This process is called main processing or preprocessing to generate NC commands. The CL data is converted to the part program, which is understood by the NC machine tool controller. This process is called postprocessing to add NC commands to specify feed speed, spindle speed, and auxiliary functions for the machining operation.

48.3.3 CAM-Assisted Part Programming CAM systems grew based on technologies relating to APT and EXAPT. Originally, CAM stood for computeraided manufacturing and was used as a general term for computer software to assist all operations while realizing manufacturing. However, CAM is now used to indicate computer software to assist part programming in a narrow sense. The biggest difference between part programming assisted by APT and CAM is usability. Part programming assisted by APT is based on batch processing. Therefore, many programming errors are not detected until the end of computer processing. The other hand, part programming assisted by CAM is interactive-mode processing with a visual and graphical environment. It therefore becomes easy to complete a part program after repeated trial and error using visual verification. Additionally, close cooperation between CAD and CAM offers a significant benefit in terms of part programming. The geometrical data for each part designed by CAD are available for automatic toolpath generation, such as surface profiling, contouring, and pocket milling, in CAM through software routines. This saves significant programming time and effort for part programming. Recently, some simulation technologies have become available to verify part programs free from machining trouble. Optimization of feed speed and detection of machine crash are two major functions for part program verification. These functions also save significant production lead time.

Machine Tool Automation

48.4 Technical Innovation in NC Machine Tools

48.4.1 Functional and Structural Innovation by Multitasking and Multiaxis Turning and Milling Integrated Machine Tool Recently, a turning and milling integrated machine tool has been developed as a sophisticated turning center. It also has a rotating cutting tool which can perform milling operation besides turning operation, as shown in Fig. 48.18. The benefits of the use of turning and milling integrated machine tools are

Fig. 48.20. This machine tool achieves high-speed and high-degrees-of-freedom machining operation for practical products. Also, high-speed milling of a free surface is shown in Fig. 48.20. Ultraprecision Machine Tool Recently, ultraprecision machining technology has experienced major advances in machine design, performance, and productivity. Ultraprecision machining was successfully adopted for the manufacture of computer memory discs used in hard-disk drives (HDD), and also

1. Reduction of production time 2. Improved machining accuracy 3. Reduction of floor space and initial cost. As the high performance of these machine tools was accepted, the configuration became more and more complicated. Multispindles and multiturrets are integrated to perform multitasks simultaneously. The machine tool shown in Fig. 48.18 has two spindles: one milling spindle with four axes and one turret with two axes. Increasing the complexity of these machine tools causes the risk of machine crashes during machining operation, and requires careful part programming to avoid machine crashes. Five-Axis Machining Center Multiaxis machining centers are expanding in practical applications rapidly. The multiaxis machining center is applied to generate a workpiece with complex geometry with a single machine setup. In particular, five-axis machining centers have become popular for machining aircraft parts and complicated surfaces such as dies and molds. A typical five-axis machining center is shown in Fig. 48.19. Benefits to the use of multiaxis machining centers are

1. Reduction of preparation time 2. Reduction of production time 3. Improved machining accuracy.

Fig. 48.18 Milling and turning integrated machine tool (courtesy of Yamazaki Mazak Corp.)

B axis B axis

Parallel Kinematic Machine Tool A parallel kinematic machine tool is classified as a multiaxis machine tool. In the past years, parallel kinematic machine tools (PKM) have been studied with interest for their advantages of high stiffness, low inertia, high accuracy, and high-speed capability. Okuma Corporation in Japan developed the parallel mechanism machine tool COSMO CENTER PM-600 shown in

C axis

C axis

Fig. 48.19 Five-axis machining center (courtesy of Mori Seiki Co.

Ltd.)

Part F 48.4

48.4 Technical Innovation in NC Machine Tools

847

848

Part F

Industrial Automation

Part F 48.4

Fig. 48.20 Parallel kinematic machining center (courtesy

of OKUMA Corp.) 

photoreflector components used in photocopiers and printers. These applications require extremely high geometrical accuracies and form deviations in combination with supersmooth surfaces. The FANUC ROBONANO α-0iB is shown in Fig. 48.21 as an example of a five-axis ultraprecision machine tool. Nanometer servo-control technologies and air-bearing technologies are combined to realize an ultraprecision machine tool. This machine provides various machining methods for mass production with nanometer precision in the fields of optical electronics, semiconductor, medical, and biotechnology.

48.4.2 Innovation in Control Systems Toward Intelligent CNC Machine Tools

0.3 µm 0.3 µm

Cross groove V-angle : 90° Pitch : 0.3 µm Height : 0.15 µm Material : Ni-P plate

Fig. 48.21 Ultraprecision machine tool (courtesy of Fanuc Ltd.)

The framework of future intelligent CNC machine tools is summarized in Fig. 48.22. A conventional CNC control system has two major levels: the servo control (level 1 in Fig. 48.22) and the interpolator (level 2) for the axial motion control of machine tools. Certainly, the conventional CNC control system can achieve highly sophisticated motion control, but it cannot achieve sophisticated cutting process control. Two additional levels of control hierarchy, levels 3 and 4 in Fig. 48.22, are required for a future intelligent CNC control system to achieve more sophisticated process control. Machining operations by conventional CNC machine tools are generally dominated by NC programs, and only feed speed can be adapted. For sophisticated cutting process control, dynamic adaptation of cutting parameters is indispensable. The adaptive control (AC) scheme is assigned at a higher level (level 3) of the control hierarchy, enabling intelligent process monitoring, which can detect machining state independently of cutting conditions and machining operation. Level 4 in Fig. 48.22 is usually regarded as a supervisory level that receives feedback from measurements of the finished part. A reasonable index to evaluate the cutting results and a reasonable strategy to improve cutting results are required at this level. For this purpose, the utilization of knowledge, knowhow, and skill related to machining operations has to be considered. Effective utilization of feedback information regarding the cutting results is very important. Additionally, an autonomous process planning strategy, which can generate a flexible and adaptive working

Machine Tool Automation

48.4 Technical Innovation in NC Machine Tools

Current CNC machine tools with/without adaptive control Deformation/vibration/ noise

Computer

CNC controller

• Process planning • Tool path generation (CAPP, CAM)

• Tool path • Cutting conditions • Tool position • Tool velocity

Actuator • Servo-amplifier • Servo-motor • Ball screw

Machine tool • Relative motion between tool and workpiece • Cutting operation

Servo-control (Level 1) Database

Temperature/vibration

Interpolation (Level 2)

Cutting process

• • • •

Cutting force Temperature Vibration Noise

Cutting process information (Level 3) • Knowledge • Knowhow • Skill

Cutting results (Level 4)

• Machining accuracy • Surface roughness • Tool condition

: Key issues for future intelligent CNC machine tools

Fig. 48.22 Framework of intelligent machine tools (CAPP – computer aided process planning)

plan, is required as a function of intelligent CNC machine tools. It must be responsive and adaptive to unpredictable changes, such as job delay, job insertion, and machine breakdown on machining shop floors. In order to generate the operation plan autonomously, several planning and information processing functions are needed. Operation planning, cutting tool selection, cutting parameters assignment, and tool-path generation for each machining operation are required at the machine level. Product data analysis and machining feature recognition are important issues as part of information processing.

48.4.3 Current Technologies of Advanced CNC Machine Tools Open Architecture Control The concept of open architecture control (OAC) was proposed in the early 1990s. The main aim of OAC was easy implementation and integration of customerspecific controls by means of open interfaces and configuration methods in a vender-neutral standardized environment [48.2]. It provides the methods and utilities for integrating user-specific requirements, and it is required to implement several intelligent control applications for process monitoring and control. Altintas has developed a user-friendly, reconfigurable, and modular toolkit called the open real-time operating system (ORTS). ORTS has several intelligent machining modules, as shown in Fig. 48.23. It can be

used for the development of real-time signal processing, motion, and process control applications. A sample tool-path generation using quintic spline interpolation for high-speed machining is described as an application, and a sample cutting force control has also been demonstrated [48.3]. Mori and Yamazaki developed an open servo-control system for an intelligent CNC machine tool to minimize the engineering task required for implementing custom intelligent control functions. The conceptual design of this system is shown in Fig. 48.24. The software model reference adaptive control was implemented as a custom intelligent function, and a feasibility study was conducted to show the effectiveness of the open servo control [48.4]. Open architecture control will reach the level of maturity required to replace current CNC controllers in the near future. The custom intelligent control functions required for an intelligent machine tool will be easy to implement with the CNC controller. Machining performance in terms of higher accuracy and productivity will thereby be enhanced. Feedback of Cutting Information Yamazaki proposed TRUE-CNC as a future-oriented CNC controller. (TRUE-CNC was named after the following key words. T: transparent, transportable, transplantable, R: revivable, U: user-reconfigurable, and E: evolving.) The system consists of an information service, quality control and diagnosis, monitoring, control, analysis, and planning sections, as shown in

Part F 48.4

Future intelligent CNC machine tools with adaptive and process control

849

850

Part F

Industrial Automation

Part F 48.4

PC/Windows NT-ORTS - Man machine, communication, CAD/CAM functions, ...

Tacho generator and encoder Motor

DSP

I/O board

Motor power Velocity feedback Position feedback

Servoamplifier

DSP-board 1 Motion control module NC-code decoding

DSP-board n Intelligent machining module

Interpolation - Linear, circular, spline, ...

- Sensor data collection - Filtering - FFT, FRF - Adaptive control - Tool wear monitoring - Tool breakage detection - Chatter avoidance - Thermal deformation compensation - Probing - Manipulate machine tool operating functions

Axis control functions - PID, PPC, CCC, ZPETC, ... Sensor functions - Velocity, torque, position, force, ...

I/O box

MT operating functions - Fedd, speed, offsets, ...

Fig. 48.23 Application of ORTS on the design of CNC and machining process monitoring (after [48.3]) (DSP – digital

signal processor, FFT – fast Fourier transform, FRF – frequency response function, PID – proportional–integral– derivative controller, PPC – pole placement controller, CCC – cross coupling controller, ZPETC – zero phase error tracking controller, I/O – input/output, MT – machine tool) Conventional CNC control logic unit CNC non-real-time processing section CNC real-time motion control section NC program Display control

Program decode and analysis

Preprocess accel/decel control

Multiaxis interpolator

Analised Interpolated Display NC results data program results data Accl./dcl. Dual port memory (RAM) parameters

Postprocess accel/decel control

ServoPosition control command timing Accl./dcl. flag data

Position control

Velocity control

Current control

Axis servo-control parameters and data

Intelligent control engine

Custom non-real-time execution section Custom real-time execution section

Intelligent control application

Fig. 48.24 Conceptual design of open servo system (after [48.4])

Fig. 48.25 [48.5]. TRUE-CNC allows the operator to achieve maximum productivity and highest quality for machined parts in a given environment with autonomous capture of machining operation proficiency and machining knowhow. The autonomous coordinate

measurement planning (ACMP) system is a component of TRUE-CNC, and enhances the operability of coordinate measuring machines (CMMs). The ACMP generates probe paths autonomously for inline measurement of machined parts [48.6]. Inspection results

Analysis section

Selected Selected Workpiece orientation tools jigs

Process & operation planning

CAD based design

Resource database Machine tool spec. data Available tool data Available jigs & fixture file Result database Machining history Product quality control Machining process diagnosis

Machining know-how database Operation sequence record Tool utilization record Cutting condition record Machining element record

1. Fix workpiece 2. Setup tools 3. Measure tools

CNC program

Tool data

Real-time machining simul. & machining condition optimization

Real-time machine motion dynamics simul. & process optimization

Process analyzer & database generator Progressive product model

Autonomous measurement planning

Quality control & Machining process diagnoser

Generalpurpose robot control

Tool wear recognition Monitoring system Machining process

Generalpurpose robot system

Machined workpiece

Vision capture system

#1 Hole X Y Z r

QC & diagnosis section

Information service section

48.4 Technical Innovation in NC Machine Tools

Recommendation

Machine tool system

Machining environment recognition

Autonomous measurement

Inspection result

Report

In-process & quick dynamic calibration

Main CNC control

Product mode Evolving information provision & consultation service

Monitoring section

851

Part F 48.4

Product mode

Control section

Operation procedure list

Machine Tool Automation

Fig. 48.25 Architecture of TRUE-CNC (after [48.5])

Planning section Blank shape

852

Part F

Industrial Automation

Part F 48.4

CAD system Design specification

3-Dimensional modeler

Geometrical information for a mechanical part

Tool path generation with machining condition information

NC data

Database Reference information Geometrical information to be machined Depth of cut, spindle speed and feed speed

Experimentally obtained stability lobe diagram

Machining condition determination

Machining efficiency evaluation

Real-time controller Depth of cut, actual spindle speed and actual feed speed

NC data interpreter Tool path, initial spindle speed and initial feed speed

Modification of database (depth of cut, spindle speed)

Real-time machining condition controller Override value Machining state judgement

Modified spindle speed and modified feed speed

Machining center

Multiaxis force information

Fig. 48.26 Software system configuration of open architecture CNC (after [48.8])

or measurement data are utilized to evaluate the machining process to be finished and to assist in the decision-making process for new operation planning. The autonomous machining process analyzer (AMPA) system is also a component of TRUE-CNC. In order to retrieve knowledge, knowhow, and skill related to machining operations, the AMPA analyzes NC programs coded by experienced machining operators and gathers machining information. Machining process sequence, cutting conditions, machining time, and machining features are detected automatically and stored in the machining knowhow database [48.7], whihc is then used to generate new operation plans. Mitsuishi developed a CAD/CAM mutual information feedback machining system which has capabilities for cutting state monitoring, adaptive control, and learning. The system consists of a CAD system, a database, and a real-time controller, as shown in Fig. 48.26 [48.8]. The CNC machine tool equipped with a six-axis force sensor was controlled to obtain the stability lobe diagram. Cutting parameters, such as depth of cut, spindle speed, and feed speed, are modified dynamically according to the sequence for finding stable cutting states, and the stability lobe diagram is obtained au-

tonomously. The stability lobe diagram is then used to determine chatter-free cutting conditions. Furthermore, Mitsuishi proposed a networked remote manufacturing system which provides remote operating and monitoring [48.9]. The system demonstrated the capability to transmit the machining state in real time to the operator who is located far from the machine tool. The operator can modify the cutting conditions in real time depending on the machining state monitored. Five-Axis Control Most commercial CAM systems are not sufficient to generate suitable cutter location (CL) data for five-axis control machining. The CL data must be adequately generated and verified to avoid tool collision with the workpiece, fixture, and machine tool. In general, five-axis control machining has the advantage of enabling arbitrary tool posture, but it makes it difficult to find a suitable tool posture for a machining strategy without tool collision. Morishige and Takeuchi applied the concept of C-space to generate tool-collision-free CL data for five-axis control [48.10, 11]. The twodimensional C-space is used to represent the relation between the tool posture and the collision area, as

Machine Tool Automation

48.4 Technical Innovation in NC Machine Tools

Part F 48.4

T

Z θ Collision surface

Collision surface Tool radius

O C

X

Y φ

Collision-free tool posture Local coordinate system

Surface to be machined

Boundary of definition area (limit of inclination angle)

φ= π 2

Collision area

θ

P φ

φ=π θ=0

φ=0 φ = 2π

Free area Collision area φ= 3 π 2

Fig. 48.27 Configuration space to define tool posture (af-

ter [48.11])

shown in Fig. 48.27. Also, three-dimensional C-space is used to generate the most suitable CL data which satisfy the machining strategy, smooth tool movement, good surface roughness, and so on. Experimental fiveaxis-control collision-free machining was performed successfully, as shown in Fig. 48.28.

48.4.4 Autonomous and Intelligent Machine Tool The whole machining operation of conventional CNC machine tools is predetermined by NC programs. Once the cutting conditions, such as depth of cut and stepover, are given by the machining commands in the NC programs, they are not generally allowed to be changed

853

Fig. 48.28 Five-axis control machining (after [48.11])

during machining operations. Therefore NC programs must be adequately prepared and verified in advance, which requires extensive amounts of time and effort. Moreover, NC programs with fixed commands are not responsive to unpredictable changes, such as job delay, job insertion, and machine breakdown found on machining shop floors. Shirase proposed a new architecture to control the cutting process autonomously without NC programs. Figure 48.29 shows the conceptual structure of autonomous and intelligent machine tools (AIMac). AIMac consists of four functional modules called management, strategy, prediction, and observation. All functional modules are connected with each other to share cutting information. Digital Copy Milling for Real-Time Tool-Path Generation A technique called digital copy milling has been developed to control a CNC machine tool directly. The

854

Part F

Industrial Automation

Part F 48.4

Workpiece model/CAD data

Design Resource and machining data

Raw material

Management Planning Process Tool list

Face Pocket

---

OP 1

---

Database

Machining sequence

---

OP 2 ---, T2, ---, T5, ---

Hole Step

Database generation

T1

Resource

Machining knowhow

Machine tool data Tool data

Machining feature Cutting condition

T2, T3 T5, T6, T7 T2, T4

Database maintenance

Prediction Real time process stimulation

Strategy Cutting condition maintenance Depth of cut Stepover Feed rate Spindle speed

Machining process Cutting force Machining error Chatter vibration Cutting temperature Tool wear, etc.

Observation Process diagnosis Machining status Machining trouble (chatter vibration, tool breakage), etc. Monitoring

Tool path generation

Real machining

CL data

Feed rate Spindle speed Spindle load Cutting force Vibration Temperature Tool wear, etc.

Fig. 48.29 Conceptual structure of AIMac

digital copy milling system can generate tool paths in real time based on the principle of traditional copy milling. In digital copy milling, a tracing probe and a master model in traditional copy milling are represented by three-dimensional (3-D) virtual models in a computer. A virtual tracing probe is simulated to follow a virtual master model, and cutter locations are generated dynamically according to the motion of the virtual tracing probe in real time. In the digital copy milling, cutter locations are generated autonomously, and an NC machine tool can be instructed to perform milling operation without NC programs. Additionally, not only stepover, but also radial and axial depths of

cut can be modified, as shown in Fig. 48.30. Also, digital copy milling can generate new tool paths to avoid cutting problems and change the machining sequence during operation [48.12]. Furthermore, the capability for in-process cutting parameters modification was demonstrated, as shown in Fig. 48.31 [48.13]. Real-time tool-path generation and the monitored actual milling are shown in the lowerleft corner and the upper-right corner of this figure. The monitored cutting torque, adapted feed rate, and radial and axial depths of cut are shown in the lowerright corner of this figure. The cutting parameters can be modified dynamically to maintain the cutting load.

Machine Tool Automation

a)

b) Change of stepover

Change of cutting depth

c) Cutting torque (N mm)

d) Change of stepover

1104 Feed rate (mm/min)

Change of cutting depth 650

Cutting depth (mm) RD 4 AD 2.7

Fig. 48.31 Adaptive milling on AIMac

Face mill Ø 80 mm Scanning-line mode

End mill Ø 10 mm Contour-line mode

End mill Ø 16 mm Scanning-line mode

End mill Ø 10 mm Scanning-line mode

S = 1000 rpm, F = 230 mm/min RD = 8 mm, AD = 5 mm

S = 2450 rpm, F = 346 mm/min RD = 1.6 mm, AD = 3.8 mm

S = 1680 rpm, F = 241 mm/min RD = 4.5 mm, AD = 2.1 mm

S = 2450 rpm, F = 346 mm/min RD = 1.6 mm, AD = 3.8 mm

Face

Closed pocket

1

Open pocket

Closed slot

2

3

4

End mill Ø 10 mm Scanning-line mode

End mill Ø 6 mm Scanning-line mode

End mill Ø 6 mm Scanning-line mode

Ball end mill Ø 10 mm Scanning-line mode

S = 2450 rpm, F = 346 mm/min RD = 1.6 mm, AD = 3.8 mm

S = 3539 rpm, F = 413 mm/min RD = 1.2 mm, AD = 2.1 mm

S = 3539 rpm, F = 413 mm/min RD = 1.2 mm, AD = 2.1 mm

S = 2580 rpm, F = 335 mm/min RD = 1.3 mm, AD = 3.2 mm

Closed slot

Closed slot

5

Free form

6

Center drill Ø 3 mm Drilling mode

Drill Ø 10 mm Drilling mode

S = 1154 rpm, F = 232 mm/min

S = 848 rpm, F = 180 mm/min

Blind hole

Open slot

Blind hole

9

Fig. 48.32 Results of machining process planning on AIMac

7

8

Raw material shape

38

10

Finished shape

33 60

100

60

855

Part F 48.4

Fig. 48.30a–d Example of real-time tool-path generation. (a) Bilateral zigzag paths; (b) contouring paths; (c) change of stepover; (d) change of cutting depth 

48.4 Technical Innovation in NC Machine Tools

100

856

Part F

Industrial Automation

Part F 48.5

Flexible Process and Operation Planning System A flexible process and operation planning system has been developed to generate cutting parameters dynamically for machining operation. The system can generate the production plan from the total removal volume (TRV). The TRV is extracted from the initial and finished shapes of the product and is divided into machining primitives or machining features. The flexible

process and operation planning system can generate cutting parameters according to the machining features detected. Figure 48.32 shows the operation sequence and cutting tools to be used. Cutting parameters are determined for the experimental machining shape. The digital copy milling system can generate the tool paths or CL data dynamically according to these results and perform the autonomous milling operation without requiring any NC program.

48.5 Key Technologies for Future Intelligent Machine Tool Several architectures and technologies have been proposed and investigated as mentioned in the previous sections. However, they are not yet mature enough to be widely applied in practice, and the achievements of these technologies are limited to specific cases. Achievements of key technologies for future intelligent machine tools are summarized in Fig. 48.33. Process and machining quality control will become more important than adaptive control. Dynamic toolpath generation and in-process cutting parameters modification are required to realize flexible machining operation for process and machining quality control. Additionally, intelligent process monitoring is needed to evaluate the cutting process and machining quality Key technologies

Conceptual

for process and machining quality control. A reasonable strategy to control the cutting process and a reasonable index to evaluate machining quality are required. It is therefore necessary to consider utilization and learning of knowledge, knowhow, and skill regarding machining operations. A process planning strategy with which one can generate flexible and adaptive working plans is required. An operation planning strategy is also required to determine the cutting tool and parameters. Product data analysis and machining feature recognition are important issues in order to generate operation plans autonomously. Sections 48.4.2–48.5 are quoted from [48.14]. >>>>>

Confirmed

Motion control Adaptive control Process and quality control Monitoring (sensing) Intelligent process monitoring Open architecture concept Process planning Operation planning Utilization of knowhow Learning of knowhow Network communication Distributed computing

Fig. 48.33 Achievements of key technologies for future intelligent machine tools

>>>>>

Practical

Machine Tool Automation

References

• • • • • •

Y. Altintas: Manufacturing Automation: Metal Cutting Mechanics, Machine Tool Vibrations, and CNC Design (Cambridge Univ. Press, Cambridge 2000) J.G. Bollinger, N.A. Duffie: Computer Control of Machines and Processes (Addison-Wesley, Boston 1988) E.P. DeGarmo, J.T. Black, R.A. Kosher: DeGarmo’s Materials and Processes in Manufacturing (Wiley, New York 2007) K. Evans: Programming of CNC Machines (Industrial, New York 2007) Y. Ito: Modular Design for Machine Tools (McGraw-Hill, New York 2008) K.-H. John, M. Tiegelkamp: IEC 61131-3: Programming Industrial Automation Systems (Springer, Berlin Heidelberg 2001)

• • • • •

S. Krar, A. Gill, P. Smid, P. Wanner: Machine Tool Technology Basics (Industrial, New York 2003) I.D. Marinescu, C. Ispas, D. Boboc: Handbook of Machine Tool Analysis (CRC, Boca Raton 2002) B.W. Niebel, A.B. Draper, R.A. Wysk: Modern Manufacturing Process Engineering, (McGrawHill, London 1989) G.E. Thyer: Computer Numerical Control of Machine Tools, (Butterworth-Heinemann, London 1991) K.-H. Wionzek: Numerically Controlled Machine Tools as a Special Case of Automation (Didaktischer Dienst, Berlin 1982)

References 48.1 48.2

48.3

48.4

48.5

48.6

48.7

48.8

Y. Koren: Control of machine tools, ASME J. Manuf. Sci. Eng. 119, 749–755 (1997) G. Pritschow, Y. Altintas, F. Jovane, Y. Koren, M. Mitsuishi, S. Takata, H. Brussel, M. Weck, K. Yamazaki: Open controller architecture – past, present and future, Ann. CIRP 50(2), 463–470 (2001) Y. Altintas, N.A. Erol: Open architecture modular tool kit for motion and machining process control, Ann. CIRP 47(1), 295–300 (1998) M. Mori, K. Yamazaki, M. Fujishima, J. Liu, N. Furukawa: A study on development of an open servo system for intelligent control of a CNC machine tool, Ann. CIRP 50(1), 247–250 (2001) K. Yamazaki, Y. Hanaki, Y. Mori, K. Tezuka: Autonomously proficient CNC controller for high performance machine tool based on an open architecture concept, Ann. CIRP 46(1), 275–278 (1997) H. Ng, J. Liu, K. Yamazaki, K. Nakanishi, K. Tezuka, S. Lee: Autonomous coordinate measurement planning with work-in-process measurement for TRUE-CNC, Ann. CIRP 47(1), 455–458 (1998) X. Yan, K. Yamazaki, J. Liu: Extraction of milling know-how from NC programs through reverse engineering, Int. J. Prod. Res. 38(11), 2443–2457 (2000) M. Mitsuishi, T. Nagao, H. Okabe, M. Hashiguchi, K. Tanaka: An open architecture CNC CAD-CAM ma-

48.9

48.10

48.11

48.12

48.13

48.14

chining system with data-base sharing and mutual information feedback, Ann. CIRP 46(1), 269–274 (1997) M. Mitsuishi, T. Nagao: Networked manufacturing with reality sensation for technology transfer, Ann. CIRP 48(1), 409–412 (1999) K. Morishige, Y. Takeuchi, K. Kase: Tool path generation using C-space for 5-axis control machining, ASME J. Manuf. Sci. Eng. 121, 144–149 (1999) K. Morishige, Y. Takeuchi: Strategic tool attitude determination for five-axis control machining based on configuration space, CIRP J. Manuf. Syst. 31(3), 247–252 (2003) K. Shirase, T. Kondo, M. Okamoto, H. Wakamatsu, E. Arai: Trial of NC programless milling for a basic autonomous CNC machine tool, Proc. 2000 JAPANUSA Symp. Flex. Autom. (JUSFA2000) (2000) pp. 507– 513 K. Shirase, K. Nakamoto, E. Arai, T. Moriwaki: Digital copy milling – autonomous milling process control without an NC program, Robot. Comput. Integr. Manuf. 21(4-5), 312–317 (2005) T. Moriwaki, K. Shirase: Intelligent machine tools: current status and evolutional architecture, Int. J. Manuf. Technol. Manag. 9(3/4), 204–218 (2006)

Part F 48

48.6 Further Reading

857

“This page left intentionally blank.”

859

Digital Manuf 49. Digital Manufacturing and RFID-Based Automation

Advances in the Internet, communication technologies, and computation power have accelerated the cycle of new product development as well as supply chain efficiency in an unprecedented manner. Digital technology provides not only an important means for the optimization of production efficiency through simulations prior to the start of actual operations but also facilitates manufacturing process automation through efficient and effective automatic tracking of production data from the flow of materials, finished goods, and people, to the movement of equipment and assets in the value chain. There are two major applications of digital technology in manufacturing. The first deals with the modeling, simulation, and visualization of manufacturing systems and the second deals with the automatic acquisition, retrieval, and processing of manufacturing data used in the supply chain. This chapter summarizes the state of the art of digital manufacturing which is based on virtual manufacturing (VM) simulation and radio frequency identification (RFID)-based automation. The associated technologies, their key techniques, and current research work are highlighted. In addition, the social and technological obstacles to the development of a VM system and in an RFID-based manufacturing process automation system, and some practical application case studies of digital manufacturing based on VM and RFID-based automation, are also discussed.

49.1 Overview.............................................. 859 49.2 Digital Manufacturing Based on Virtual Manufacturing (VM)...... 49.2.1 Concept of VM ............................ 49.2.2 Key Technologies Involved in VM .. 49.2.3 Some Typical Applications of VM... 49.2.4 Benefits Derived from VM ............

860 860 861 862 863

49.3 Digital Manufacturing by RFID-Based Automation .................... 864 49.3.1 Key RFID Technologies ................. 865 49.3.2 Applications of RFID-Based Automation in Digital Manufacturing ............. 867 49.4 Case Studies of Digital Manufacturing and RFID-Based Automation.................. 49.4.1 Design of Assembly Line and Processes for Motor Assembly 49.4.2 A VM System for the Design and the Manufacture of Precision Optical Products........ 49.4.3 Physical Asset Management (PAM) 49.4.4 Warehouse Management............. 49.4.5 Information Interchange in Global Production Networks..... 49.4.6 WIP Tracking ..............................

867 867

868 869 872 874 876

49.5 Conclusions .......................................... 877 References .................................................. 878

49.1 Overview The industrial world is undergoing profound changes as the information age unfolds [49.1]. The competitive advantage in manufacturing has shifted from the mass-production paradigm to one that is based on fast

responsiveness and on flexibility [49.2]. One of the important issues in manufacturing is related to the integration of engineering and production activities. This includes integration of developers, suppliers, and cus-

Part F 49

Wing B. Lee, Benny C.F. Cheung, Siu K. Kwok

860

Part F

Industrial Automation

Part F 49.2

tomers through the entire production cycle, involving design, production, testing, servicing, and marketing. The scope of digital manufacturing includes manifesting physical parts directly from three dimensional (3-D) computer-aided design (CAD) files or data, using additive fabrication techniques such as 3-D printing, rapid prototyping from virtual manufacturing (VM) models, and the use of radiofrequency identification (RFID) for supporting manufacturing process optimization and resources planning. Examples can be found in RedEye [49.3], Stratasys [49.4], and Autodesk [49.5]. To achieve the integration, digital manufacturing, which covers all the engineering functions, information flow, and the precise characteristics of a manufacturing system are needed. Manufacturing enterprises are now forced to digitize manufacturing information and accelerate their manufacturing innovation in order to improve their competitive edge in the global market.

There are two major applications of digital technology in manufacturing. One is based on virtual manufacturing, which deals with the modeling, simulation, and visualization of manufacturing systems. The second is based on the automation of the manufacturing process and deals with the automatic acquisition, retrieval, and processing of manufacturing data encountered in the supply chain. In this chapter, the state of the art of digital manufacturing based on recent advances in VM and RFID-based automation is summarized. The concept and benefits of VM and RFID are presented, while the associated technologies, their key techniques, and current research work are also highlighted. The social and technological obstacles in the development of a VM system and an RFID-based manufacturing process automation system together with some case studies are discussed at the end of the chapter.

49.2 Digital Manufacturing Based on Virtual Manufacturing (VM) 49.2.1 Concept of VM Digital manufacturing based on VM integrates manufacturing activities dealing with models and simulations, instead of objects and their operations in the real world. This provides a digital tool for the

Virtual world Manufacturing environment model Product model

Virtual prototyping

Manufacturing resource model

Comparison and model maintenance

Engineering activity Task organization Product design

Manufacturing preparation

Prototype and product

Production management

Monitoring

Manufacturing resources

Manufacturing environment

Real world

Fig. 49.1 Conceptual view of a virtual manufacturing system (after

Kimura et al. [49.6])

optimization of the efficiency and effectiveness of the manufacturing process through simulations prior to actual operation and production. A VM system can produce digital information to facilitate physical manufacturing processes. The concept, significance, and related key techniques of VM were addressed by Lawrence Associate Inc. [49.7] while the contribution and achievements of VM were reviewed by Shukla [49.8]. As mentioned by Kimura [49.6], a typical VM system consists of a manufacturing resource model, a manufacturing environment model, a product model, and a virtual prototyping model. Some active research work is found in the study of both conceptual and constructive VM systems. Onosato and Iwata [49.9] developed the concept of a VM system, and Kimura [49.6] described the product and process model of a VM system. Based on the concept and the model, Iwata et al. [49.10] proposed a general modeling and simulation architecture for a VM system. Gausemeier et al. [49.11] has developed a cyberbike VM system for the real-time simulation of an enterprise that produces bicycles. With the use of a VM system, people can observe the information in terms of the structure, states, and behaviors equivalent to a real manufacturing environment, in a virtual environment [49.12, 13]. Various manufacturing processes can be integrated and realized in one system so that manufacturing cost and

Digital Manufacturing and RFID-Based Automation

while the control-centered VM aims at optimizing the production cycles based on dynamic control of process parameters. Production-centered VM, on the other hand, is based on the functional use so as to provide interactive simulation of various manufacturing or business processes such as virtual prototyping, virtual operational system, virtual inspection, virtual machining, virtual assembly, etc. Virtual prototyping (VP) mainly deals with the processes, tooling, and equipment such as injection molding processes, while virtual machining mainly deals with cutting processes such as turning, milling, drilling and grinding, etc. Finally, control-centered VM technology is used to study the factors affecting the quality, machining time, and costs based on the modeling and simulation of the material removal process as well as on the relative motion between the tool and the workpiece. Virtual inspection makes use of VM technology to model and simulate the inspection process, and the physical and mechanical properties of the inspection equipment. The aim of this is to study the inspection methodologies, inspection plans, and the factors affecting the accuracy of the inspection process, etc. In assembly work, VM is mainly used to investigate the assembly processes, the mechanical and physical characteristics of the equipment and tooling, and the interrelationship between different parts so as to predict the quality of an assembly or product cycle. It will also examine the costs as well as evaluating the feasibility of the plan of the assembly process. VM can also be used for virtual operational control, the aim of which is to evaluate the design and operational performance of the material flow and information flow system, etc. VM technology is also used for investigating the human behavior of the different workers who handle the various tasks such as assembling parts, queuing, handling documents, etc. The human factors affecting the operation of a manufacturing or business system can be predicted and evaluated.

49.2.2 Key Technologies Involved in VM The development of VM demands multidisciplinary knowledge and technologies related to computer hardware and software, information technology, microelectronics, manufacturing, and mathematical computation. The key technological areas related to VM are: 1. Visualization technologies VM makes use of direct graphic interfaces to display highly accurate, easily understandable, and accept-

861

Part F 49.2

time to market can be reduced and productivity can be significantly improved. A conceptual view of a VM system according to Kimura [49.6] is shown in Fig. 49.1. The manufacturing activities and processes are modeled before, and sometimes in parallel with, the operations in the real world. Interaction between the virtual and real worlds is accomplished by continuous monitoring of the performance of the VM system. Since a VM model is based on real manufacturing facilities and processes, it provides realistic information about the product and its manufacturing processes, and also allows for their evaluation and validation. Since no physical conversion of materials into products is involved in VM, this helps to enhance production flexibility and reduce the cost of production as the cost for making the physical prototypes can be reduced. Basically, the classification of a VM system is based on the type of system integration, the product and process design, and the functional applications. According to the definitions proposed by Onosato and Iwata [49.9], every manufacturing system can be decomposed into four different subsystems: a real and physical system (RPS), a real information system (RIS), a virtual physical system (VPS), and a virtual information system (VIS). A RPS consists of substantial entities such as materials, parts, and machines that exist in the real world, while a RIS involves the activities of information processing and decision making such as design, scheduling, controlling, and prediction, etc. On the other hand, a computer system that simulates the responses of a real physical system is a virtual physical system, which can be represented by a factory model, product model, and a production process model. The production process models are used to determine the interactions between the factory model and each of the product models. A VIS is a computer system which simulates a RIS and generates control commands for the RPS. VM can be subdivided into product-design-centered VM, production-centered VM, and control-centered VM according to the product design and process design functions. The product-design-centered VM makes use of different virtual designs to produce the production prototype. The relevant information about a new product (product features, tooling, manufacturability, etc.) is provided to the designer and to the manufacturing system designers to support decision making in the product design process. Production-centered VM is based on the RPS or VPS to simulate the activities in process development and alternative process plans

49.2 Digital Manufacturing Based on Virtual Manufacturing (VM)

862

Part F

Industrial Automation

Part F 49.2 Fig. 49.2 Evaluation of product design

able input and output information for the user. This demands advanced visualization technologies such as image processing, virtual reality (VR), multimedia, design of graphic interfaces, animation, etc. 2. Techniques for the establishment of a virtual manufacturing environment A computerized environment for VM operations is vital. This includes the hardware and software for the computer, modeling and simulation of the information flow, support of the interface between the real and the virtual environment, etc. This needs the research and development of devices for VM operational environment, interface and control between the VM system and the real manufacturing (RM) system, information and knowledge integration and acquisition, etc.

a)

b)

The selected block with accident error is highlight

3. Information-integrated infrastructure This refers to the hardware and software development for supporting the models and the sharing of resources, i.e., information and communication technologies (ICT) among dispersed enterprises. 4. Methods of information presentation The information about product design and manufacturing processes and related solid objects are represented using different data formats, languages, and data structure so as to achieve the data sharing in the information system. There is a need for research into advanced technologies for 3-D geometrical representation, knowledge-based system description, rule-based system description, customer-orientated expert systems, feature-based modeling, physical process description, etc. 5. Model formulation and reengineering techniques In order to define, develop, and establish methods and techniques which are capable of realizing the functions and interrelationships among various models in the VM system, various techniques are employed, including model exchange, model management, model structure, data exchange, etc. 6. Modeling and simulation techniques These refer to processes and methods used to mimic the real world, on the computer. Further research on the technologies related to dispersed network modeling, continuous system modeling, model databases and their management optimization analysis, validation of simulation results, development of simulation tools, and software packaging technique are much needed. 7. Verification and evaluation To ensure that the output from the VM system is equivalent to that from the RM system, related technologies such as standards of evaluation, decision tools, and evaluation methods are needed. These methods are useful for verifying and evaluating the performance and reliability of different models in VM systems and their outputs. Some of these technologies are comparatively mature. However, most of them have to be further developed before they can be used to form an integrated VM platform.

Identify the errors and give suggestions for correcting them

Fig. 49.3a,b NC program validation

49.2.3 Some Typical Applications of VM 1. VM can be used in the evaluation of the feasibility of a product design, validation of a production and business plan, and optimization of the product

Digital Manufacturing and RFID-Based Automation

a)

49.2 Digital Manufacturing Based on Virtual Manufacturing (VM)

863

b)

Interactive user interface NC program Control command

Trainee

Tooling information

Event processing module

Virtual environment simulator Data buffer Errors/warning messages

Internal database

Information module

Animation

Stereo sound

Virtual objects (e.g. virtual machine and inspection equipment)

3-D graphics

Fig. 49.4a,b Virtual training workshop (VTW) for ultraprecision machining center

design and of the business processes. Fine-tuning of these will result in reduction of the cost of the product throughout its lifecycle. 2. As shown in Figs. 49.2 and 49.3, VM can be used to test and validate the accuracy of the product and business process designs, for example, the design of the appearance of a product, analysis of its dynamic characteristics, checking for the tool path during machining process, numerical control (NC) program validation, checking for possible collision problems in machining [49.14] and assembly [49.15]. 3. With the use of VM, it is possible to conduct training (Fig. 49.4) in a distributed virtual environment for the operators, technicians, and management people on the use of manufacturing facilities. The costs of training and production can thus be reduced. 4. As a knowledge-acquisition vehicle, VM can be used to acquire continuously the manufacturing or business knowhow, traditional manufacturing or business processes, production data, etc.

49.2.4 Benefits Derived from VM 1. VM can be used not only to predict the costs of product or process development but can also be used to provide the information about the process capability [49.16]. It allows for the modeling and simulation of the activities involved in process development and also for alternative process plans. It also enables the rapid evaluation of a production plan, the evaluation of the operational status

of a manufacturing system as well as of the objectives of the design of the physical system such as the degree of optimization of manufacturing resources and facilities, etc. The information generated from VM system is useful for improving the accuracy of the decisions made by the designer and the management. Possible problems in product or process development can be predicted and resolved prior to the actual operation. 2. VM can support VP, which simulates the materials, processes, tooling, and equipment in the fabrication of prototypes. The factors affecting the process, product quality, and hence the material properties, processing time, and manufacturing costs can be analyzed with the use of modeling and simulation techniques. As more computer-based product models are developed and prototyped upstream in the product development process using VM, the number of downstream physical prototypes traditionally made to validate the product models and new designs can be reduced. Hence, the product development time can be shortened. 3. With the virtual environment provided by VM [49.17], customers can take part in the product development process. Design engineers can respond more quickly to customer queries and hence provide better solutions to the customers. Discussion, manipulation, and modification of the product data model directly among personnel with different technical backgrounds are also facilitated. As a result the competitive edge of an enterprise in the market can be enhanced.

Part F 49.2

Workpiece information

Control panel (control screen)

864

Part F

Industrial Automation

49.3 Digital Manufacturing by RFID-Based Automation

Part F 49.3

Another major application of digital manufacturing deals with the automatic acquisition and processing of manufacturing data in the supply chain. This is due to the fact that the keen competition in global manufacturing has rekindled interest in lean manufacturing, reducing inventory, and efficiency in production control. There has been growing interest worldwide in the use of RFID to digitalize the manufacturing information so as to automate the manufacturing process. As technical problems are slowly being overcome and the cost of using RFID is decreasing, RFID is becoming popular in manufacturing industries. According to industrial statistics, the worldwide market for RFID technology was US$ 1.49 billion in 2004. In the first 6 months of 2008, 6.8 billion tags were sold for these applications as well as 15.3 billion tags for pallets and cases. There is great market demand, which is ever increasing, for various RFID applications. It is predicted that RFID industry figures will increase from US$ 1.95 billion in 2005 to US$ 26.9 billion in 2015 [49.18]. The rapid increase in use of RFID technology in the retail industry has been driven by major players such as Gillette, Tesco, Wal-Mart, and Metro AG in Germany. Wal-Mart, the world’s largest retailer, has started deploying RFID applications and implementing new procedures in some of its distribution centers and stores. By January 1st, 2005, Wal-Mart required its top 100 suppliers to put RFID tags on shipping crates and pallets, and by January 1st, 2006, this was expanded to its next 200 largest suppliers. The aim of the applications is to reduce out-of-stock occurrences by providing visibility of the location of goods by using RFID tags. Out-of-stock items that are RFID-tagged have been found to be replenished three times faster than before, and the amount of out-of-stock items that have to be manually filled has been cut by 10%. Gillette and Tesco implemented an item-level RFID project in the UK. Gillette razor blade cartridges were tagged with RFID tags and Tesco, the retailer, used an RFID reader embedded smart shelf system to search for items in the field, in order to take on-shelf inventories. Metro AG has implemented an item-level RFID trial for use in its future stores. RFID tags are attached to each pack of Gillette razor blades, Proctor and Gamble (P&G) shampoos, Kraft cream cheese, and digital versatile disks (DVDs). In addition to enhancing stock replenishment operations, consumers also benefit. Shopping trolleys which automatically update shopping lists and self-check-out systems have also been implemented

using this technology. This demonstrates how RFID can revamp the retail industry and provide new customer experiences. With better tag and reader technology, declines in the cost of RFID tagging, and the release of information-sharing platforms, it is likely that RFID will be widely adopted across the entire supply chain. In recent years, the use of RFID has enabled realtime visibility and increased the processing efficiency of shop-floor manufacturing data. RFID also supports information flow in process-linked applications. Moreover, it can help to minimize the need for reworking, improve efficiency, reduce line stoppages, and replenish just-in-time materials on the production line. RFID can assist in automating assembly-line processes and thus reduce labor and cost, and minimize errors on the plant floor. The integration of RFID with various manufacturing systems is still a challenge to many corporations. As most large retailers will gradually demand the use of RFID in the goods from their suppliers, this creates both pressure and the opportunity for small and medium sized enterprises (SMEs) to adopt this technology in their logistics operations and extend it to the control of their manufacturing processes. Some previous work [49.19] has discussed the point that RFID can be more cost effective in bridging the gap between automation and information flow by providing better traceability and reliability on the shop floor. Traditional shop-floor control in a production environment, although computerized, still requires manual input of shop-floor data to various systems such as the enterprise resources planning (ERP) for production planning and scheduling. Such data includes product characteristics, labor, machinery, equipment utilization, and inspection records. Companies such as Lockheed Martin, Raytheon, Boeing [49.20], and Bell Helicopter have installed lean data-capture software and technologies and are in the process of converting barcodes to RFID. Honeywell was using barcodes to collect data related to part histories, inventories, and billing, and sharing data with its clients, and is accelerating its plan to switch from barcodes to RFID. The use of RFID has several advantages over barcodes, as tags that contain microchips that can store as well as transmit dynamic data have a fast response, do not require line of sight, and possess high security. RFID offers greater scope for the automation of data capture for manufacturing process control. In recent years, the cost of RFID tags has continuously decreased [49.21, 22] while their data capability has increased, which makes practical applica-

Digital Manufacturing and RFID-Based Automation

• • • •

Dynamic information technology (IT) systems to support high-mix, variable environments Dynamic modeling, monitoring, and management of manufacturing resources Real-time synchronization between activities in the offices, manufacturing plant, and supply chain Visibility of the real-time status of production resources

Although some previous studies [49.24–26] have shown that RFID technology has the potential to address these problems and has great potential for supporting manufacturing automation, critical deficiencies in the current systems in keeping track of processes under changing conditions include:

• • •

Lack of integration of manufacturing with the supply chain Lack of real-time shop-floor data for predictive analysis and for decision support Lack of a common data model for all operational applications

• • •

Inability to sense and analyze inputs ranging from the factory floor to the supply chain Ineffective integration links between manufacturing process automation and enterprise IT applications Inability to provide intelligent recommendations and directives to targeted decision points for quick action.

In light of these, this chapter presents a review of the RFID-based manufacturing process automation system (MPAS), which embraces heterogeneous technologies that can be applied in the manufacturing process environment with the objective of enhancing digital manufacturing at the level of automation across the enterprise and throughout the value chain. The proposed RFID-based manufacturing process automation system aims to address these deficiencies.

49.3.1 Key RFID Technologies RFID is an advanced automatic identification technology, which uses radiofrequency signals to capture data remotely from tags within reading range [49.27, 28]. The basic principle of RFID, i. e., the reflection of power as the method of communication, was first described in 1948. One of the first applications of RFID technology was identify friend or foe (IFF) detection deployed by the British Royal Air Force during World War II. The IFF system allowed radar operators and pilots to distinguish automatically between friendly and enemy aircraft using radiofrequency (RF) signals. The main objective was to prevent friendly fire and to aid the effective interception of enemy aircraft. The radiofrequency used is the critical factor for the type of application for which an RFID system is best suited. Basically, the radiofrequencies can be classified as shown in Table 49.1. A typical RFID system contains several components, including an RFID tag, which is the identification device attached to the item to be tracked, and an RFID reader and antenna, which are devices that can recognize the presence of RFID tags and read the information stored on them. After receiving the information, in order to process the transmission of information between the reader and other applications, RFID middleware is needed, which is software that facilitates the communication between the system and the RFID devices. Figure 49.5 shows a typical RFID system to illustrate how the pieces fit together. As shown in Fig. 49.5, radio frequency (RF) tags are devices that contain identification and other information

865

Part F 49.3

tions of RFID technology in manufacturing automation economically feasible. For manufacturers, it is becoming increasing important to design and integrate RFID information into various enterprise application software packages and to solve connectivity issues relating to plant floor and warehousing. Real-time manufacturing process automation is dependent on the principle of closed-loop automation that senses, decides, and responds from automation to plant and enterprise operations; for example, a pharmaceuticals manufacturer [49.23] makes use of RFID to trace the route or history taken by an individual product at multiple locations along the production line. This allows the pharmaceuticals manufacturer easily to trace all final products that might have been affected by any production miscarriage. An aerospace company named Nordam Group uses RFID to track its high-cost molds. Through the use of RFID tags, they save the cost of real-time tool tracking. With growing emphasis on real-time responsiveness, manufacturers are seeking to control more effectively the production processes in real time in order to eliminate waste and boost throughput. The desire to extend supply-chain execution dispatching within a plant makes closed-loop automation an imperative. The inability to achieve true closed-loop manufacturing process automation presents one of the greatest barriers to successful real-time operation strategies. Critical elements that support manufacturing process automation include:

49.3 Digital Manufacturing by RFID-Based Automation

866

Part F

Industrial Automation

Table 49.1 Comparison of RFID frequency bands and their respective applications

Part F 49.3

Frequency

Approximate read range

Data speed

Cost of tags

Applications

Low frequency (LF) (125 kHz) High frequency (HF) (13.56 MHz) Ultrahigh frequency (UHF) (433, 868–928 MHz)

< 5 cm (passive)

Low

High

10 cm − 1 m (passive)

Low to moderate

Medium to low

3 –7 m (passive)

Moderate to high

Low

Microwave (2.45 and 5.8 GHz)

10 –15 m (passive) 20 –40 m (active)

High

High

Animal identification Access control Smart cards Payment Logistics and supply chain Pallet and case tracking Baggage tracking Electronic toll collection (ETC) Container tracking

Enterprise application and RFID middleware

Client computer

RFID reader

RFID antenna

RFID tag

Fig. 49.5 Infrastructure for an RFID system

that can be communicated to a reader from a distance. The tag comprises a simple silicon microchip attached to a small flat aerial which is mounted on a substrate. RFID tags (Fig. 49.6) can be divided into three main types with respect to the source of energy used to power them: 1. Active tags: use a battery to power the tag transmitter and receiver to broadcast their own signals to readers within the life of batteries. This allows them to communicate over distances of several meters. 1

1. Badge 2. Button 3. Cloth tag 4. Card 5. Glass bead 6. Key fob 7. Label 8. Wristband

2

3

5

7

2. Semipassive tags: have built-in batteries to power the chip’s circuitry, resist interference, and circumvent a lack of power from the reader signal due to long distance. They are different from active tags in that they only transmit data at the time a response is received. 3. Passive tags: derive their power from the field generated by the reader without having an active transmitter to transfer the information stored. The reader is called an interrogator, and it sends and receives RF data to and from the tag via antennas.

4

8

6

Fig. 49.6 Samples of different types of tags

Fig. 49.7 Example RFID reader

Digital Manufacturing and RFID-Based Automation

49.4 Case Studies of Digital Manufacturing and RFID-Based Automation

49.3.2 Applications of RFID-Based Automation in Digital Manufacturing Manufacturing process automation relies heavily on efficient and effective automatic tracking of assets during manufacturing. The tracked assets include physical assets such as equipment, raw materials, work-in-progress (WIP), finished goods, etc. Efficient automatic tracking of assets is beneficial for manufacturers. One of the ma-

jor challenges for manufacturers today is management of their movable assets. Managing assets includes activities such as locating assets, tracking status, and keeping a history of flow information. If the location of an asset is not effectively located, workers are required to spend much time searching for it, which results in increased process costs. As a result, manufacturers are turning to RFID to investigate whether they can use it to bring the benefits of managing assets individually, real-time location tracking of assets, and better information accuracy and automation into their business operations. Examples can be found in the garment industry [49.23]. The manufacturer ties the RFID tags to the bundles and sends them to the sewing workstation. At each process station, the data stored in the RFID tag is captured. The real-time status of the WIP can be automatically captured during the manufacturing process. It is also interesting to note that Boeing and Airbus are moving forward and have created an RFID strategy for airplane manufacturing [49.20, 28], as a component to meet US Department of Defense mandates. The RFID tags are attached to removable parts of the airplane to aid the control of maintenance programs. In the present study, four major areas of RFID in manufacturing process automation are introduced.

49.4 Case Studies of Digital Manufacturing and RFID-Based Automation

Kaz (Far East) Limited, formerly Honeywell Consumer Product (HK) Ltd., is a multinational manufacturing

company with about 45 employees in Hong Kong and around 2650 in China. Its corporate headquarters, design, sales offices, and production plants are widely dispersed in Europe, North America, and Asia, as are its key suppliers and customers. As shown in Fig. 49.8,

Fig. 49.8 Home comfort products from Kaz

Fig. 49.9 Virtual assembly station for assembling a motor

49.4.1 Design of Assembly Line and Processes for Motor Assembly

Part F 49.4

As shown in Fig. 49.7, the reader contains a transmitter, a receiver, and a microprocessor. The reader unit also contains an antenna as part of the entire system. The antennas broadcast the RF signals generated by the reader and receive responses from tags within range. The data acquired by the readers is then passed to a host computer, which may run specialist RFID software or middleware to filter the data and route the data to the correct application to be processed into useful information. Middleware refers to software that lies between two interfaces; RFID middleware is software that lies between the readers and the data collection systems. It is used to collect and filter data from readers. It can transfer those useful data, which are filtered, to the data collection system.

867

868

Part F

Industrial Automation

the company has been involved in the seasonal products business which includes home comfort products such as portable air cleaners, humidifiers, heaters, fans, etc. It delivers a portfolio of innovative products and trusted brands to serve customers worldwide, though most of

Part F 49.4

a)

Identification of product design specifications

Converting the product design specifications into engineering optics specifications

Virtual world Training

VMIS

Performance evaluation and monitoring

Real world Actual production Output

b)

Identification of product design specifications

their products are sold to customers in North America and Europe. The company possesses a number of assembly lines and clusters for assembling the motors for the home comfort products. For new assembly line planning, there are many factors which affect the efficiency and throughput rate. These include the design of the workplace, the investigation of the assembly process, the allocation of the resources (e.g., number of workers), and the scheduling of the assembly lines. Conventionally, the production team was essentially empowered to use their own judgment in deciding what, when, and how to setup the assembly lines, based on their own experience. The optimal efficiency, throughput rate, and quality for new assembly lines can only be obtained through iterative trial operations and process refinement. This is not only time consuming but also costly. With the use of the VM approach, Kaz can investigate the assembly processes and optimum utilization of resources through modeling and simulation. The feasibility of the assembly process plan (Fig. 49.9) can be evaluated prior to the actual production. As a result, the time and cost of setting up new assembly operations can be significantly reduced.

Converting the product design specifications into engineering optics specifications

Design the optical system using computer-aided optics design software

Machining prototype plastic lenses for the lens system

Machining test mold inserts and test mold bases for the lenses

Trial run injection molding for the prototype lenses

Form and surface roughness measurement for the prototype lenses Optical quality evaluation like MTF testing and focal length inspection No

Pass the optical quality test ? Yes

Final design, part programming and master scheduling for production Actual production Output

Fig. 49.10a,b A comparison between (a) the conventional approach and (b) the virtual manufacturing approach for the design and manufacture of precision optics (MTF – modular transfer function)

49.4.2 A VM System for the Design and the Manufacture of Precision Optical Products The conventional approach to the design and the manufacture of precision optical products is based on a trial-and-error method. As shown in Fig. 49.10a, the optical product is designed using computer-aided optics design software (Fig. 49.11b). Then a lens prototype is made by either direct machining or injection-molding from a test mold insert machined by ultraprecision machining (Fig. 49.12). Quality tests will then be conducted on the prototype lenses or mold inserts (Fig. 49.13). It should be noted that the design, prototyping, and evaluation processes are iterative until a satisfactory mock-up is found. This is expensive and time consuming; it also creates a bottleneck for the overall process flow. Using the VM approach, as shown in Fig. 49.10b, the iterative design, prototyping, and testing processes are accomplished by a virtual machining and inspection system (VMIS) [49.29–33]. As shown in Fig. 49.14, the VMIS has been developed with the aim of creating a virtual manufacturing environment. This is done by electronically representing the activities of optic design,

Digital Manufacturing and RFID-Based Automation

49.4 Case Studies of Digital Manufacturing and RFID-Based Automation

a)

869

a)

Part F 49.4

b) b)

Fig. 49.11 (a) Precision optical products and (b) their computer-aided optics design

Fig. 49.12a,b Comparison between (a) actual and (b) vir-

prototyping ultraprecision machining and inspection of the design, and manufacture of precision optical products. Interaction between the virtual and real worlds is accomplished by continuous training and by monitoring the performance of the VMIS system by comparing the simulation results and the real cutting test results (Fig. 49.15). The feasibility of a product design and the optimal resources needed to turn a design into a real product can be determined prior to any manufacturing resources being committed and before any costly scrap is generated. The VMIS allows the precision optics manufacturers to evaluate the feasibility of an optical product design and a manufacturing process plan prior to actual production. This avoids the need for conducting expensive production trials and physical prototyping.

chinery and equipment, as well as returnable items such as containers and pallets. Across industries and regions, PAM is a major concern in the manufacturing industry. PAM includes engineering activities, as well as the adoption of a variety of approaches to maintenance such as reliability-centered maintenance, multiskills, total productive maintenance, and hazard and operability studies [49.34]. Manufacturing enterprises that have better PAM systems usually excel when compared with their counterparts in terms of utilization of assets in manufacturing. However, many organizations today still face significant challenges in relation to tracking the location, quantity, condition, and maintenance and depreciation status of their fixed assets. Traditionally, a popular approach is to track fixed assets by utilizing serially numbered asset tags, such as barcodes, for easy and accurate reading. This process is often performed by scanning a barcode on a physical asset identification (ID) tag that has been affixed to the asset. However, PAM may also involve a physical asset ID tag with a human-readable number only, which

49.4.3 Physical Asset Management (PAM) A physical asset management (PAM) program involves the tracking of physical assets such as expensive ma-

tual machining process

870

Part F

Industrial Automation

a)

b)

Part F 49.4 Fig. 49.13a,b Comparison between (a) actual and (b) virtual inspection of precision optics

is documented manually. The barcode approach has its limitations though, e.g., lack of automation and inability to provide real-time tracking. To increase the utilization rate of movable equipment, manufacturing enterprises usually adopt a fluid approach to the composition of production lines. Movable equipment is shared across lines for some nonbottleneck procedures. Previously, applications for transfer of physical assets required tedious manual operations. Since most manufacturing processes were related to the requested equipment, late arrival of equip-

ment lowered the overall efficiency of the company, which in turn impacted on the company’s profit margin. Also, as the transfer records were entered into a database after a long verification process, the timeliness of the information was questionable. Worse, due to inevitable human errors, the database records were incomplete and inaccurate. Common discrepancies included asset locations, utilization, and history of maintenance and repair. As a result, equipment could not be repaired in a timely fashion and some was even lost.

Optimal design parameters

Optical design specifications

NC programs Optics design with the ZEMAX software

NC programs generated with the TPG software

Customer's demand

NC programs treated with the tool path translator

The actual optical mould insert is manufactured by the SPDT

Information for actual machining

The machining processes are simulated by the VMM

The virtual optical mould insert is tested by the VIM

Driving codes

Virtual product

Fig. 49.14 Graphical illustration of the process flow for the design and manufacture of precision optics (SPDT – singlepoint diamond turning, VMM – virtual machining module, VIM – virtual inspection module)

Digital Manufacturing and RFID-Based Automation

49.4 Case Studies of Digital Manufacturing and RFID-Based Automation

a)

871

b)

Part F 49.4

Fig. 49.15 (a) Virtual and (b) actual mold inserts produced based on the VMIS

To improve the overall efficiency and increase the visibility of physical assets, an RFID-enabled solution is used to track the movement of physical assets easily when they pass through antenna detection points without interfering with normal operations. It was therefore proposed that RFID antennas should be set up near the door of each production site so that the moving in and out of physical assets would be automatically recorded, as illustrated in Figs. 49.16 and 49.17. RF signals are affected by metal because of interference and reflection from it, which affects the readability of RFID tags. Since most equipment is made of metal, this is one of the main challenges of implementing an RFID-enabled solution in the plant. To address this problem, a feasibility study was carried

out to investigate the necessary settings for satisfactory RFID-enabled tracking performance. Several experiments were performed and the results are shown in Fig. 49.18. Special attention was given to the height and angle of the antennas in order to provide optimal results for autotracking. To evaluate the feasibility of the proposed RFIDenabled PAM system, a case study was carried out in a selected company named SAE Magnetics (HK) Ltd., which is one of the world’s largest independent manufacturers of magnetic recording heads for hard-disk drives used in computers and, increasingly, in consumer electronics such as digital video recorders, MP3 players, and even mobile phones. While the bulk of SAE’s operations are based in two modern facilities located in mainland China, the company needs to manage largescale warehouses for its sophisticated logistics. Differ-

RFID gateway Moveable asset with RFID tag

173 cm

Door 200 cm

Antenna

e/ l ous cel reh ction a W du pro e/ l ous cel reh ction a W du pro

Antenna

45°

202 cm Machine 1

Metal cart

Machine 2

Fig. 49.16 Tracking the movement of equipment by RFID

technology

Fig. 49.17 Deployment setup of RFID gateway

45°

117 cm

872

Part F

Industrial Automation

Part F 49.4 • Trace and track equipment status • Equipment transfer

• Transfer record tracking

this became a complicated process that generated piles of forms and involved many approval processes, which ultimately limited the company’s operational efficiency. This new method has enabled equipment or required parts and subassemblies to arrive promptly and has increased the utilization of important and valuable physical assets for the company. This system automatically tracks the transfer of physical assets and greatly reduces the processing time of equipment transfer. With the data transfer between the system and RFID devices being in real time, information about physical assets can be captured. These data are then presented in the RFID-enabled equipment tracking system in a systematic way, as shown in Fig. 49.18. The system provides the ability to track and trace the status of equipment, issue equipment transfer orders, and provides reports for asset utilization analysis. As a result, location and utilization of equipment can be easily identified using visual diagrams that help in maintenance planning and repair scheduling. The introduction of an RFID-enabled equipment tracking system at SAE enabled the operational inefficiencies associated with the PAM approach to be targeted and allowed the equipment transfer operation and production planning at SAE to be streamlined. The system has generated great benefits for the company, and has ultimately achieved cost savings while enhancing the effectiveness of asset management.

49.4.4 Warehouse Management

• Asset utilization analysis

Fig. 49.18 Snapshots of the RFID-enabled equipment

tracking system in SAE

ent kinds of equipment are stored in these warehouses, e.g., semiproducts, subassemblies, and equipment parts (collectively known as physical assets). It is normal for movable physical assets to be transferred from one site to another to maximize their use, e.g., production equipment and assets that are costly and advanced. In the past, SAE’s warehouses adopted a pen-and-paper approach to record the transfer of physical assets. In time,

Warehouse management in manufacturing enterprises usually requires much more complex and accurate systems than are required for warehouse management in other industries. Since a single company takes care of a tremendous amount of stock for multiple vendors at one time, the loading for handling the warehouse information is especially heavy. It is also common for a single warehouse to be used to store stock from different vendors so as to enhance utilization rates. Such an approach also increases the complexity of warehouse storage structures. Without an accurate, automated, and comprehensive stock transfer recording procedure, warehouse management becomes a tremendous challenge. It is interesting to note that many warehouses in manufacturing enterprises still rely on sophisticated manual processes for validating and checking to ensure service quality, and these have been responsible for limiting the efficiency of the operation; for example, updating inventory lists in the warehouse, checking of

Digital Manufacturing and RFID-Based Automation

49.4 Case Studies of Digital Manufacturing and RFID-Based Automation

RFID-enabled pallet or container

RFID antenna and cabling

Vehicle-mounted computer

RFID antenna for location scanning RFID antenna for pallet scanning

Fig. 49.19 The RFID-enabled intelligent forklift

With such modifications in warehouse operation, numerous benefits can be achieved. Since most paper processes are eliminated by RFID-enabled automation, both the speed and accuracy of the stock transaction process are greatly enhanced; for example, warehouse staff are no longer required to fill in put-away lists and relocation lists, neither do they need to input data manually. To realize the capability of the RFID-enabled intelligent forklift, a case study was carried out in a selected company named Kerry Logistics (Hong Kong) Limited. Kerry Logistics (Hong Kong) Limited is part of the diversified international conglomerate, the Kuok Group, and is a subsidiary of the Hong-Kong-listed Kerry Properties Limited. Kerry’s Asian logistics business has been built on more than two decades of warehousing and logistics operations in Hong Kong. Its third-party logistics (3PL) business has dramatically accelerated since 1998. Kerry Logistics now encompasses contract logistics,

Fig. 49.20 Location RFID scanning

Part F 49.4

replenishment requirements, and entering updated data into the Kerry warehouse management system (KWMS) are all manual processes. Not surprisingly, these paperbased processes are excessively time consuming and susceptible to manual errors. Low warehouse visibility is another main area of concern. Warehouse visibility can be evaluated by measuring the discrepancy between the actual status and the system warehouse inventory status. Since the system is such that warehouse inventory status is only updated after office staff have entered all the latest information into the KWMS, there might be a discrepancy between the actual status and the system warehouse inventory status. As a result, staff might not know the real-time warehouse inventory status from the KWMS. Such information gaps could result in wrong decisions in inbound, relocation, and outbound processes. This is especially important for dialysis solutions since they have a limited period of validity. Extensive warehouse storage time causes product expiry and ultimately financial loss to the company. To address these problems, RFID is used to increase the visibility of the warehouse and to streamline warehouse operations. Through the implementation of RFID-enabled solutions in warehouse management, instant and accurate inventory records with automatic real-time record update and physical stocktaking can be achieved. However, most of the existing RFID-enabled solutions for warehouse management are lacking in automation. In the present study, an RFID-enabled intelligent forklift is proposed to achieve a higher degree of automation for the warehouse management via RFID. Two RFID antennas were placed at the front of the forklift, as shown in Fig. 49.19, one for location scanning and the other for pallet scanning. A tailor-made application was installed in the mobile computer placed in the forklift, which is used to show the information captured by the antennas and the information stored in the database system. Forklift drivers can view the information on the vehicle-mounted computer screen. A tag containing product storage information, including particular stock keeping unit (SKU) and lot numbers, is pasted to each pallet. At the same time, RFID tags that store unique location information are placed on the racks. When a driver has loaded a pallet onto the forklift, the pallet information is scanned automatically and that product information and its planned location are shown on the screen attached to the forklift (Fig. 49.20). After the pallet has been placed in the storage position, the forklift scans the location tag on the rack, and completes the stock-in process (Fig. 49.21).

873

874

Part F

Industrial Automation

house space and further shortened inbound processing time.

49.4.5 Information Interchange in Global Production Networks Part F 49.4 Fig. 49.21 Pallet RFID scanning

distribution centers, international air and sea freight forwarding, transportation, distribution, and value-added services. Like most third-party logistics (3PL) service providers around the world, Kerry Logistics has recently recognized some inefficiency in the warehouse management of one of its major logistics centers located in Kwai Chung. Because of the complexity of taking care of multiple companies and products at the same time, 3PL service providers require considerable documentation to record the mass of information generated in the course of their work. One warehouse inside the logistics center stores healthcare products, especially dialysis solutions, for renal patients. To reduce human error and streamline the operation flow, an RFID-enabled intelligent forklift was developed. With such modifications in warehouse operation, numerous benefits can be achieved. Since most paper processes are eliminated by RFID-enabled automation, both the speed and accuracy of the stock transaction process are greatly enhanced. For example, warehouse staffs are no longer required to fill in put-away lists and relocation lists, neither do they need to input location information manually into the KWMS, as this kind of activity is done automatically by the RFID system. Without tedious paper-based location mapping, the overall speed of the inbound process has improved by almost 15%, not to mention the cost saving factors of increased accuracy and reduced manpower. In addition, the RFID-enabled intelligent forklift allows for unstructured storage, which completely eliminates the relocation process. Forklift drivers can freely locate pallets of stock on the racks, and subsequently map their respective location information with only a few clicks. The flexibility of stock positioning is thus improved, resulting in better utilization of ware-

The trend towards the establishment of a global production network in the manufacturing industry poses some new challenges to information interchange; for example, some components of a product may be produced in one country, some part of the product may be outsourced and made in a second country, and the final assembly of the finished product may be done a third country, before being ultimately sold somewhere else. However, apart from the obvious need for collaboration, the problems of data integration and information sharing within the supply chain are challenging. Therefore, an RFID-based intra-supply-chain information system (ISCIS) is much needed in order to streamline the supply-chain activities and form an intra-supply-chain network. Figure 49.22 shows the architecture of a proposed RFID-based ISCIS which is basically divided into platform tiers. The system consists of the application of RFID tags to generate the data within the supply-chain network. Data from the RFID tags is scanned by readers and synchronized with the internal information systems. The RFID-based ISCIS enables the different partners in the supply chain to share real-time information. 1. Data acquisition tier: The data from the operational level on the textile supply chain are captured by RFID technology. RFID readers are installed in the warehouses of the textile and apparel manufacturers and at the retailers. Tags are placed either at the pallet level or the item level, depending on the stage in the supply chain. At the level of the individual item of merchandise it is difficult to achieve 100% reading accuracy with RFID tags. However, it is already technically feasible to track RFID tags at the pallet or carton level. As the raw materials progress through the stages of WIP to the finished goods, the tagging level changes from the pallet level to the carton level and finally to the item level. 2. Information systems tier: This integrates the RFIDbased information systems with the internal information systems of the different supply-chain partners, such as enterprise resources planning (ERP) systems. The most successful new business models are probably those that can integrate information technology into all activities of the enterprise-wide value chain.

Digital Manufacturing and RFID-Based Automation

49.4 Case Studies of Digital Manufacturing and RFID-Based Automation

875

Fig. 49.22 Architecture of RFIDOther inter-supply chain partners information network

Optimization enabling technologies

based ISCIS (IS – information system, WMS – warehouse management system)

EPCIS IS

Intra-supply chain data integration tier

Local IS

Local IS

Part F 49.4

Intra-supply chain information flow

Local IS

Information systems tier ERP

Data acquisitions tier

Reader

WMS

ERP

Tagged beam

Reader

Wool buying

WMS

OMS

Tagged beam

Reader

Dyeing

WMS

Tagged beam

Spinning

Physical materials flow

3. Intra-supply-chain data integration platform: Figure 49.23 shows how the supply-chain information is shared and integrated with the different supplychain parties. On this platform, an integrated information system is built to enable the supplychain partners to download the information from the chain. As discussed before, the RFID gateway can

Reader

act as a check-in and check-out system and synchronize the internal information systems without delay. Supply-chain partners can select the information to be shared, which will be stored in a centralized database. 4. Web-based platform: On this platform, a web-based information-sharing portal is built so that supply-

Fiber production

Fiber dyeing

Yarn spinning

Knitting and finishing

Retail

Pallet level

Pallet level

Carton level

Carton and item level

Item level

Reader

Reader

Fig. 49.23 Information sharing along the supply chain

Reader

Reader

876

Part F

Industrial Automation

Part F 49.4

chain partners can retrieve related supply-chain information. Intra-supply-chain partners can use the web browser to search for information about the chain. The production status, the supplier’s inventory level, the delivery status, and retail sales data can be displayed on the web-based platform. The above platforms help an intra-supply-chain company to obtain data on their operations and provide an interface permitting them to share information. To facilitate the intra-supply-chain collaboration, different optimization technologies, such as intelligent agent and data mining, can be used to analyze these data. To realize the capability of the RFID-based ISCIS, a case study was conducted in a reference site in the textile industry named Novetex. Novetex Spinners Limited was established in 1976 and, employing over 2000 people, is now recognized as the world’s largest single-site woolen spinner. As part of the Novel Group, Novetex has its headquarters in Hong Kong and a factory located in Zhuhai, Southern China. The factory houses four spinning mills, a dyeing mill, and 51 production lines, resulting in an annual capacity of 7500 t of highquality yarn. Novetex has recognized the need to be a truly global supplier and has invested in offices and agents worldwide to offer customers the most efficient service possible. The trend towards the establishment of a global production network in the textile industry poses some new challenges to Novetex; for example, fibres might be produced in one country, spun to yield yarns in a second country, woven into fabrics in a third, and sewn into clothing in yet another one, before being ultimately sold somewhere else. Novetex has traditionally used pen-and-paper-based warehouse management processes. The recording of the inventory, storage, order picking, packaging, and stocktaking processes were heavily dependent on the efficiency of warehouse operators, who used their own judgment and perceptions to decide how, when, and where to store the goods. This heavy reliance on paperwork and on human input to update the inventory data inevitably leads to data inaccuracy. This in turn may affect the company’s decisions on inventory replenishment, inventory control, and the first-in first-out (FIFO) order-picking process. Heavy reliance on penand-paper-based warehouse management processes has limited the operational efficiency in inventory replenishment, inventory control, and the FIFO order picking process of Novetex. These issues become more complex in the era of the global production network.

As a result, the company has implemented an RFIDenabled ISCIS. The outcomes of the implementation of the system were positive in terms of increased stock visibility and data accuracy. The textile manufacturer can synchronize the inventory status with the ERP software without any delay. The attachment of an RFID tag to each bundle of goods facilitates identification and visualization of items. The storage location of the items can be easily identified and retrieved from the ERP system. Order picking and stock relocation is easier for the warehouse.

49.4.6 WIP Tracking RFID-based solutions have been used for a decade in manufacturing. Their applications in a manufacturing enterprise are usually tracking of parts during manufacture and tracking of assembled items, i. e., WIP. As a result, efficiency can be increased while entry errors and manpower are saved. For WIP tracking, the tags can be attached to products as they are being assembled or created along the production line [49.35]. The status of the product can be updated as it progresses along the production line via RFID readers placed above and below its path [49.36]. According to Hedgepeth [49.37], the history or route taken by an individual product can be ascertained from data stored on tags attached to the item, by installing RFID readers at single or multiple locations along the production line. As a result, the location as well as the flow history of any item can be recorded in the manufacturer’s database. To realize the advantages of RFID-enabled WIP tracking, a case study was conducted in a precision mold manufacturing company named Nypro Tool Hong Kong Ltd. Nypro Tool Hong Kong Ltd. is a precision injection mold manufacturer which provides high-quality molding tools globally. Since the company provides products with a wide variety, WIP items need to be transported to different workshops for various processes such as machining, heat treatment, quality checking, etc. Each of the items is accompanied by its unique drawing so that operators can refer to its required operations. Currently, Nypro is using a barcode system to monitor the flow of WIP items within the plants. For every processing operation of the WIP items, the status of the process must be captured manually so that management can check follow-up information for WIP through the database system. However, the manual process induces a lot of human errors and is time consuming. The application of RFID in WIP tracking is believed to be able to au-

Digital Manufacturing and RFID-Based Automation

49.5 Conclusions

877

a) WIP location and process tracking File folder RFID reader/ antenna

77 cm

Part F 49.5

65 cm

b) Configuration of the RFID system

50 cm

Parking area 77 cm

RFID tag 70 cm 55 cm

Fig. 49.24a,b RFID-based location tracking and process status tracking for WIP application (a) WIP location and process tracking (b) Configuration of the RFID system

tomate the tracking process and reduce the number of errors. As shown in Fig. 49.24a, which shows the location tracking process, RFID gateways are set up at the entrance of the workshops. WIP items and drawings transported to the workshop are required to pass through the gateway and the tag information for the arriving item is automatically tracked when it is pulled through the gateway. Figure 49.24b shows the setup for the RFID-based process. The process is designed in such

a way as to capture the machining status of the WIP items accurately and automatically. The result of the trial implementation shows that the management of the company can accurately plan job allocation during the production process through automatic and accurate capture and tracking of the information related to the flow, location, and processing status of the WIP items. In other words, visibility of WIP information can be significantly enhanced and this makes manufacturing process automation possible.

49.5 Conclusions Digital manufacturing through virtual manufacturing simulation, and real-time tracking of production data in the supply chain, have led to improved accuracy of information, reduction of human errors, and automation of business operations. This chapter presents a study of digital manufacturing which covers computer simulation of manufacturing processes, and systems for manufacturing process automation based on real-time online capture of RFID data from the movement of materials, people, equipment, and assets in the production value chain. Various case studies are described which involve virtual assembly, VP, PAM, warehouse management, WIP management,

and the management of a global production network. Virtual manufacturing has gone beyond the graphical simulation of product and process design, has accelerated the product development cycle, and deepens the level of customer interaction in the preproduction phase of products. Combined with the growing demand for RFID technology for automatic capture, tracking, and processing of the huge amount of data available in the production of goods and services, digital manufacturing is going to revolutionize the way in which the supply chain is managed. It will also greatly change the behavior of global producers and consumers.

878

Part F

Industrial Automation

References 49.1

49.2

Part F 49

49.3 49.4 49.5 49.6

49.7

49.8

49.9

49.10

49.11

49.12

49.13

49.14

49.15

49.16

H.C. Crabb: The Virtual Engineer: 21st Century Product Development (American Society of Mechanical Engineers, New York 1998) W.B. Lee, H.C.W. Lau: Factory on demand: the shaping of an agile production network, Int. J. Agil. Manag. Syst. 1/2, 83–87 (1999) RedEye: http://www.redeyerpm.com (2008) Stratasys: http://www.stratsys.com (2008) Autodesk: http://usa.autodestl.com (2008) F. Kimura: Product and process modelling as a kernel for virtual manufacturing environment, Ann. CIRP 42, 147–150 (1993) Lawrence Associates Inc. (Ed.): Virtual Manufacturing User Workshop, Tech. Rep. (Lawrence Associates, Wellesley 1994) C. Shukla, M. Vazquez, F.F. Chen: Virtual manufacturing: an overview, Comput. Ind. Eng. 13, 79–82 (1996) M. Onosato, K. Iwata: Development of a virtual manufacturing system by integrating product models and factory models, Ann. CIRP 42, 475–478 (1993) K. Iwata, M. Onosato, K. Teramoto, S. Osaki: A modelling and simulation architecture for virtual manufacturing systems, Ann. CIRP 44, 399–402 (1995) J. Gausemeier, O.V. Bohuszewicz, P. Ebbesmeyer, M. Grafe: Cyberbikes-interactive visualization of manufacturing processes in a virtual environment. In: Globalization of Manufacturing in the Digital Communications Era of the 21 Century-Innovation, Agility, and the Virtual Enterprise, ed. by G. Jacucci, G.J. Olling, K. Preiss, M.J. Wozny (Kluwer Academic, Dordrecht 1998) pp. 413–424 K. Iwata, M. Onosato, K. Teramoto, S. Osaki: Virtual manufacturing systems as advanced information infrastructure for integrated manufacturing resources and activities, Ann. CIRP 46, 335–338 (1997) K.I. Lee, S.D. Noh: Virtual manufacturing system a test-bed of engineering activities, Ann. CIRP 46, 347–350 (1997) S. Jayaram, H. Connacher, K. Lyons: Virtual assembly using virtual reality techniques, Comput. Aided Des. 28, 575–584 (1997) R. Tesic, P. Banerjee: Exact collision detection using virtual objects in virtual reality modelling of a manufacturing process, J. Manuf. Syst. 18, 367– 376 (1999) U. Jasnoch, R. Dohms, F.B. Schenke: Virtual engineering in investment goods industry-potentials and application concept. In: Globalization of Manufacturing in the Digital Communications Era of the 21 Century-Innovation, Agility, and the Virtual Enterprise, ed. by G. Jacucci, G.J. Olling, K. Preiss,

49.17

49.18 49.19

49.20

49.21

49.22

49.23 49.24

49.25

49.26

49.27 49.28

49.29

49.30

49.31

49.32

49.33

M.J. Wozny (Kluwer Academic, Dordrecht 1998) pp. 487–498 M. Weyrish, P. Drew: An interactive environment for virtual manufacturing: the virtual workbench, Comput. Ind. 38, 5–15 (1999) RNCOS: RFID Industry – A Market Update, http://www.rncos.com/Report/COM16.htm (2005) R. Qiu, Q. Xu: Standardized shop floor automation: An integration perspective, Proc. 14th Int. Conf. Flexible Automation and Intelligent Manufacturing (NRC Research Press 2004) pp. 1004–1012 C. Poirier, D. Mccollum: RFID Strategic Implementation and ROI: A Practical Roadmap to Success (Book News, Portland 2006) D. McFarlane, S. Sarma, J. Chirn, C. Wong, K. Ashton: Auto-ID systems and intelligent manufacturing control, Eng. Appl. Artif. Intell. 16, 365–376 (2003) R. Qiu: A service-oriented integration framework for semiconductor manufacturing systems, Int. J. Manuf. Technol. Manage. 10, 177–191 (2007) Kali Laboratories: RFID Implementation, http://www.icegen.net/implement.htm (2004) A. Kambil, J. Brooks: Auto-ID across the value chain: from dramatic potential to greater efficiency and profit, White Paper of MIT Auto-ID Center (2002) R. Qiu: RFID-enabled automation in support of factory integration, Robot. Comput. Integr. Manuf. 23, 677–683 (2007) R. Moroz Ltd.: Understanding radio frequency identification, http://www.rmoroz.com/pdfs/ UNDERSTANDING%20RFID_November22_2004.pdf (2004) F. Klaus: RFID Handbook, 2nd edn. (Wiley, New York 2003) B. Bacheldor: Aircraft Parts Maker Adds Tags to Molds, http://www.rfidjournal.com/articleview/ 2411/1/1 (2006) C.F. Cheung, W.B. Lee: A framework of a virtual machining and inspection system for diamond turning of precision optics, J. Mater. Proc. Technol. 119, 27–40 (2001) W.B. Lee, J.G. Li, C.F. Cheung: Research on the development of a virtual precision machining system, Chin. J. Mech. Eng. 37, 68–73 (2001) W.B. Lee, C.F. Cheung, J.G. Li: Applications of virtual manufacturing in materials processing, J. Mater. Proc. Technol. 113, 416–423 (2001) Y.X. Yai, J.G. Li, W.B. Lee, C.F. Cheung, Z.J. Yuan: VMMC: a test-bed for machining, Comput. Ind. 47, 255–268 (2002) W.B. Lee, J.G. Li, C.F. Cheung: Development of a virtual training workshop in ultra-precision machining, Int. J. Eng. Educ. 18, 584–596 (2002)

Digital Manufacturing and RFID-Based Automation

49.34

49.35

I. Hipkin: Knowledge and IS implementation: case studies in physical asset management, Int. J. Oper. Prod. Manage. 21, 1358–1380 (2001) Datamonitor: RFID in Manufacturing: The Race to Radio-Tag is Heating Up in Manufacturing (Datamonitor, New York 2005)

49.36 49.37

References

879

D.E. Brown: RFID Implementation (McCraw-Hill, New York 2007) W.O. Hedgepeth: RFID Metrics: Decision Making Tools for Today’s Supply Chains (CRC, Boca Raton 2006)

Part F 49

“This page left intentionally blank.”

881

Flexible and P 50. Flexible and Precision Assembly

Brian Carlisle

50.1 Flexible Assembly Automation ............... 50.1.1 Feeding Parts............................. 50.1.2 Grasping Parts ........................... 50.1.3 Flexible Fixturing .......................

881 882 883 885

50.2 Small Parts........................................... 886 50.2.1 Aligning Small Parts.................... 886 50.2.2 Fastening Small Parts ................. 886 50.3 Automation Software Architecture ......... 50.3.1 Basic Control and Procedural Features ............. 50.3.2 Coordinate System Manipulation .. 50.3.3 Sensor Interfaces and Sensor Processing ................ 50.3.4 Communications Support and Messaging........................... 50.3.5 Geometric Modeling ................... 50.3.6 Application Error Monitoring and Branching ........................... 50.3.7 Safety Features .......................... 50.3.8 Simulation and Planning ............ 50.3.9 Pooling Resources and Knowledge

887 887 887 888 888 888 889 889 889 890

50.4 Conclusions and Future Challenges......... 890 50.5 Further Reading ................................... 890 References .................................................. 890

50.1 Flexible Assembly Automation Flexible assembly automation is used in many industries such as electronics, medical products, and automotive, ranging in scale from disk drive to automotive body assembly. The ubiquitous yardstick for a flexible assembly system is the human. While dedicated machines can far exceed the speed of a human for certain applications, for example, circuit board assembly, there is no automation system than can assemble a hard disk drive one day and an automobile fuel injector the next.

A flexible assembly system must present, grasp, mate, and attach parts to each other. It may also perform quality tests during these processes. In order to be useful, it must compare favorably in terms of development cost and product assembly cost with manual alternatives. To date, automatic assembly has only been justified for applications where volumes exceed tens of thousands of assemblies per year. Key capabilities in sensing, modeling, reasoning, manipulation, and planning are still in their infancy for

Part F 50

Flexible assembly refers to an assembly system that can build multiple similar products with little or no reconfiguration of the assembly system. It can serve as a case study for some of the emerging applications in flexible automation. A truly flexible assembly system should include flexible part feeding, grasping, and fixturing as well as a variety of mating and fastening processes that can be quickly added or deleted without costly engineering. There is a limited science base for how to design flexible assembly systems in a manner that will yield predictable and reliable throughputs. The emergence of geometric modeling systems (computer-aided design, CAD) has enabled work in geometric reasoning in the last few years. Geometric models have been applied in areas such as machine vision for object recognition, design and throughput analysis of flexible part feeders, and dynamic simulation of assembly stations and assembly lines. Still lacking are useful techniques for automatic model generation, planning, error representation, and error recovery. Future software architectures for flexible automation should include geometric modeling and reasoning capabilities to support autonomous, sensor-driven systems.

882

Part F

Industrial Automation

automated systems. The following discussion of flexible automation for assembly will illustrate the current state of the art. Further advances in flexible automation will require machine control software architectures that can integrate complex motion control, real-time sensing, three-dimensional (3-D) modeling, 3-D model generation from sensor data, automatic motion planning from task-level goals, and means to represent and recover from errors.

50.1.1 Feeding Parts Part F 50.1

Assembly requires picking up parts, orienting them, and fastening them together. In almost all automatic assembly systems in production today, parts are oriented and located by some means before the assembly system picks them up. In fact, it should be recognized that orientation has value; if part orientation is lost, it costs money to restore it. Due to this requirement for preoriented parts, most automatic assembly systems only deal with small parts, typically less than 10 cm3 in volume. Traditional small part feeders include indexing tape feeders (Fig. 50.1), tray feeders, tube feeders, Gel Pack (a sticky film in a round frame for semiconductor parts) feeders, and vibratory bowl feeders (Fig. 50.2). Each of these feeders is typically limited to a fairly narrow class of parts; for example, tape feeders are sized to the width of a part; a 3 mm-wide tape cannot feed a 25 mm-wide part. Tape and tray systems have several disadvantages in addition to their cost: they are not space efficient for shipping as parts are stored at a low density, and the packing material can add several cents to the cost of each part. Larger parts are almost univer-

Fig. 50.1 Sticky-tape part feeder (courtesy of Pelican Packaging Inc.)

sally transported on pallets, or in boxes or bins, and are at best only partially oriented. The development of machine vision systems has begun to change this situation (Fig. 50.3). Useful machine vision systems began to emerge in the 1980s. Early systems that could recognize the silhouette of a part in two dimensions cost US$ 50 000–100 000. Today, you can buy two-dimensional (2-D) vision systems for a few thousand dollars, and the price continues to drop rapidly. At the time of writing, 3-D vision systems are beginning to emerge, and in a few cases, are being installed in factories to guide robots in the acquisition of large heavy parts from pallets and even bins [50.1]. Vision systems are allowing the development of part feeders that can separate parts from bulk, inspect the parts for certain critical dimensions, and guide a robot or other automatic assembly machine to acquire the part reliably. Several such part feeders are shown in Fig. 50.4. These feeders utilize either conveyors or vibratory plates to separate parts from bulk and advance them under a machine vision system, which then determines if the part is in an orientation that can be picked up by the assembly machine. If not, parts are usually recirculated, since it takes a lot of time to pick them up and hand them off to a part-reorienting mechanism, and then regrasp them. These feeders can handle a wide variety of part sizes and geometries without changing the feeder. In fact, this class of feeder is increasingly being accepted in applications where parts are changed daily or several times a day. Predicting the throughput of this type of feeder requires predicting the probability that a part will come

Fig. 50.2 Bowl part feeder (courtesy of Pelican Packaging

Inc.)

Flexible and Precision Assembly

Operator console

Imaging electronics

Modem

Imaging electronics Camera

Camera

Computer

Lighting Lens

Network I/O interface

Lighting Lens

Software

Material handling

Fig. 50.3 Machine vision system (courtesy of High-Tech Digital,

Inc.)

As part dimensions shrink, new considerations enter into gripper design. As part dimensions approach 1 mm, chemical attraction, static electricity or other forces may exceed the force of gravity on the part. So, while it may be possible to pick up a small part with a vacuum needle, it may be necessary to use air pressure or other means to release it. With tiny parts, it can be very difficult to grasp a part with the precision needed to place it at the desired location. Therefore, for most very small parts, machine vision is used to refine the position of the part in the gripper after it has been grasped.

50.1.2 Grasping Parts In today’s commercial assembly systems almost 100% of part grasping is done by either a vacuum cup or a two-finger gripper with custom fingers designed for the particular part to be grasped. Even for systems with the vision-based flexible part feeders described above, if we change parts, we must change the part gripper. Part pick-up strategies are generated by explicit manual training or programming. Obstacle avoidance of other parts generally also requires part-specific programming. This approach works fine for systems that only have to handle one or two types of parts for an extended period of time.

883

a)

b)

Fig. 50.4a,b Flexible part feeders. (a) Adept Technology [50.3], (b) Flexfactory [50.4]

Part F 50.1

to rest in a desired stable state (will not topple or roll) when it is separated from the other parts. The author worked with Ken Goldberg and others to develop a method for predicting the distribution of stable states from part geometry [50.2]. Part geometries can be complex, and the distribution of stable states may not be obvious. The part illustrated in Fig. 50.5, from a plastic camera, has 12 stable states, of which four are shown. Goldberg et al. developed an algorithm that could predict the distribution of these 12 stable states from a CAD model of the part. The ability to simulate feeder throughput from an analysis of part geometry is an example of using modeling and geometric reasoning to help design a flexible assembly system. It is desirable to be able to generate both 2-D and 3-D vision recognition algorithms directly from CAD models of parts. While this sounds simple for the case of 2-D parts, to date the author is not aware of any commercially available vision systems that offer this capability. Real-world challenges from lighting, reflections, shadowing, lens and parallax distortion, camera and lens geometry, etc. combine to make this a challenging task. The task becomes more challenging when 3-D vision is considered. Robust models that take into account these factors need to be developed and extensive algorithm testing done to ensure reliable real-world vision system performance from CAD-generated algorithms. In summary, recent advances in machine vision are allowing large parts to be picked directly from bins and small parts to be picked from mechanisms that separate them under a camera. These advances allow assembly systems to be changed over quickly to new products without designing and installing new part feeders.

50.1 Flexible Assembly Automation

884

Part F

Industrial Automation

Fig. 50.5 Four of 12 stable states for a complex part

Part F 50.1

In order to maintain a stable grasp of a part, the part should be uniquely constrained, with gripper mechanical tolerances taken into account; for example, a two-finger gripper with an opposing V groove in each finger would appear to locate a round part. However, if the fingers are not perfectly aligned, the part in fact will roll between parallel plates of the opposite V grooves. For this example, one V groove opposite a flat finger would be a better solution. In a similar manner, attempting to grasp a prismatic part with two flat fingers is a bad idea. Any slight variation in parallelism of the part or fingers will result in a poor grasp that may not resist acceleration or part mating forces. In this case three pads to define a plane on one finger and a single pad to press the part against this plane on the opposing finger is a better solution and can handle draft angle on parts, finger splay, and other tolerances. These simple examples illustrate the more general concept of planning and achieving stable grasp in multiple dimensions, and resisting slip and torque in the dimensions important to the process. In the popular image of a service robot, the robot performs a wide range of menial tasks, perhaps cooking and serving dinner, cleaning up after the kids or dusting the shelves. Within the next few years it is likely that machine vision technology will develop to the point

where, given explicit models of objects, a robot could pick up a large variety of objects from an unstructured environment. However, this will be possible only if it can grasp them. Multifinger prehensile hands were developed in research laboratories in the 1980s. Several companies now offer commercial versions [50.6, 7]. However, to date, we lack the sensing and control technologies to reorient a part within the hand once it is grasped, except for ad hoc preprogrammed strategies developed manually with a good deal of trial and error. Even the automatic generation of stable grasping strategies for these complex hands is still a topic for research and has not found commercial acceptance. What is often not realized by the casual observer of these humanoid hands is that the control of these hands is far more difficult than the control of a six-axis robot arm. Planning stable grasps with humanoid hands from CAD models of objects has been the topic of numerous research papers for many years now [50.5, 8] (Fig. 50.6). Kragic et al. [50.8] present a typical approach to this issue and point out that having a CAD model and grasp plan are not sufficient to achieve a stable grasp. The robot must also determine the actual orientation of the object. Kragic et al. used simple objects with strong markers so that a simple 3-D vision system could determine the object orientation for the

Fig. 50.6 A typical industrial gripper and several humanoid grippers [50.5]

Flexible and Precision Assembly

planner to plan a grasp. Further, they point out that not all their grasp plans were stable and discuss the need for further work. This work further emphasizes the need for a 3-D modeler integrated with the motion control system to support both the grasp planning and 3-D object recognition with machine vision.

50.1.3 Flexible Fixturing

Fig. 50.7 A computer-generated fixture (after [50.9])

ing scheme in which a geometric planner analyzed the perimeter of a part, and placed some pins in a location on a grid such that a single clamp could uniquely restrain the part from translation and rotation [50.10]. More recently, a team at Sandia extended this work to a 3-D planner [50.9] (Fig. 50.7). Inputs to this planner include a 3-D (ACIS) model of the part, a fixture kit specification detailing the fixture building tools and clamps, friction data for the fixture components and the workpiece, and disturbance forces to be applied to the fixtured part. The tool then outputs a series of fixture designs with a quality score for each. For larger workpieces, one or more multiaxis robots are now being used to hold and reposition workpieces while other robots add parts to the assembly. Parallel link structures are being offered commercially for orienting larger workpieces (Fig. 50.8). In November 2007, at the International Japan Robot Exhibition in Tokyo, Yaskawa showed a two-armed robot performing assembly, with one arm holding a workpiece and a second arm inserting components. All programming for this demonstration was done manually. Fixture design is essentially the same problem as grasping design except there is a strong commercial need for fixtures to be low cost, as for many systems there may be a large number of fixtures in the system. Generating reliable fixture designs quickly from CAD geometry and knowledge of assembly forces remains an interesting challenge. With the advent of rapid

Fig. 50.8 A robot fixture

885

Part F 50.1

Most assemblies are based around a larger part to which smaller parts are affixed. The larger part typically moves through several assembly stations by means of a material handling system. Each station feeds and attaches one or two smaller parts. Some sort of fixture is usually designed to hold the larger part in a desired orientation. Current industry practice is for this fixture to be some sort of pallet, or a two- or three-jaw clamping system. In some cases fixtured parts must withstand significant assembly forces from press fits or secondary machining operations. There is interest in reducing the time and cost to design and fabricate fixtures, and being able to use them again for a different product rather than scrap them when a product changes. This has led to various approaches to flexible part fixturing. The simplest approach is based on a modular series of adjustable blocks and clamps that can be used to locate and support parts. This approach has been used in machining centers for some time and can provide a high degree of rigidity. A more general approach was proposed and tested by Sandia Labs in 1996. This was a planar clamp-

50.1 Flexible Assembly Automation

886

Part F

Industrial Automation

prototyping machines, it is now possible to quickly fabricate fairly complex fixture geometry. It is important

that fixtures provide kinematic grasps that can withstand assembly (or machining) forces.

50.2 Small Parts 50.2.1 Aligning Small Parts

Part F 50.2

For many industries part dimensions are shrinking to a point where humans can no longer handle or assemble parts. Parts with submillimeter dimensions often have manufacturing tolerances that can be a substantial percentage of the overall part size, and may not be registered to any part dimension that can be grasped; for example, laser diodes emit light with a Gaussian intensity profile whose peak must be measured optically to position the laser diode in an optical assembly. Cancer cells in a fluid must be located optically so they can be sampled by means of a microliter pipette. While semiautomated systems employing people looking through microscopes are currently used for many such applications, advances in integrating machine vision with motion control now allow parts to be actively steered into position, using vision to take a series of pictures to measure alignment error. The workpiece is moved into position until the alignment error falls below a threshold. Commercial applications use 2-D machine vision for this [50.11] (Fig. 50.9). In the next several years it is likely that this work will be extended to 3-D vision. There are some significant challenges in using 3-D vision for aligning very small parts. For these applications the parts typically fill a large portion of the field of view of the camera(s). For 3-D applications this means that, as a gripper ap-

Fig. 50.9 Visual servoing: steering parts into alignment

using vision in motion control loop (courtesy of Precise Automation)

proaches a part, the part image will change dramatically in size and perspective. For applications where a number of pictures will be taken and processed, the vision system must be able to recognize these changing images without explicit programming for each image. In addition, for the case where small parts are being actively mated to each other, one part may obscure the second part so that only a few features on the second part may be visible. Lighting, reflections, and depth of field all contribute to make this work challenging. Automatically generating 3-D vision recognition and part-mating algorithms is another area where the integration of a 3-D modeling system and a 3-D motion planning system with the actual online motion control system will be necessary.

50.2.2 Fastening Small Parts There are many well-developed techniques for fastening large parts together. However, when part geometries shrink to submillimeter levels, fastening techniques are largely confined to adhesive bonding, eutectic bonding such as soldering, and welding. Since all of these material-based fastening techniques involve physical changes in the material, they all tend to introduce dimensional changes during the fastening process; for example, in aligning laser diodes in fiber-optic transceivers, the desired optical alignment is within 10 μm. Epoxy curing and laser welding both introduce dimensional shifts equal to the desired assembly tolerance. It took the photonics industry over 5 years to improve first-pass production yields from 30% to over 90% due to this issue. There are several approaches to dealing with this problem. One is to model and predict the dimensional change that will occur during the bonding process and offset the assembly positions to account for this. This only works if the amount of bonding material is highly repeatable and the assembly geometry is highly repeatable. Where the assembly geometry must vary due to part tolerances, for example where the peak power of a laser diode moves relative to the package outline, a fixed offset is not possible. In this case a real-time offset would need to be computed based on the alignment geometry and bonding material properties.

Flexible and Precision Assembly

Solder preform

Fig. 50.10 A five-degree-of-freedom kinematic mount for optical

fibers (courtesy of B. Carlisle)

A fourth approach proposed in the photonics industry is to bond parts to microservo mechanisms which can be actively controlled after bonding to align parts [50.12]. However, this approach is relatively expensive and may suffer from reliability problems over extended service periods. In general, the stable alignment of small parts to micron or submicron tolerances remains a challenge, which will benefit from advances in modeling stresses and bonding material deformation in systems that can be linked to real-time motion systems.

50.3 Automation Software Architecture The foregoing discussion points out the need for some new features in a flexible automation programming language. Some of these features are available in today’s robot languages; some of them (3-D modeling) are available in separate packages; and some are not available yet, other than in research environments. Surprisingly, some robot vendors still do not offer general-purpose programming languages, preferring to offer application-specific programming tools. For a current state-of-the-art robotic programming language, see [50.13]. Also see Chap. 22 on Modeling and Software for Automation. A general-purpose automation programming language should include the features described below.

50.3.1 Basic Control and Procedural Features In addition to common control features such as looping, branching, multithreading, mathematical functions, and data structures, an automation language should be able to coordinate multiple mechanisms on a common time

887

base. It should be possible to do this over a network, with a structure that allows master–slave or peer-to-peer communication and control. It should be possible to use sensor data to alter motion in real time.

50.3.2 Coordinate System Manipulation Robots and other automation equipment are usually programmed in a Cartesian coordinate system, which may be different from the joint coordinates of the robot. Mathematical models, loosely referred to as kinematics, transform joint coordinates into Cartesian coordinates via matrix algebra. Modern robot languages store Cartesian positions in a format known as a homogeneous coordinate transformation. These coordinate transformations can be multiplied together to offset positions relative to a pallet, for example, or a tool. Sensor data must be transformed from the sensor coordinate system, for example, a camera frame, to the robot coordinate system. It is useful to be able to compute elements of these coordinate transformations in real time at the application level; for example, circular, elliptical, spline

Part F 50.3

A second approach is to bond the parts, then measure the resulting geometry, and deform the resulting bonded structure to achieve the desired alignment. This technique is employed by the photonics industry to align laser diodes, and is referred to as bend align. Techniques must be used to stress-relieve the assembly after deforming so that residual stress does not affect alignment over time. A third approach is to create a separate, rigid, kinematic support structure for the parts, which controls part alignment, and use a parallel bonding process around this support structure to place it in compression. A properly designed support structure can then resist the forces from the bonding material. The author suggested the kinematic structure in Fig. 50.10, in which a fiber is mounted in either a solid ferrule or a V-block ferrule. The ferrule rests on a hollow ceramic tube with a V notch. A solder preform is placed inside this tube. The assembly system aligns the fiber and the preform is heated, bonding the ferrule to the mounting substrate, with the ceramic tube resisting the compression forces when the solder solidifies. A sixth degree of freedom can be added by using a half-sphere instead of a cylinder for the fiber ferrule.

50.3 Automation Software Architecture

888

Part F

Industrial Automation

Part F 50.3

or other motions can be computed by a procedure in a loop that calculates the destination coordinates in a location variable and then calls a trajectory generator to compute and execute an incremental motion. Tracking a conveyor can be accomplished by using an encoder to update a base reference frame in real time. There is increasing interest in including a 3-D model and representation of both robot and workcell geometry. Today’s robot languages do not offer the ability to define the surfaces of the robot or workcell in 3-D space, other than some simple planes and cylinders. Accurate representation of 3-D surfaces would be very useful for collision avoidance, path planning, and safety systems. There is also increasing interest in improving the absolute accuracy of robots and other automatic machines. Today’s kinematic models of six-axis robots assume that the physical robot is manufactured perfectly, i. e., that right angles are perfect, link lengths are perfect, there are no deflections from gravity or loads, etc. For offline programming of robots working in complex environments, for example, spot-welding of an automobile, it is desirable for the robot motion to be one to two orders of magnitude more accurate than typical manufacturing tolerances permit. Recently, more complete models of robots have been developed in offline simulators. Actual robots can be programmed to go through a calibration routine and a more accurate model of the robot is built in the simulator. Then the simulator commands an offset path which is downloaded so the real robot will make accurate motions. This is currently an offline function. Improved robot controls will include this capability as an online function.

50.3.3 Sensor Interfaces and Sensor Processing Machine vision, force sensors, and other sensors have been integrated with commercial robot control systems since the early 1980s. However, what is needed today is the ability for sensors to deal with 3-D geometry, and for sensor programs to be generated automatically from 3-D geometry. In fact, it would be very useful if sensors could be used to create 3-D models when no explicit models exist. A mobile robot entering a new environment should be able to build up a model of the environment from sensor data. Extensive work in the research community has shown success building 3-D models from a series of 2-D images [50.14] as well as fusing sensor data from stereo vision and laser range finders for complex navigation tasks such as the Defense

Advanced Research Projects Agency (DARPA) Grand Challenge competitions in 2006 and 2007, in which multiple teams of researchers developed vehicles that could build models and navigate through unknown outdoor environments. Sensor-generated models must then be available to the motion planning system so the robot can plan moves, update progress through the environment, avoid collisions, and detect and recover from errors.

50.3.4 Communications Support and Messaging Many commercially available robot controllers currently support only limited-bandwidth messaging and file handling. With the rapid development of distributed motion control where motion can be coordinated at frequencies of 1 kHz or more over a network, the technology now exists for higher-bandwidth, timesynchronized communication; for example, a mobile camera could broadcast an image to a group of mobile devices (imagine soccer-playing robots) that also exchange strategy and planning information. The automation language and operating system should be capable of handling high-bandwidth communications without interfering with other deterministic tasks such as trajectory planning, image processing, and servo loops. The ability to set time slots and task priorities in the operating system becomes very important as communication loads increase. Personal computers remain disappointing in this regard, with large, unpredictable communication delays.

50.3.5 Geometric Modeling From much of the foregoing discussion it should be clear that the author believes that automation languages should be extended with 3-D modeling systems. One important difference though, is that robotic and other automation systems are dynamic, while many modeling systems are static, or updated at a low rate. In order to be useful, an online modeling system needs to be capable of being updated at rates similar to those in 3-D video games, as robots can make large motions in a few hundred milliseconds. It is likely that some of the simplifications and data-compression methods used in video games may be useful for real-time geometric modeling for motion control. Eventually, it may be useful to include dynamic models as well as structural deflection models in automation languages. Today’s robots are large, rigid,

Flexible and Precision Assembly

heavy structures with masses that exceed their rated payloads by a factor of ten or more. Lighter, more flexible robots would waste less energy, but will be harder to control and harder to program without some capability to predict their trajectories under load.

50.3.6 Application Error Monitoring and Branching

889

50.3.7 Safety Features The cost of assembly robots and sensors is coming down quickly. At the same time the speed of these devices is increasing. Motions of 1 m in a fraction of a second are now common. As a result, robots can present a substantial danger to humans who may enter the robot workspace. The industry approach to this issue is to create walls around the robot with sensors and interlocks to prevent people from entering the robot workspace when it is moving under computer control. This approach is both expensive and inefficient. A US$ 15 000 robot may be surrounded by US$ 5000 of screens, light curtains or safety mats. Creating cells with walls tends to require more floor space for each cell. More generally, there are more and more applications where it is desirable to have robots work with, and in some cases touch, people. To address this issue in a general way we need control systems that can model the robot’s structure as well as the environment, and sensors that can detect people entering the robot’s workspace. We need motion control systems that can respond dynamically to space intrusion and modify the motion appropriately. An operator should be able to walk up to a robot workcell and load a new tray of parts in the workspace without fear of injury in the same manner that he or she would interact with a human assembler.

50.3.8 Simulation and Planning It is time for robot simulation to move from an offline capability to an online capability, where the control system contains a real-time geometric simulation of the complete assembly system. Simulation systems are now widely used for programming robots for spot-welding, arc-welding, and some material handling tasks. However, they could be more widely used for many applications described here, including programming flexible part feeders, programming 2-D and 3-D vision systems, optimizing sensor-driven motions through workcells, detecting and recovering from errors, and allowing robots to interact safely with people. Online simulation offers the opportunity to develop high-level representations of common tasks; for example, a task-level command such as “Drive a screw at location hole 1 to torque X” is much easier for an application programmer to work with than many lines of detailed programming code. However for tasklevel instructions to be fairly general, they should be

Part F 50.3

In most industrial robot applications, over 50% of the programming effort is devoted to anticipating, sensing, and recovering from possible errors. Today, much of this programming is done on site, in an ad hoc manner, and takes a long time to develop and debug. As more sensors are used, this problem becomes larger, as sensors can introduce new errors. It may not be obvious to the programmer why a sensor-driven system is not reliable. To aid debugging, data-logging features, time-stamping of data and communication messages, and single stepping of motion programs are becoming common automation language features. In assembly systems, many errors are due to poorly understood or poorly modeled part tolerances. Often weeks or even months of testing is required for a production system to meet reliability standards. This testing is used to find software bugs, make sensors reliable, and make the system robust within a statistical range of part tolerances. However, we still do not have general methods to analyze assembly systems for errors, represent errors or generate error monitoring and recovery strategies. Work by Deming and others in statistical process control contributed greatly to understanding manufacturing process tolerances and designing products and processes for reliable production with known tolerances. However, these techniques, while used in the metal-forming and semiconductor industries, seem to be largely absent in automated assembly systems. In 1997 Carlisle and Craig developed a simulation tool [50.15] for assembly tolerance process analysis that was used by Nokia to analyze and improve cellphone production yields. However, since assembly systems vary so dramatically, there are few, if any, generally accepted practices for modeling assembly processes and part tolerances and predicting and improving yields. It is not clear if it is productive to try to predict errors. In general, an error is a deviation from a plan. It may be more productive to detect errors quickly and for the system to have enough geometric and sensor data to make a new plan quickly to try to recover from the error. Assembly systems with this capability have yet to be demonstrated.

50.3 Automation Software Architecture

890

Part F

Industrial Automation

Part F 50

able to access geometric and process information from databases, and a simulation system with motion planning ability and knowledge of the robot and workcell should generate the motion plan for the task. If an error occurs, the planning system should generate a new plan online. More generally, simulation and task-level planning are necessary tools to address the broader issue of robot interoperability, and program and data sharing. Users would like to be able to move programs from one brand of robot to another. This will remain an elusive goal until robots can be instructed at a very high level of abstraction, in almost the same manner as a human is given high-level, abstract directions along with some data, and figures out how to perform the task. The instruction “Bolt down the manifold cover”, given a CAD model, is far easier to translate than today’s explicit low-level programs.

50.3.9 Pooling Resources and Knowledge In the last few years it has become technically possible for people to share knowledge and collaborate remotely over the Internet. This has created the potential for open-architecture systems where many people pool knowledge to create complex systems efficiently. The Linux operating system is one example that has been widely accepted by an enthusiastic user base. An ideal robot programming system would have a core set of functions that could support a wide range of applications, yet it would be open enough and extensible enough that users could create new capabilities that could be shared or integrated. There is an interesting balance between providing enough structure that programs from different developers can be integrated while allowing enough flexibility that users can add new features easily.

50.4 Conclusions and Future Challenges In general, we need to raise the abstraction level of robot programming if robots are going to be able to perform increasingly complex tasks in increasingly less structured environments. Programming languages for robots need to incorporate modeling, sensing, and planning capabilities to allow libraries of tasks and actions to be compiled. These high-level tasks need to be robust and

safe. We also need to think about how to pool ideas and resources from many different developers and locations, to build up the large knowledge base that will be needed for robots to move from simple, highly structured tasks to complex, unstructured tasks. A more thorough discussion on the future of flexible and precise automation is provided in Chap. Sect. 21.3 of the handbook.

50.5 Further Reading 1. S. Y. Nof (Ed.): Handbook of Industrial Robotics (Wiley, New York 1999)

2. B. Siciliano, O. Khatib (Eds.): Springer Handbook of Robotics (Springer, Berlin, Heidelberg 2008)

References 50.1

50.2

50.3

A. Shafi: Bin Picking Axle Shafts (Shafi Inc., Michigan 2008), http://www.shafiinc.com/solutions/sol36/ test_1.htm (last accessed 2008) K. Goldberg, B. Mirtich, Y. Zhuang, J. Craig, B. Carlisle, J. Canny: Part pose statistics: estimators and experiments, IEEE Trans. Robot. Autom. 15(5), 849–857 (1999) B. Carlisle: Feeder developed by at Adept Technology and licensed to Flexomation Inc. http://www.flexomation.com/ (last accessed 2008)

50.4

50.5

50.6 50.7

Flexfactory: Feeder developed by Flexfactory AG (Flexfactory, Dieticon 2008), http://www.flexfactory.com/ (last accessed 2008) A. Miller, P. Allen: From robotic hands to human hands: a visualization and simulation engine for grasping research, Ind. Robot 32(1), 55–63 (2005) Barret Technology: http://www.barrett.com/robot/ products-hand.htm (2008) (last accessed 2008) Schunk Gripper: http://www.schunk.co/ (2008) (last accessed 2008)

Flexible and Precision Assembly

50.8

50.9 50.10

50.11

D. Krajic, A. Miller, P. Allen: Real time tracking meets online grasp planning, Proc. 2001 ICRA IEEE Int. Conf. on Robotics and Automation, Vol. 3 (2001) pp. 2460–2465 R. Brown, R. Brost: A 3-d Modular Gripper Design Tool, Sandia Rep. SAND97-0063, UC-705 (1997) R. Brost, K. Goldberg: A complete algorithm for designing planar fixtures using modular components, IEEE Trans. Robot. Autom. 12(1), 31–46 (1996) J. Shimano: Visual Servoing Taylor Made for Robotics (Motion Systems Design, 2008), (last accessed 2008)

50.12 50.13

50.14

50.15

References

891

A. Hirschberg: Active alignment photonics assembly, US Patent 6295266 (2001) B. Shimano: Guidance Programming Language (Precise Automation, 2004–2008), www.preciseautomation.com (last accessed 2008) M. Lin, C. Tomasi: Surface Occlusion from Layered Stereo. Ph.D. Thesis (Stanford Univ., Stanford 2003) J. Craig: Simulation-based robot cell design in AdeptRapid, Proc. 1997 ICRA IEEE Int. Conf. on Robotics and Automation, Vol. 4 (1997) pp. 3214– 3219

Part F 50

“This page left intentionally blank.”

893

Aircraft Manu 51. Aircraft Manufacturing and Assembly

Branko Sarh, James Buttrick, Clayton Munk, Richard Bossi

The emergence of the industrial age brought significant changes and impacts on living conditions of human societies. Innovative product development in a free market environment, driven by a desire to improve standards of living, led to revolutionary

51.1 Aircraft Manufacturing and Assembly Background..................... 894 51.2 Automated Part Fabrication Systems: Examples ............................................. 51.2.1 N/C Machining of Metallic Components................. 51.2.2 Stretch Forming Machine for Aluminum Skins ...................... 51.2.3 Chemical Milling and Trimming Systems for Aluminum Skins .......... 51.2.4 Superplastic Forming (SPF) and Superplastic Forming/ Diffusion Bonding (SPF/DB) ............ 51.2.5 Automated Composite Cutting Systems ...................................... 51.2.6 Automated Tape Layup Machine..... 51.2.7 Automated Fiber Placement Machine......................................

895 895 897 898

899 900 901 902

51.3 Automated Part Inspection Systems: Examples ............................................. 903 51.3.1 X-ray Inspection Systems .............. 903 51.3.2 Ultrasonic Inspection Systems ........ 904 51.4 Automated Assembly Systems/Examples.. 51.4.1 C-Frame Fastening Machine .......... 51.4.2 Ring Riveter for Fuselage Half-Shell Assembly.... 51.4.3 Airplane Moving Line Assembly ......

905 906 906 907

51.5 Concluding Remarks and Emerging Trends ............................ 908 References .................................................. 909

products in computing, communications, and transportation that impacted all levels of human activities. The key enabler of this progress was improved industrial productivity, which climaxed with automation technologies, starting with hard automation (suit-

Part F 51

Increasingly the manufacturing of complex products and component parts involves significant automation functions. This chapter describes a cross section of automated manufacturing systems used to fabricate, inspect, and assemble aircraft. Aircraft manufacturing cost reductions were made possible by development of advanced technologies and applied automation to produce high-quality products, make air transportation affordable, and improve the standard of living for people around the globe. Fabrication and assembly of a commercial aircraft involve a variety of detail part fabrication and assembly operations. Fuselage assembly involves riveting/fastening operations at five major assembly levels. The wing has three major levels of assembly. The propulsion systems, landing gear, interiors, and several other electrical, hydraulic, and pneumatic systems are installed to complete the aircraft structurally and, after functional tests, it normally gets painted and goes to the flight ramp for final customer acceptance checks and delivery. Aircraft manufacturing techniques are well developed, fabrication and assembly processes follow a defined sequence, and process parameters for manual and mechanized/automated manufacturing are precisely controlled. Process steps are inspected and documented to meet the established Federal Aviation Administration quality requirements, ensuring reliable functions of components, structures, and systems, which result in dependable aircraft performance.

894

Part F

Industrial Automation

able for mass production of consumer goods) and evolved into intelligent automation (selectively used for batch-type single-component fabrication) using computers and software to precisely control complex processes. Automation has successfully met the need to improve quality, reduce cost, and improve ergonomics of aircraft fabrication and assembly. The conversion to digitally defined aircraft and advancements in ma-

chine tools have enabled widespread use of automation. The trend to machine/fabricate components accurately with automated machines started with a Massachusetts Institute of Technology (MIT) demonstration of the first-ever developed numerically controlled (N/C) machine in 1952. This quickly led to a huge machine tool market that enabled rapid production of precision machined parts and accurate assembly of large aircraft structures.

51.1 Aircraft Manufacturing and Assembly Background

Part F 51.1

Aircraft manufacturing cost reductions were made possible by development of advanced technologies and applied automation to produce high-quality products, make air transportation affordable, and improve the standard of living for people around the globe [51.1–3]. Fabrication and assembly of a commercial aircraft, such as the one depicted in Fig. 51.1, involves a variety of detail part fabrication and assembly operations. A number of raw materials are machined and fabricated into detail parts, which are then assembled into various levels of structural configurations. Starting with basic assembly of detail parts into simple panels, they are then combined into super panels and higher-level assemblies to produce the fuselage, wings, and finally the complete aircraft. Integral designs and efficient production of new aircraft involve tradeoffs to optimize materials, the number of parts and size of structures, use of innovative processes, and adaptations of appropriate existing equipment and facilities.

Fig. 51.1 Major aircraft fuselage and wing components layout

Fuselage assembly involves riveting/fastening operations at five major assembly levels. At the first (lowest) level, skins, doublers, longerons, and shear ties are joined to form a single panel. The size and complexity of single panels is primarily driven by aerodynamics, load requirements, and function in operation of the aircraft. At the second assembly level, several single panels are joined using additional detail parts along longitudinal and radial joints into super panels. Frames are usually attached to shear ties during this operation. Assembly of the floor grid structure (also second-level assembly) joins the floor beams and seat tracks. At the third assembly level, half shells are created by joining super panels and the floor grid is often attached to the upper or lower half-shell of the fuselage. Fourth-level assembly involves longitudinal joining of barrel halves to complete the individual barrel structures. Fifth-level (highest) assembly does the 360◦ radial joins which fasten the nose, forward, center, and aft fuselage barrels together. Inside these structures, multiple radial doublers, couplings, and fittings are installed to complete the aircraft’s fuselage structure. The wing has three major levels of assembly. The first (lowest) assembly level joins the upper and lower skin panels, spars, and bulkheads (consisting of N/Cmachined skins, stringers, and stiffeners). At the second assembly level, spars and bulkheads are joined to form the wing grid, to which skin panels are attached to create the wing box. The third (highest) assembly level joins leading and trailing edge components to the wing box to complete the wing structure. The wing is joined to the fuselage in the appropriate sequence to complete the airframe. The propulsion systems, landing gear, interiors, and several other electrical, hydraulic, and pneumatic systems are installed to complete the aircraft structurally and, after functional tests, it normally gets painted and

Aircraft Manufacturing and Assembly

goes to the flight ramp for final customer acceptance checks and delivery. Aircraft manufacturing techniques are well developed fabrication and assembly processes that follow a defined sequence, and process parameters for manual and mechanized/automated manufacturing are precisely controlled. Process steps are inspected and documented to meet the established Federal Aviation Administration quality requirements, ensuring reliable functions of components, structures, and systems, which result in dependable aircraft performance. All activities at aircraft factories are organized around flow of materials, parts, and structures to the final assembly line. In the early stages of aircraft manufacturing, detail parts are fabricated (involving machining, heat treatment, stretch forming, superplastic

51.2 Automated Part Fabrication Systems: Examples

forming, chemical treatment, composite material layup, curing, trimming, etc.), followed by part inspection (x-ray, ultrasonic, etc.). The next manufacturing steps focus on the assembly of detail parts into subassemblies and larger structures using both manual assembly tasks and automated machinery (C-frame, ring riveters, etc.), and also moving lines for final aircraft assembly. Due to economic pressures and ergonomic necessities, the majority of manual aircraft manufacturing has been replaced during past decades by mechanized and/or automated processes and systems, yielding significant process and cost saving improvements; for example, the productivity of machining processes has generally improved by a factor of ten, with some highly automated assembly processes enjoying improvements in excess of a factor of 15.

51.2.1 N/C Machining of Metallic Components Process Description Since the advent of metallic airframe construction, airplane manufacture has been machining intensive, largely because the starting material forms (i. e., plate, extrusion, die forging, etc.) were not available in nearnet shapes. To minimize airplane fly-weight and ensure good fatigue life, most metallic surfaces are machined to obtain the final component configuration and achieve a specified surface finish. An important expression in the aerospace machining industry is the buy-to-fly ratio, which indicates the ratio of excess material removed during a given machining operation versus the remaining material that flies away on the airplane. For an average commercial aircraft N/C-machined part, this ratio is about 8 : 1. The machining process usually employs a cutter mounted in a rotating spindle, where the spindle or part can be moved relative to one another by a numerical controller (N/C) using servo motors. The spindle revo-

lutions per minute (RPM) may vary from 0 to 40 000, depending on the material, with the largest wing skin mills employing multiple spindles with power ratings up to 200 horsepower (HP). N/C machine tools commonly used within the aerospace industry are some of the largest machine tools in the world, with skin mill bed sizes ranging up to 24 ft wide by 270 ft long [51.4–8]. Wing Skin Mills The wing skin mill shown in Fig. 51.2 is capable of machining two wing skins simultaneously. The aluminum plate from which the wing skins are produced is held down to the skin mill bed using a vacuum. Typically the aerodynamic outer wing surface, known as the outside mold line (OML), is machined first. Once completed, the wing skin is flipped over, the vacuum is reapplied, and machining on the inside mold line (IML) is completed. The IML contains pads and other features which mate to other wing structure components such as wing ribs and stringers. In addition, the wing skins, which are thickest near the fuselage, taper down to approximately 0.25 in thick at the outboard wing tip. Thickness tolerances for these flight critical components are typically held to ±0.005 in Mammoth gantries (weighing nearly 30 t) carrying two 200 HP machining spindles over a wing skin move with precision, while holding the necessary tolerances. Face mill cutters up to 1 ft in diameter are employed to quickly cover the vast expanse of the wing skins, generating a large volume of

Part F 51.2

51.2 Automated Part Fabrication Systems: Examples Automated aircraft part fabrication involves a variety of manufacturing techniques and systems, all tailored to processing specific materials and part configurations, ranging from aluminum and titanium alloys to carbon-fiber epoxy materials, using intelligent automation to produce strong, lightweight parts at affordable/competitive costs.

895

896

Part F

Industrial Automation

Fig. 51.2 Cincinnati milacron wing skin mill and wing skin after machining

aluminum chips, which are collected by a vacuum chip collection system requiring a 100 HP motor.

Part F 51.2

Customized Long-Bed Gantry-Style Milling Machines Dedicated long-bed purpose-designed gantry-style milling machines have been the traditional choice for machining major wing structural components (i. e., stringers, spar chords, and channel vents). These machines employ multiple high-power (112 kW), lowRPM spindles, each capable of high material removal rates (MRR). Each wing component (upper chord, lower chord, stringers, and channel vents) for each airplane model has a dedicated part holding fixture. Large-diameter cutters mounted in steep-taper tool holders experience high cutting forces and bending mo-

ments. This technology is still appropriate when high MRR is required for long extruded parts of adequate stiffness. These machines have been regarded as the aerospace machining standard for 50 years. The right side of Fig. 51.3 shows a typical 110 ftlong completed part after machining and a typical cross section of the extrusion that makes this part. The crosssectional area reduction is evident in this illustration. Approximately 12 kg of material is machined away for each (1 kg) of flyaway part. When buy-to-fly ratios for various manufacturing methods are compounded by the sheer size of commercial airplanes, it becomes obvious how an airframe manufacturer can produce over 35 million pounds (16 Gg) of aluminum chips annually. This is roughly equivalent to the airframe weight of 100 Boeing 747 aircraft.

Fig. 51.3 Dedicated spar mill gantry with typical cross section and machined part

Aircraft Manufacturing and Assembly

51.2.2 Stretch Forming Machine for Aluminum Skins Machine Description The machine depicted in Fig. 51.4 is capable of stretchforming large contoured fuselage skins. The major machine components are:

1. A die table for supporting and moving stretch form dies (dies contain the configuration of the final skin and are placed on the table) vertically during the stretch forming process. 2. Two articulating jaws (one on each side of the table), consisting of multiple jaw segments, enabling articulation of each jaw around longitudinal and transversal axis to accommodate the contoured skin geometry. Jaw segments have built-in hydraulic clamps which firmly clamp the metallic sheets prior to the stretch-forming process. During the forming process each jaw moves longitudinally away from the die table. 3. A computerized numerical control (CNC) machine controller, which executes stretch-forming programs by activating/moving machine components [51.1–3]. Heat-Treat and Stretch-Forming Processes Common structural materials used for fuselage skins, fuselage frames, and stringers are the high-performance aluminum alloys, namely the 2000 series and 7000 series aluminum alloys (i. e., 2024, 7075). Both of these aluminum alloys are heat-treatable for strength, toughness, and corrosion resistance. To achieve high strength,

Technical data • Stretch forming of large contoured fuselage skins • Machine size: L = 20 m; W = 4 m; H = 3 m • Sheet size max. t = 6 mm; W = 2.5 m; L = 12 m • Articulating jaws stretch force = 1500 tons • Articulating jaws min. radius: longitudinal axis = 10 m • Articulating jaws min. radius: transverse axis = 2 m • Stretch die table max force = 1000 tons • CNC controller • All machine motions can be actuated manually or controlled by program

Fig. 51.4 Stretch-forming machine for skins

897

Part F 51.2

High-Performance Machining As future airframe designs are considered, more emphasis will be placed on component producibility and manufacturing costs. Traditional built-up assemblies are being replaced by monolithic designs. Contrary to popular belief, the value for the airframe producer to utilize high-speed machining techniques does not lie solely in reduced machining cycle times. Economies of scale are gained not by machining cycle time reduction alone, but by conversion of multiple-piece assemblies to monolithic components. This ensures a more accurate final part and the elimination of assembly tooling, labor, equipment, and facilities previously required by built-up designs. High-performance machining provides designers a manufacturing process to produce thin-wall monolithic parts quickly with minimal distortion. However, monolithic designs are placing new burdens on high-performance machining technology. Such designs often require more material be removed during the machining process than previously required for built-up or assembled sheet metal components. It is difficult to use extrusions or die forgings for monolithic airplane components that are long with significant cross-sectional changes. Components up to 110 ft (35 m) with a tapering cross-section must either be produced from multiple die forgings that are joined or machined from plate stock (if they are designed as a monolithic part). This requires removing large amounts of material, as depicted in Fig. 51.3. Increased buy-to-fly ratios will necessitate that more emphasis be placed on maximizing material removal rates in the future.

51.2 Automated Part Fabrication Systems: Examples

898

Part F

Industrial Automation

Part F 51.2

the 2024 alloy, commonly used for fuselage skins, is heat-treated in furnaces up to 496 ◦ C. A quenching process from these elevated temperatures dissolves the alloy constituents (i. e., such as copper) in a solid state in the solid aluminum alloy. After quenching, roomtemperature aging occurs, causing copper constituents to precipitate along the grain boundaries and along slip planes of the alloy. This action distorts the crystal lattice interferes with any smooth slip process, resulting in increased strength of the material. Immediately after quenching, the material is relatively soft and can be formed as in as-quenched (AQ) tempering. However after about 20 min the alloy will start room-temperature aging and strengthen to the T4 temper condition. Roomtemperature aging is also referred to as natural aging. The final T4 strength obtained is about 96 h. Alloy sheets are moved from the quenching system/equipment to the stretch-forming machine and laid up on the stretch die, then both ends of the sheets are pushed into the jaws and jaw segment clamps are activated, clamping onto sheet ends. Jaw segments are configured around the longitudinal axis to accommodate skin shape, dictated by the stretch die geometry, and longitudinal forces are applied to the sheet by driving jaws away from the table. At a certain point, the table is activated, moving the stretch die vertically while the jaws rotate around the transversal axis, pulling the sheet and forcing it to comply to the stretch die geometry. After sufficient stretch (plastic deformation) is achieved, the jaws and table reverse direction, relieving tension on the sheet once it has attained the desired skin geometry (and allowing for some spring-back). Ideally, aluminum skin stretch form dies are built with springback compensation. This is especially important for large contoured skins. Software for stretch die design tools are readily available and have proven very useful. For many decades, the stretch forming process was (and partially still is) a black art. It requires very experienced personnel to drive machine elements (table, jaws) in linear and rotational axes while observing the skin during stretch-forming operations. Variations in skin and stretching behavior result from changes in material properties during the incubation time and make it difficult to establish precise process parameters. After years of experimentation, and collecting empirical data (required degree of stretch, etc.), the majority of skin form operations can be computer controlled or at least semi-automated, whereby the operator observing the skin behavior can make slight adjustments to the degree of stretch to compensate for material property variations. Programs can be generated offline, or

recorded/stored in the teach mode on the machine during the stretch-forming process.

51.2.3 Chemical Milling and Trimming Systems for Aluminum Skins System Description Chemical milling is a material removing process using chemical reaction to dissolve material in certain locations to produce contoured skins with variation in cross sections (thickness), accommodating changing design load conditions along the fuselage. This process involves several subsystems:

1. Galvanic treatment tanks for cleaning and surface preparation of stretch-formed skins 2. A five-degree-of-freedom (DOF) robotic system applying a mask to the skin surface 3. A five-DOF gantry robotic system and flexible pogo fixture using a carbon-dioxide laser to scribe the mask, enabling mask removal in certain skin locations 4. Chemical milling and galvanic treatment tanks to perform metal removal (chemical milling) 5. A five-DOF CNC gantry system with a flexible pogo table to trim and drill skins [51.1–3]. Process Description Stretch-formed skins have to be cleaned and surface prepared for the application of the chemical milling mask. A robotic system under program control automatically applies the mask of defined thickness to both

Fig. 51.5 Skin with scribed mask

Aircraft Manufacturing and Assembly

zles surrounding the cutter are used. Net trimmed skins with tooling and determinant assembly holes are now ready for assembly. All crane movements in the galvanic process line and the robotic chemical mask application process are controlled by a simple program. For mask scribing, the laser gantry robot, and the trimming/drilling robotic system, computer-aided design (CAD) skin geometry data is imported into the process simulation and a semi-automated program creation system generates processing programs.

51.2.4 Superplastic Forming (SPF) and Superplastic Forming/ Diffusion Bonding (SPF/DB) Process Description The SPF process is an elevated-temperature process where fine-grain material, such as alpha-beta titanium (Ti-6Al-4V is most common) and certain aluminum alloys (7475, 2004, and 5083), can be formed into complex shapes using gas pressure. The process temperature depends on the material and alloy being formed: titanium (774–927 ◦ C aluminum (454–510 ◦ C). SPF parts, such as those shown in Fig. 51.7, are produced with typical elongations up to 300% [51.4–8]. The basic principles of the superplastic forming process are illustrated in Fig. 51.8, typically using heat and gas pressure to fully form aluminum or titanium part blanks to match the tool’s contour.

Fig. 51.7 Parts fabricated using super plastic forming Fig. 51.6 CNC trimming and drilling system

899

(SPF)

Part F 51.2

sides of the skin, moving a spray nozzle along optimized patterns to achieve homogeneous mask coverage thickness and to minimize overspray. After the mask is sufficiently dry, skins are positioned onto the flexible pogo table, stabilized with suction cups to pogos. The skin surface pointing to the outside of the aircraft is positioned onto pogos and does not require any chemical milling. A gantry robot moves the head with a carbon-dioxide laser in five DOFs across the inner surface of the skin, scribing (cutting) mask along certain patterns to facilitate peeling off of the mask. After all patterns are cut (Fig. 51.5) skins are processed using computer-controlled cranes to move skins through the chemical milling tanks. First, the mask is removed in areas which will lead to the thinnest skin cross-sections. Skin is dipped into the chemical milling tank, allowing NaOH chemical (kept at elevated temperatures) to etch exposed aluminum surface long enough to achieve the desired remaining cross section. Etching velocity depends on the NaOH concentration (which is controlled daily). The etching velocity is used as an input parameter to the crane controller, which pulls the skin out of the tank at a predetermined time automatically. If measurement of the desired aluminum skin thickness is verified, the next mask area is peeled off, and the next chemical milling process is repeated, until all required skin areas are processed. The last skin processing step involves trimming of boundaries and drilling of tooling and determinant assembly holes. These tasks are accomplished on the five-axis trimming and drilling machine (Fig. 51.6). Pogos of the flexible machine table are driven in three linear DOFs to positions dictated by the skin configuration, and swiveling suction cups on top of pogos stabilize the skin during the trimming and drilling operations. Mechanical cutters and chip extraction noz-

51.2 Automated Part Fabrication Systems: Examples

900

Part F

Industrial Automation

SPF Benefits. The benefits of the SPF process are that: • Elevated temperature • Superplastic material • Computer-controlled gas pressure forms the part into the cavity at a constant strain rate

Part

Gas

Fig. 51.8 SPF process principles

Part F 51.2

The SPF/DB process combines an SPF operation with a diffusion bonding (DB) process, whereby two or more sheets of titanium are used to create an integrally stiffened panel structure. For DB to occur, the titanium sheets must contact each other in an inert atmosphere at controlled temperatures and pressures for a specified time. Several methods can be used to achieve these conditions. The one shown in Fig. 51.9 uses a heated press and tool pressure to bring the sheets together. Another method uses gas pressure inside the welded titanium pack to force the sheets into contact with each other. Diffusion bonding is a solid-state process and no melting occurs at the bond line. Once the individual grains on the surface touch each other, they start growing across the interface of the two sheets. This process continues until the sheets are completely diffusion bonded to each other and there is no evidence microscopically of there having been two, or more, pieces of material. The typical hot (up to 982 ◦ C) shuttle table press, shown in Fig. 51.9, produces SPF and SPF/DB aluminum and titanium parts and has computer-controlled heating, pressure, and gas systems.

Fig. 51.9 Hot press, tool, and SPF part

• • • • •

It replaces multipiece assemblies with one monolithic component, saving cost, weight, and tooling. It can produce complex geometry and sharp radii. Components contain very little, if any, residual stress (no spring-back). Less assembly is required (lower cost, lighter weight, and better dimensional accuracy). Titanium parts are corrosion resistant.

SPF/DB Benefit. The benefit of the SPF/DB process is

that:



It reduces assembly, producing an integral structure, with no fasteners needed to attach inner structure to outer skin.

51.2.5 Automated Composite Cutting Systems Ultrasonic Cutting Machine Uncured unidirectional and fabric carbon fiber, glass fiber, Kevlar, prepreg, and honeycomb materials can be cut into various shapes or forms (preforms) prior to hand placement. Automated computer-controlled ultrasonic cutting machines precisely perform this task with minimal waste of these expensive materials due to advanced computer nesting programs. Up to ten plies of prepreg can be cut at the same time by a carbide ultrasonic knife, which translates up and down at 30 000 strokes per second to provide a clean cut. The prepreg material, which includes a backing film, is pulled off a roll at the end of the cutting machine bed (Fig. 51.10) and is laid down on a rubber table. A disposable bag is then placed over the prepreg material and any wrinkles are smoothed out. Vacuum is turned on in the table, which pulls the bag down on top of the prepreg material and stabilizes it during the cutting operation. The two-axis N/C machine accurately positions the ultrasonic knife along a preprogrammed path to achieve the desired shape. Once the cutting operation is complete, the vacuum is released and the vacuum bag is removed. Then the preforms and scrap material are manually removed from the machine bed [51.9–15]. Abrasive Water Jet Cured graphite–epoxy composite structure has very strong fibers in a softer matrix, so trimming them with

Aircraft Manufacturing and Assembly

51.2 Automated Part Fabrication Systems: Examples

901

Technical data • Typical configuration: flat bed two-axis gantry • Work zone: up to 10 ft × 100 ft • Cutting speed: up to 1000 in/min • Rapid traverse speed: 2000 in/min • Positioning accuracy: 0.002 in/ft– 0.015 in overall • Knife: 20 000 –30 000 strokes/s

Fig. 51.10 Two-dimensional ultrasonic cutting machine

Insert shows a 60 000 psi waterjet stream cutting a part

Fig. 51.11 Gantry abrasive water jet with pogostick tooling

conventional machining techniques does not work very well, since heat and the abrasive nature of composites tend to wear out cutters rapidly and delaminate or pull fibers out of the adhesive matrix. Abrasive water jets are being used extensively to trim, and in some cases drill, holes in these materials due to the clean cut, low fiber pull out, and little or no delamination. The majority of water-jet systems used in aerospace are high-pressure (60 000–87 000 psi) garnet or aluminum-oxide grain abrasive water-jet units (Fig. 51.11). An N/C machine positions a high-pressure abrasive water jet near the periphery of a composite part that is held in the correct contour by a series of headers or pogos (Fig. 51.11). The computer program drives the N/C machine in a very accurate path at various speeds to cut off the excess material and provide the finished edge of the part.

51.2.6 Automated Tape Layup Machine Automated tape layup (ATL) is an additive process used to construct large structures from composite prepreg tape material. It is primarily used in the aerospace industry. Machines typically have a five-axis precision overhead gantry and an application head that is suspended from a cross rail. The machine motion and head functions are controlled by a computer with specialized programming. Prepreg tape is typically more than 3 in wide, which is suited to flat or mild contours (Fig. 51.12). The highly specialized head can precisely lay any number of plies of composite filament tape, in any desired orientation, assuring consistent part shape, thickness, and quality. A typical machine draws from supply reels, then deposits 3, 6 or 12 in tape on flat or mild-contour layup tools. The layup heads can heat the

Part F 51.2

Technical data • Precision multiaxis overhead gantry, 5 up to 11 axes • Work zone: up to 20 ft × 50 ft × 5 ft • Cutting speed: up to 250 in/min • Rapid traverse speed: 1200 in/min • Positioning accuracy: 0.002 in/ft – 0.015 in overall • Thin stream: 0.020 to 0.050 in dia.

902

Part F

Industrial Automation

Technical data • Precision five-axis overhead gantry with multifunction head • Work zone: up to 20 ft × 100 ft • Feed rate: up to 1200 in/min • Traverse speed: 2200 in/min • Positioning accuracy: 0.002 in/ft – 0.015 in/overall • Layup rate: up to 50 unknown unit lbs/h

Fig. 51.12 Overhead gantry with work piece below and multifunction tape application head Fiber placement head, mounted to a roll-bend-roll wrist

Refrigerated creel containing bidirectional tensioners

Headstock

Part F 51.2

Tailstock

Technical data • Precision multiaxis platform with horizontal ram with multifunction head • Work zone: up to 20 ft dia./times 75 ft • Working feed rate: 1200 in/min • Traverse speed: = 2200 in/min • Positioning accuracy: 0.002 in/ft – 0.015 in/overall • Layup rate: up to 30 unknown unit lbs/h

Fig. 51.13 Automated fiber placement machine

tape prior to laying it down, then compact or compress the tape after it is placed on the layup tool. Each layer or ply of tape can be oriented in a direction that optimizes the specific desired part characteristics [51.9–15].

51.2.7 Automated Fiber Placement Machine Automated fiber placement (AFP) machines, such as that shown in Fig. 51.13, combine two technologies widely used in industry: automated tape layup (ATL) and filament winding (FW). The AFP process is used by the aerospace industry to construct large-circumference and complex structures such as fuselage barrels, ducts, and pressure vessels from composite prepreg materials. The Boeing 787 fuselage barrel in Fig. 51.14 is a primary example [51.9–15].

Fig. 51.14 Boeing 787 fuselage barrel

Aircraft Manufacturing and Assembly

Redirect roller

Individual tow payout with controlled tension

Clamp Compaction roller

Restart rollers Cutters Controlled head

Fig. 51.15 Automated tape layup process head

This additive process utilizes relatively narrow strips of unidirectional composite prepreg tape, com-

51.3 Automated Part Inspection Systems: Examples

monly called tow, which have unidirectional fibers preimpregnated with a thermoset resin that is later cured. Central to the process is the fiber placement machine, basically a seven-axis manipulator with a head (Fig. 51.15) that arrays a group of tows side-by-side into a continuous band and compacts them against the surface of a concave, convex, contoured combined layup mandrel. The mandrel is mounted on a trunion system similar to a lathe, so that it can rotate as the manipulator is placing the tow. AFP combines the advantages of both filament winding and automated tape layup. The raw materials used are tow-preg or slit-tape rolls of aramid, fibreglass or carbon fiber, preimpregnated, typically with epoxy resin. The width of tow or slit-tape ranges from 3.2 mm to 6.4 mm with thicknesses ranging from 0.13 mm to 0.35 mm. Typical systems permit the use of 12, 24, or 32 tows simultaneously and can lay up on the top of a honeycomb core without degrading it.

51.3.1 X-ray Inspection Systems Figure 51.16 shows a diagram and photograph of a seven-axis CNC system for digital radiography (DR) of welds at Boeing Commercial Airplanes Fabrication Division in Auburn, WA, USA. The CNC manipulator, source, and detector are located in a radiation

vault. A complex welded duct is positioned by the CNC manipulator at a series of preprogrammed locations between the x-ray source and digital detector, as shown in the right-hand image. The insert in the lower right of the figure shows the DR image from the operator’s console display. The system consists of five major components: the Siemens controller-based CNC manipulator, the x-ray source, the digital x-ray detector, the control computer for the CNC manipulator, and the image display and analysis system. The system requirement is for x-ray image quality indicator sensitivity of 1-1T (1% part thickness with visible hole of 1% part thickness diameter) in the radiographic image for 100% coverage of the part. To achieve this image quality an x-ray spot size of 20 μm nominal and a magnification of 4.5× is used to create images with greater than ten line-pairs per millimeter resolution and better than 1% contrast sensitivity. Position of the weld to be inspected is critical to achieving the required image quality and this is accomplished by automated control of the CNC manipulator. Table 51.1 lists the critical characteristics of the CNC manipulator system. The CNC manipulator is programmed to begin a testing session by positioning and imaging a test standard at the same geometric factors, exposure parameters, and image display settings as will be used for the part to be inspected. Once the operator approves the quality of the inspection for the

Part F 51.3

51.3 Automated Part Inspection Systems: Examples The nondestructive inspection (NDI) of aircraft systems is performed at the very highest level of sensitivity because of the criticality of the components. X-ray radiography is the primary method for the inspection of metallic components, particularly welds in tubes and ducts of titanium and inconel as well as other aerospace welded joints [51.1, 2]. Ultrasonic inspection is the primary method for carbon-fiber polymer composites [51.3,4]. Both x-ray and ultrasonics benefit in terms of quality and value by the implementation of highly automated systems. Ultrasonic systems have required major developments in robotics for inspection rate and sensitivity requirements for both production [51.5–7] and for field in-service inspection operations [51.8, 9]. Automated x-ray systems have been slower to be implemented, but progress is being made. The future direction in aircraft part inspection will be automated interpretation of the NDI data that is currently being implemented in higher-production-rate industries.

903

904

Part F

Industrial Automation

X

Y

–B' (CW) Y'

+B' (CCW) (back)

C' (tilt) + (fwd)

Z' DR image of weld

Z X'

Fig. 51.16 Diagram and photograph of robotic digital radiography system (courtesy of Boeing)

Part F 51.3

standard, inspection of the part begins. Each part configuration is programmed in the CNC manipulator to allow the weld to be 100% inspected by a series of radiographic views. The CNC manipulator positions the part according to the program for an exposure at the first location. Following operator review of the resulting radiographic image, the CNC manipulator advances to the next position in the sequence and the process repeats until the entire part is inspected. Typical inspection sequences for the CNC manipulator include 20–50 views, taking approximately 10–20 min. Images are reviewed by automated sequence of viewing parameters followed by preset adjustments of the image display for enhancement of areas of interest for detail review. Enhancement and measurement features include different window/level parameters, digital magnification, and contrast enhancement [51.16–28].

51.3.2 Ultrasonic Inspection Systems For carbon-fiber polymer composite materials including laminate, sandwich structure, and bonds, ultrasound is the principle inspection method and requires highly automated scanners to keep up with the production rate. Sophisticated automation is also needed to handle the complex contoured geometry of the large composite assemblies. Ultrasonic scanning systems can be constructed in a variety of forms from small portable units to large gantry systems. Ultrasonic inspection is most commonly performed with some type of water coupling of the ultrasonic energy between the piezoelectric transducer and the test part. Methods include: immersion where the part is submerged in a water bath with the transducer; bubbler systems, where the transducer rides on the surface in a shoe that also has a flow of water;

Table 51.1 Boeing commercial airplane robotic x-ray system characteristics Characteristic Robot

X-ray source Detector Weld radiography quality

Values Type Range of motion Positional accuracy Load-carrying ability Model Spot size Model Pixel size/bit depth Image quality Inspection time

Siemens Simotion seven axis Magnification axis: ≈1.5 m, loading axis: 0.3 m, rotation axis: 360◦ , tilting table axis: ±60◦ 0.5 mm, angular axis 10 line pairs/mm at 4.5× 10 s per view, 30 s to 1 min per weld

Aircraft Manufacturing and Assembly

51.4 Automated Assembly Systems/Examples

905

UT C-scan image display

Fig. 51.17 Two types of automated ultrasonic inspection systems: independent tower system (right) and gantry system (left) (courtesy of Boeing)

transducer on each side of the part and through transmission data simultaneously. The tower scanner uses independent machines on each side of the part to be tested. The advantages of this configuration include the independent surface following from each side, the improved reach in capability stiffness, and reduced ceiling height. The ultrasonic testing (UT) data from a quality surface following scanner includes 1-to-1 flaw sizing on complex curvature objects. The test part shown in the tower system in Fig. 51.17 is a landing gear pod fairing. The UT C-scan image data shown in the lower-right side of the figure is the two-dimensional (2-D) ultrasonic C-scan representation of the three-dimensional (3-D) object, in which light areas indicate laminate and darker areas indicate honeycomb core. Laminate inspections are usually performed at 5 MHz, while honeycomb is commonly inspected with 1 or 2.25 MHz ultrasound. Inspection speeds depend on the data acquisition rates. The data acquisition rate in X and the step size in Y are determined by the minimum defect size that is to be detected. Three data points are required for the minimum defect size, such that 0.08 in data space is used for 0.25 in defect sensitivity. Many scanners and the associated acquisition electronics can scan at up to 40 in/s while maintaining 0.04 in data spacing in the scan direction. Coverage of 25–50 ft2 /h is possible on many parts.

51.4 Automated Assembly Systems/Examples Conversion to digitally defined parts is a key factor that has enabled the widespread use of automation.

Aircraft assembly machines are custom-designed to meet specific requirements, where the combination

Part F 51.4

and squirter systems, where the transducer is located in a nozzle that shoots water at a part from a short distance. The ultrasonic inspection can use one or more transducers in a variety of combinations. The typical inspection uses either one transducer in pulse echo (PE) mode, or two transducers, each aligned on opposite sides of the part, for through transmission ultrasound (TTU). Pitch-catch mode uses two transducers on the same side of the part. For high throughputs using automated systems, the scanning robotics may handle arrays of transducers that provide coverage of large areas of material [51.16–27]. As aerospace structures become larger and more complicated, overhead gantry systems or tower gantry systems such as that illustrated in Fig. 51.17 are used. The overhead bridge scanning system can be used with transducer manipulators with up to five axes of motion: X, Y , and Z translations, rotation, and tilt. Using motion control software, the transducer can be oriented normal to complex curved part surface during scanning. Two transducer manipulators are employed for through transmission imaging. The computer software can keep the squirter transducers aligned and normal to the part surface for complex geometric configurations. The part geometries are taught by manual selection of a few data points along a scan or using CAD surface data. In some cases the systems will take pulse echo data from each

906

Part F

Industrial Automation

of tight engineering tolerances, the need to reduce part variation, and large machine envelope drives large and expensive machines. Despite the high initial cost, the use of automation has been very successful in addressing the needs to improve quality, reduce costs, and improve ergonomics of aircraft fabrication and assembly. Assembly systems are designed around the type of structures to be assembled. For single and very large panels, C-frame riveting/fastening machines are commonly used. The most suitable system to assemble half-shells (fuselage barrels) is a ring riveter, and final aircraft assembly is performed manually using advanced hand tools and, more recently, newly developed, flexible, adaptable, portable assembly systems.

quirement. Bolts are applied in a similar sequence, with sealant being applied prior to bolt insertion, and then the nuts are installed and torqued. A Boeing wing panel fastening system is shown in production in Fig. 51.18. Typical production wing lines have multiple wing assembly machines capable of operating on multiple parallel rail systems with turntables and interconnecting tracks allowing a machine to move to work stations within the track network [51.4–8].

• •

51.4.1 C-Frame Fastening Machine

Part F 51.4

Automated wing panel fastening machines are used to build stringer stiffened wing panels by riveting stringer-to-wing skins and fastening adjacent wing panels together. Structurally, they are large C-frames mounted on rails that travel the length of the wing. Floor-mounted header tooling supports the temporarily tacked together wing panel to ensure proper panel positioning for permanent fastener installation. The C-frame wraps around the wing skin and applies drilling and fastener installation tools to both sides of the panel. For accurate positioning, wing riveters obtain positional accuracy from the stringers mounted on the underside of the wing panel. A typical rivet installation cycle involves positioning the machine to the proper location, clamping the skin and stringer together, drilling a hole, inserting a rivet, hydraulically squeezing a rivet, and shaving the formed head. Then, an electronic vision system verifies if the shaved rivet meets the flushness re-

Fig. 51.18 Gemcor automated wing fastening system

• • • • • • • • •

Machine Features 50 000 lbs rivet upset capability to accommodate 7/16 in-diameter 7050 rivets Full servo programmable control including: – High-speed upper head transfer – High-speed drill and shave spindles – High-speed servo buck – High-speed servo lower head – Servo clamp Up to six upper head positions Statistical process control (SPC) of fastener installation processes Automatic fastener selection Fastest machine cycle time in the industry Standard slug squeeze process Squeeze/squeeze III slug installation process Vibratory insertion process for two-piece fasteners in high interference fit conditions Torque-controlled nut runner Lockbolt swage collar tooling

51.4.2 Ring Riveter for Fuselage Half-Shell Assembly System Description This computer-controlled riveting/fastening machine is being used to automatically join fuselage half-shells made from single panels along longitudinal and radial joints as shown in Fig. 51.19. It consists of:

1. Outer ring, moving on longitudinal rails, carrying a multifunction end-effector, which moves radially on the inside of the machine ring structure 2. A robotic arm, moving on the floor inside the ring on rails longitudinally, carrying a second multifunction end-effector 3. A flexible fixture to support the half-shell during the assembly operations 4. Machine controller, executing assembly sequence programs generated using an offline programming system [51.1–3].

Aircraft Manufacturing and Assembly

51.4 Automated Assembly Systems/Examples

907

Technical data • Assembly of 180° half shells • Machine size: L = 10 – 80 m; W = 6 – 12 m; H = 6 – 10 m • Fully automated process, CNC control • Automatic work piece transfer • Precision rivet/fastener head position • Solid work piece clamping during run time • Number of rivet/fastener cassettes = 16 or more • Drill spindle rpm = 0 to 20 000 • Spindle feed = 0 to 0.006 ipr • Pneumatic hammer for interference fastener insertion • Rivet installation rate = 4 to 10 rivets/min • Fastener installation rate = 2 to 6 fasteners/min • Off-line or teach-in programming

Fig. 51.19 Ring riveter assembly system (courtesy of Broetje)

a vision system, and communicates information to the robot controller, which then positions the endeffector to the proper location for clamping, drilling, and rivet insertion/upsetting tasks. All process parameters are monitored and saved to a quality-assurance data bank to verify and document process and part quality.

51.4.3 Airplane Moving Line Assembly System Description In 1913 Henry Ford put the first moving assembly line ever used for large-scale manufacturing into use.

Fig. 51.20 Ford Motor Company moving assembly line

Part F 51.4

Assembly Process Single panels and all parts building the half-shell are tacked together and half-shell stabilized on the flexible fixture is moved into the ring’s working envelope. The multifunction end-effector moving on the outside of the half-shell, and the internal multifunction end-effector, perform synchronous riveting/fastening operations through the skin. The outside multifunction end-effector uses a drilling module, a rivet fastener feeding module, and a rivet upsetting tool; and the internal multifunction end-effector uses a clamping tool and rivet upsetting or sleeve installation tool module, if two-piece fasteners are being installed. Process parameters such as the clamping force generated by internal and external end-effector bushings at the drilling location are adjusted for structural material (aluminum, titanium, composite) and stiffness. The drill unit’s RPM and feed force are selected as a function of hole diameter, required hole tolerance (e.g., 0.001 in) and cutter material (e.g., carbide, PCD). The position of all machine components (ring, robot, endeffectors) is CNC controlled and a vision system built into the outside multifunction end-effector provides the operator with visual control and enables precision position adjustments if required. The internal multifunction end-effector can be quickly decoupled from the robotic arm and replaced with the C-frame type of multifunction end-effector for shear tie-to-frame riveting operations. This multifunction end-effector locates a feature (hole or rivet) on the frame, using

908

Part F

Industrial Automation

Technical data • Typical linear assembly line • Line speed – 0.5" to 2"/min • Guidance system – optical or Hall-effect sensors

Fig. 51.21 Boeing 737 final assembly line

Part F 51.5

Ford lowered the price of a car by producing them at record-breaking rates with this new assembly process. Today almost all automobile manufacturers use moving lines to assemble their products for cost, quality, and flow time reasons. In the past 5 years some aerospace companies have moved away from traditional stationary dock assembly systems in favor of the more efficient moving assembly lines similar to those used in the automobile industry. State-of-theart examples are the Ford production line at Flatrock, MI, USA, shown in Fig. 51.20 and the Boeing 737 final assembly line in Renton, WA, USA, shown in Fig. 51.21.

Assembly Process Major sections of commercial aircraft are assembled in stationary fixtures until they are structurally stable and need little or no support from external tools. At this point the aircraft is placed on a barge or carrier and begins its final assembly as it is towed by a motorized automated tug. The tug attaches to the front of the barge and pulls it forward under the power of a motor that is computer controlled. Steering is accomplished by an optical sensor that follows a white line along the floor. Major subassemblies and components such as the landing gear, interior systems, and passenger seats are installed by mechanics as the airplane moves down the assembly line. In addition, functional testing is performed on the various systems in the airplane and the engines are attached. The use of moving assembly line can typically reduce the final assembly time by 50% and significantly reduce the number of days for this task. The assembly time reduction is due to the application of lean manufacturing techniques, which were introduced into the aerospace industry in late 1999. Moving lines help companies achieve higher efficiencies because they create a sense of urgency as well as streamlining and standardizing assembly processes to eliminate waste and nonvalue operations. Computer-controlled tugs set the pace or takt time (the manufacturing time needed to accomplish certain predetermined tasks). As the airplane moves past visible marks on the floor, teams of mechanics install prekitted parts and tools using standard processes within the allotted time so that the next team can continue adding value to the airplane as assembly progresses [51.9–15].

51.5 Concluding Remarks and Emerging Trends Aircraft fabrication and assembly technologies are undergoing significant changes driven by the need to achieve performance and economic targets and only a few predominant trends are discussed here. There is a never-ending search for higher aircraft performance, coupled with the desire to reduce the production labor content, processing time, and cost. This requires progressiveness and innovative use of exotic materials with improved specific mechanical properties (such as carbon-fiber composites), and development of more efficient fabrication and assembly technologies for metallic and nonmetallic structures. The move to more composite aircraft mitigates some typical problems with metallic parts (i. e., the shorter fatigue life and galvanic

corrosion inherent to aluminum alloys compared with equivalent composite parts). A majority of today’s manual high-level assembly operations, such as joining fuselage barrels and wing boxes, could be replaced in the future with flexible, adaptable, and affordable, semi-automated assembly systems to perform clamping of parts using electromagnets, drilling, and countersinking, and fastener installation tasks. One example offering potentially significant improvements in assembly efficiency, is the friction stir welding (FSW) process, developed for space launch vehicle fabrication (for joining Delta II and IV aluminum panels to fuel tanks). This technology, once

Aircraft Manufacturing and Assembly

to-fly ratios and manufacturing cost. This trend could evolve into growing substructures or even complete aircraft segments, eliminating all part fabrication and assembly tasks. The trend toward monolithic metallic and largescale integral composite structures will probably continue in the future, and will require the development of advanced automated fabrication and assembly systems to meet demands for improved aircraft performance at minimal cost. The current trend is to machine or fabricate components accurately with automated machines and then use accurately machined features in the detail parts as references to build larger assemblies. At some point, as the structure increases in size, it becomes cost prohibitive to use conventional automation to assemble large parts, so the fall-back position has been to join or splice larger assemblies manually. To capture the benefits of automation with larger assemblies in the future, a new generation of flexible portable automation is being developed. These lightweight portable systems use the aircraft structure as their foundation and will produce quality parts at an affordable cost.

References 51.1

51.2 51.3 51.4

51.5

51.6

51.7

51.8 51.9

51.10

C. Wick, J.T. Benedict, R.F. Veilleux: Tool and Manufacturing Engineering Handbook – Vol. 2: Forming (SME, Dearborn 1984) E.H. Zimmerman: Getting Factory Automation Right: The First Time (SME, Dearborn 2001) J.A. Schey: Introduction to Manufacturing Processes (McGraw Hill, New York 1987) M. Watts: High performance machining in aerospace, Proc. 4th Int. Conf. Metal Cutt. High Speed Mach. (Boeing, Seattle 2002) M. Watts: Evolving aerospace machining processes, 4th Int. Conf. High Speed Mach. – Ind. Tool. Conf. (Southampton 2001) L. Hefti: Innovations in fabricating superplastically formed components, First and Second Int. Symp. Superplast. Superplast. Form. Technol. (ASM, Materials Park 2003) pp. 124–130 D. Sanders: A production system using ceramic die technology for superplastic forming, Superplast. Adv. Mat. ICSAM 2003 (Trans Tech, 2004) pp. 177– 182 GEMCOR: http://www.gemcor.com (2009) PASER: Abrasive waterjet helps make composites affordable for Boeing, http://www.flowcorp.com/ waterjet-resources.cfm?id=251 (2008) Boeing completes first 787 composite fuselage section, http://www.boeing.com/companyoffices/ gallery/images/commercial/787/k63211-1.html (2005)

51.11

51.12

51.13 51.14

51.15 51.16

51.17 51.18

51.19 51.20

R.A. Kisch: Automated Fiber Placement Historical Perspective (Boeing, Seattle 2006), http://www.ingersoll.com/ind/tapelayer.htm Boeing reduces 737 airplane’s final-assembly time by 50 percent, http://www.boeing.com/news/ releases/2005/q1/nr_050127g.html (2005) T.G. Gutowski: Advanced Composites Manufacturing (Wiley, New York 1997) S. Mazumdar: Composites Manufacturing: Materials, Product, and Process Engineering (CRC Press, Boca Raton 2002) F. Campbell Jr.: Manufacturing Processes for Advanced Composites (Elsevier, Amsterdam 2004) R. Bossi, F. Iddings, G. Wheeler (Eds.): Nondestructive Testing Handbook, Vol. 4 – Radiographic Testing, 3rd edn. (American Society for Nondestructive Testing, Columbus 2002) R. Halsmshaw: Nondestructive Testing, 2nd edn. (Edward Arnold, London 1991) G.L. Workman, D. Kishoni (Eds.): Nondestructive Testing Handbook, Vol. 7 – Ultrasonic Testing, 3rd edn. (American Society for Nondestructive Testing, Columbus 2007) ASM: ASM Handbook, Vol. 21 – Composites, Quality Assurance (ASM, Metals Park 2001) J. Summerscales (Ed.): Nondestructive Testing of Fibre-Reinforced Plastics Composites, Vol. 2 (Elsevier, New York 1990), pp. 107–111

909

Part F 51

thoroughly tested and approved, can be introduced into commercial aircraft structural assembly, replacing today’s time-consuming mechanical joining techniques. The potential capability to produce high-level assemblies with composite structures helps to eliminate several lower-level assembly tasks. This has been achieved, as evidenced by the redesign of multipanel aluminum fuselage barrels into a one-piece composite barrel. Such moves call for development of innovative structural configurations, and require mastering engineering challenges associated with tooling, equipment, processes, and inspection. The emergence and growth of rapid prototyping/fabrication technology could revolutionize the fabrication/manufacturing of parts and assemblies. Parts will be grown in a system that requires only the electronic part geometry information and raw material in powder form as inputs. Parts are currently created (grown) by layered material deposition and particle fusion using lasers. These plastic net-shape, or near-net-shape, metallic parts eliminate the need for a majority of the material removal processes and reduce the material buy-

References

910

Part F

Industrial Automation

51.21

51.22

51.23

51.24

G.L. Workman: Robotics and nondestructive testing – a primer, World Conf. Nondestruct. Test. (NDT) (1985) pp. 1822–1829 P. Walkden, P. Wright, S. Melton, G. Field: Automated ultrasonic systems, World Conf. NDT (1985) pp. 1822–1829 T.S. Jones: Inspection of composites using the automated ultrasonic scanning system (AUSS), Mater. Eval. 43(6), 746–753 (1985) M.K. Reighard, T.W. Van Oordt, N.L. Wood: Rapid ultrasonic scanning of aircraft structures, Mater. Eval. 49(12), 1506–1514 (1991)

51.25

51.26

51.27

51.28

Y. Bar-Cohen, P.G. Backes: Scanning aircraft structures using open-architecture robotic crawlers as platforms with NDT boards and sensors, Mater. Eval. 57(3), 361–366 (1999) J.J. Gallar: Modular robotic manipulation in radiographic inspection, Mater. Eval. 46(11), 1397–1399 (1988) D. Mery: Automated radioscopic testing of aluminum die castings, Mater. Eval. 64(2), 135–143 (2006) ASTM: ASTM E 1025-84, Standard Practice for HoleType Image Quality Indicators Used for Radiography

Part F 51

911

Semiconducto

52. Semiconductor Manufacturing Automation

Tae-Eog Lee

52.1 Historical Background ........................... 911 52.2 Semiconductor Manufacturing Systems and Automation Requirements .............. 912 52.2.1 Wafer Fabrication and Assembly Processes .............. 912

52.2.2 Automation Requirements for Modern Fabs......................... 913 52.3 Equipment Integration Architecture and Control.......................................... 52.3.1 Tool Architectures and Operational Requirements .... 52.3.2 Tool Science: Scheduling and Control ............... 52.3.3 Control Software Architecture, Design, and Development ........... 52.4 Fab Integration Architectures and Operation ...................................... 52.4.1 Fab Architecture and Automated Material-Handling Systems ......... 52.4.2 Communication Architecture and Networking ......................... 52.4.3 Fab Control Application Integration ................................ 52.4.4 Fab Control and Management....................... 52.4.5 Other Fab Automation Technologies .............................

914 914 915 919 921 921 922 922 924 924

52.5 Conclusion ........................................... 925 References .................................................. 925

52.1 Historical Background The world semiconductor market has been growing fast and amounted to US$ 270 billion in 2007. The semiconductor manufacturing industry has kept making innovations in circuit design and manufacturing technology. Some key innovations include circuit width reductions from 1.0 μm in 1985 to 60 nm in 2005, 40 nm in 2007, and even down to 14 nm by 2020, and wafer size increase from 200 mm to 300 mm wafers, and even to 450 mm or larger in the near future. Some fabs are

producing 1 Gb random-access memory (RAM) by using 50 nm technology, which reduces the cost by about 50% compared with 60 nm technology. Such technology innovations have led to higher circuit density, increased circuit speed, and remarkable price reduction, which also have created new demand and expanded the market. In 2007, 35 new wafer fabs began to ramp up world monthly fab capacity by two million 200 mm wafers,

Part F 52

We review automation requirements and technologies for semiconductor manufacturing. We first discuss equipment integration architectures and control to meet automation requirements for modern fabs. We explain tool architectures and operational issues for modern integrated tools such as cluster tools, which combine several processing modules with wafer-handling robots. We then review recent progress in tool science for scheduling and control of integrated tools and discuss control software architecture, design, and development for integrated tools. Next, we discuss requirements and technologies in fab integration architectures and operation such as modern fab architectures and automated material-handling systems, communication architecture and networking, fab control application integration, and fab control and management.

912

Part F

Industrial Automation

that is, a 17% increase. A modern fab construction costs about US$ 2 billion. On the other hand, the semiconductor manufacturing industry has suffered strong competition due to excessive capacity. Therefore, the industry has tried to reduce costs, improve quality, and shorten the manufacturing cycle time. Automation has been the key for such manufacturing improvement and business success. Consequently, there have been many aggressive technology innovations and standardizations for fab automation. We therefore need to review those

efforts, the state of art, and the future challenges for fab automation. In this chapter, we briefly introduce semiconductor manufacturing systems and automation requirements, architecture and control for processing equipment and material-handling systems, communication architecture and networking, and software architecture for process control, equipment control, and fab-wide control. We explain academic research works as well as industrial technologies and practices.

52.2 Semiconductor Manufacturing Systems and Automation Requirements 52.2.1 Wafer Fabrication and Assembly Processes

Part F 52.2

The semiconductor manufacturing process consists of wafer fabrication and assembly. In the wafer fabrication process, multiple circuit layers (up to 30 or more) are laid out on a wafer surface through the repetition of identical sequences of process steps. Most fabrication process steps are chemical processes that oxidize a wafer surface, coat photosensitive chemicals onto the surface, expose it to a circuit image from a light source, develop and etch the circuit pattern, deposit other chemicals onto it, diffuse and implant additional chemicals on the etched pattern, and so on. Once a circuit layer is formed, the wafer reenters the fabrication line to form the next circuit layer. The total number of process steps may amount to 480 or more. A wafer has several hundreds of formed circuit devices. For strict quality control, the formed circuits are measured by metrology equipment frequently after some key process steps. Based on the metrology results, some devices in a wafer may be repaired, reworked or scrapped. Wafter yield may be rather low, especially during the ramp-up stage for the initial 3–6 months. Wafers are transported and loaded into processing tools using a carrier called a cassette or pod that loads 25 wafers. A typical fab produces 40 000 wafers each month. The fabrication cycle time is several weeks or even a few months, depending on the fab management performance. About 20 000–100 000 wafers may be in progress at any given time. Once a wafer completes the fabrication processes, devices on a wafer undergo intensive circuit tests called electronic die sorting (EDS). Depending on the test results, the devices are classified into different final products with specifications on clock speed, number of

effective transistors, and so on. A device that fails to satisfy the specification of a high-grade product is classified into a lower-grade product. Such a sorting process is also called binning. Some devices may be defective. Due to the yield problem and binning, it is difficult to predict the number of final products of each grade or type. Wafers that complete EDS are sent to an assembly or packaging plant. The fabrication processes leading to EDS and the assembly processes after EDS are called front-end and back-end processes, respectively. In the back-end processes, a wafer is sliced into individual devices. The sliced devices undergo packaging processes that include tape mounting, wire bonding, molding, and laser marking. The packaged devices take final tests, where additional binning is carried out. The back-end processes have been regarded as relatively low technology with low value added and tend to be subcontracted. However, multichip packages (MCP) that combine several chips together into a single package are becoming increasingly popular due to growing demand from the mobile-device industry. MCP or other advanced packaging technologies such as wafer-scale packaging and flip chips increase the value and importance of the back-end processes. Hence, a number of back-end processes still involve manual material handling while the front-end processes have become highly automated. Figure 52.1 summarizes the overall semiconductor manufacturing processes. A process step is performed by a number of similar or identical wafer processing tools. Due to strict quality requirements, some wafer lots should be processed only with a restricted set of tools. Different types of wafer lots flow concurrently through the fab. Therefore, the fab can be viewed as a hybrid flow shop. Reentrant job

Semiconductor Manufacturing Automation

52.2 Semiconductor Manufacturing Systems and Automation Requirements

913

Coating

Fabrication

Wafer Lithography Oxidation Developing

Front-end

EDS (Electronic die sorting)

Etch

Diffusion/implant

Deposition MCP

Assembly

256mb mobile Dram 1Gb N and flsh 256mb mobile Dram 1Gb N and flsh

Back lap Saw

Back-end Tape mount

Die attach

Cure

Plasma

Wire bonding

Mold

Assembly

Final test Fig. 52.1 Overall manufacturing processes

52.2.2 Automation Requirements for Modern Fabs There are several drivers for fab automation. The material-handling tasks in a fab are very large; for instance, a fab that processes 40 000 wafers a month requires 200 operators per shift just for moving wafer cassettes [52.1]. Therefore, automated materialhandling systems (AMHSs) are used to reduce such high human operator requirements. Other drivers for material-handling automation include prevention of human’s handling errors such as wafer dropping, and better tool utilization and reduced manufacturing cycle time by fast and reliable material transfer [52.1]. The key technological innovations in the front-end processes during the past decades are the continuing reduction of circuit features for higher density and functionality, and wafer size increase to 300 mm for higher throughput. These have led to significant fab automation. Extreme circuit shrinkage requires strict quality control and higher-class clean rooms to reduce increased risk of

particle contamination. As human operators are a significant source of particle generation, the number of operators needs to be reduced. Wafer size increase leads to significantly heavier weight of a wafer cassette beyond human operator’s adequate workload. Therefore, in recent 300 mm fabs, wafer-handling operations have been mostly automated. Control applications for equipment and AMHSs from many different vendors should be easily integrated. Design, scheduling, and control of fully automated fabs are highly complicated and require new concepts and ideas (Fig. 52.2). Traditionally, wafers in a cassette have been processed in batch mode for most chemical processes such as etching, deposition, etc. However, as the wafer size increases and quality requirements become stricter due to circuit shrinkage, it becomes difficult to control gas or chemical diffusion on all wafer surfaces within a large processing chamber to be uniform enough for strict quality requirements. Therefore, single-wafer processing (SWP) technology that processes wafers one by one has been extensively introduced for most processes. In order to reduce excessive moving tasks between SWP chambers, several SWP chambers are integrated within a closed environment together with a wafer-handling robot. Such a system is called cluster tools. An integrated system of SWP chambers with multiple handling robots is often called a track equipment or track system. It can be considered as a combination of multiple cluster tools. Cluster tools or track equipment have been

Part F 52.2

flows for processing multiple circuit layers and random yield make planning and scheduling complicated. A fab consists of several hundreds of processing and inspection tools. The tools are grouped into bays, where each bay consists of 10–20 processing tools. Each bay has a stocker, where wafer cassettes are waiting for processing or moving to the next bay.

914

Part F

Industrial Automation

Traditional clean room Manufacturing execution FMCS and HVAC systems

Minienvironment clean room

Life safety

Water treatment

Class 100 area

Cassette handling system

Air Handling

Office & business systems

Minienvironment class 1

Electronic assembly • Printed circuit boards Oxidation

CVD/PVD

Minienvironment class 1

• PC workstations • Consumer electronic products

Ion implant photo litho

Vertical furnace

Minienvironment class 1

Shipping

• Medical equipment • Test and measurement equipment

Test

Engineering systems

Dice

Wafer probe

Package

Device attach/bond

Stocker

Final test Warehouse and material handling systems

Chase

Chemical distribution system

• Automobile electronic systems

Etch

Gas dispensing system

The open controller and PLC and SLC controllers Networks (Ethernet, DeviceNet, Remote I/O, Data Highway Plus, ControlNet)

Process tool

Reliance electric & Allen Bradley drives and motors and Rockwell Automation drive systems Power monitoring software, RSView32; Programming Packages (RSQuality, RSTrend, RSRecipe)

Process tool

Process tool

Operator interface Industrial control (Push buttons, pilot lights, terminal blocks, relays, contactors, switches)

Fig. 52.2 Semiconductor fabrication clean rooms (courtesy of Rockwell Automation, Inc.)

Part F 52.3

increasingly used for most processes. Due to the internal complexity and restrictions, they pose scheduling and control challenges. First, their operations should be optimized to maximize throughput. Second, wafer delays within a processing chamber after processing should be controlled because residual gases and heat affect wafer quality significantly. Third, the tool controller should be reliable and easily adaptable for different tool configurations and changing wafer flow patterns or recipes. Scheduling and control, and tool application integration are not trivial.

Another important issue for fab automation is standardization for reducing integration effort and performance risk. Semiconductor Equipment and Material International (SEMI), an international organization, has developed extensive standards on architectural and interface standards of material-handling hardware, communication, and control software for fab automation. The standards themselves are based on state-of-the-art automation technologies; however, they should be continuously improved for higher operational goals and changing automation requirements.

52.3 Equipment Integration Architecture and Control 52.3.1 Tool Architectures and Operational Requirements In a cluster tool, there is no intermediate buffer between the process modules (PMs). A wafer, once unloaded from a loadlock, can return to the loadlock only after it completes all required process steps and is often cooled down at a cooler module, if any. This is because a hot wafer returned to the wafer cassette at the loadlock may damage other wafers there and a hot wafer in progress should not be excessively cooled down before processing at the next PM. A wafer loaded into a PM immediately starts processing since the PM’s chamber already has gases and heat. There are different cluster tool architectures, as illustrated in Fig. 52.3. Most tools have radial configurations of chambers, where robot move times between chambers are minimized. Linear

configurations are also considered to add or remove chambers flexibly. The robot has a single arm or dual arms. The dual arms keep opposite positions. Dualarmed tools are known to have higher throughput than single-armed tools [52.2]. There are also tools with intermediate vacuuming buffers between chambers and loadlocks [52.3] in order to save vacuuming and venting times at the chambers. Some new cluster tools use multiple wafer slots in a chamber in order to improve throughput above that of SWP tools by processing several wafers together [52.4]. However, those new tool architectures tend to increase scheduling complexity significantly. Track equipment or systems are also widely used for integrating several process steps. Photolithography processes use track systems that supply steppers with wafers coated with photosensitive chemicals and de-

Semiconductor Manufacturing Automation

a)

52.3 Equipment Integration Architecture and Control

b) Single-slot chamber

915

c) Multi-slot chamber

Vacuuming and pumping buffer Loadlock

Fig. 52.3a–c Tool architectures: (a) single-slot cluster tool, (b) multi-slot cluster tool, (c) tool with intermediate buffers

5 bakers

5 hot plates

5 hot plates

10 cool plates Buffer

Optical 5 bakers edge bead removers

Robot

CPx

Buffer

Robot Robot

Robot

Robot Buffer

Loadlocks

Module 10 coaters electronics

10 developers

Module electronics

Interface to steppers

Fig. 52.4 A track system

abnormal process conditions. A wafer alignment task, which correctly locates a wafer unloaded from a loadlock onto a robot arm by using a laser pointing system, sometimes fails and needs to be retried. Integrated tools mostly limit intermediate buffers. Therefore, blocking and waiting are common and even deadlocks can occur. Reentrance, wafer delays, cleaning cycles, and uncertainty all increase scheduling complexity significantly. Tool productivity by intelligent scheduling and control is critical for maximizing fab productivity and even affects wafer quality significantly.

52.3.2 Tool Science: Scheduling and Control Scheduling Strategies There can be alternative scheduling strategies for cluster tools. First, a dispatching rule determines the next robot task depending on the tool state. It can be considered dynamic and real time. However, it is hard to optimize the rule. We are only able to compare per-

Part F 52.3

velop the circuit patterns on the wafers that are formed by exposures to circuit pattern picture images at the steppers. Process modules for coating and developing, and accompanying baking and cooling modules are combined into a track tool with several robots, as illustrated in Fig. 52.4. Each process step has five to ten parallel modules [52.5, 6]. An automated wet station also has a series of chemical and rinsing baths for cleaning wafer surfaces, which are combined by several robots moving on a rail [52.7]. Recently, EDS processes for testing devices on wafers are automated to form a kind of track system. A number of testing tools for wafer burn-in (WBI) test, hot pretest, cold pretest, laser repair, and posttest are configured in series–parallel by several robots moving on a rail. EDS systems and wet stations can process several different wafers concurrently while most cluster tools or track tools for coating and developing repeatedly process identical wafers. Wafers mostly go through a sequence of process steps in series. For some processes, wafers visit some process steps again; for instance, unlike conventional chemical vapor deposition, atomic-layer deposition process controls the deposition thickness by repeating extremely thin deposition multiple times. Therefore, a wafer reenters the chambers many times. In track systems, wafer reentrance can be achieved, depending on the chamber configuration and process recipe. In some processes, a chamber should be cleaned after a specified number of wafers have been processed or when sensors within the chamber detect significant contamination. If a wafer remains in a chamber after processing, this can lead to quality problems. This idle time, called wafer delay, must be bounded, reduced or regulated. Process times or tasks times are rather constant, but can be subject to random variation, mostly within a few percent. There can be exceptional delay, even if only rare, due to

916

Part F

Industrial Automation

Part F 52.3

formances of heuristically designed dispatching rules by computer simulation. Second, a schedule can be determined in advance. This method can optimize performance if a proper scheduling model can be defined. When there is a significant change in the tool situation, rescheduling is done. Cyclic scheduling makes each robot and each processing chamber repeat identical work cycles [52.7, 8]. Once the robot task sequence is determined, all work cycles are determined. Most academic works on cluster tool scheduling consider cyclic scheduling. Cyclic scheduling has merits such as reduced scheduling complexity, predictable behavior, improved throughput, steady or periodical timing patterns, and regulated or bounded task delays or wafer delays and work in progress [52.7–10]. In cyclic scheduling, the timings of tasks can be controlled in real time while the sequence or work cycle is predetermined. A cluster tool that repeats identical work cycles can be formally modeled and analyzed by a timed event graph (TEG), a class of Petri nets [52.12]. Transitions, places, arcs, and tokens usually represent activities or events, conditions or activities, precedence relations between transitions and places, and entities or conditions, respectively. They are represented graphically by rectangles, circles, arrows, and dots, respectively. Figure 52.5 is an example of a TEG model for cluster tools. Once a TEG model is made, the tool cycle time, the optimal robot task sequence, the wafer delays, and the optimal timing schedules can be systematically identi-

Schedule Quality For a cluster tool with a given cyclic sequence, there can be different classes of schedules, each of which corresponds to a firing schedule of the TEG model. A periodic schedule repeats an identical timing pattern for each d work cycles. When d = 1, the schedule is called steady. In a steady schedule, task delays such as wafer delays are all constant. In a d-periodic schedule, the wafer delays have d different values, while the average is the same as that of a steady schedule. The period d is determined from the TEG model. A schedule that starts each task as soon as the pre-

P15 T2

T3

P3

P16

Unloading

P2

where pi , m i , u, l, v, and n are the process time of process step i, the number of parallel chambers for process step i, the unloading time, the loading time, the move time between the chambers, and the number of process steps, respectively [52.13].

Chamber 1 available

Loading

Unloading from LL

P1

Unloading complete robot move

T1

fied [52.7, 9, 10]; for instance, the tool cycle time is the maximum of the circuit ratios in the TEG model, where the circuit ratio of a circuit is the ratio of the sum of the total times in the circuit to the number of the tokens in the circuit. For instance, the cycle time of a dual-armed cluster tool can be derived from the ratio as  pi + 2u + 2l + 3v , max max i=1,...,n mi  (n + 1)(u + l + 2v) ,

T4

P4

T5

P5

T6

P6

T7

P7

P18 P17

T14

T13

P14

T12

P13

T11

P12

T10

P11

T9

P10

Fig. 52.5 A timed event graph model for a dual-armed cluster tool [52.11]

T8

P9

P8

Semiconductor Manufacturing Automation

52.3 Equipment Integration Architecture and Control

a)

917

Waver delay Robot v r PM1 PM2 PM3 PM4 PM5

u v s v s v r

u v s v s v r

τ1

u v s v s v r

u v s v s v r

τ1

u v s τ1

τ1

τ1 τ1

τ2

τ1 τ2

τ2 τ2

τ2

r

τ2

r+1

r+2

r+3

Periodicity = 1

b) Robot v τ1 PM1 PM2 PM3 τ2 PM4 PM5

s v s v r u v s v s v r u v s v τ1 τ1 τ1 τ2 τ2

r

s v r v r

s v s v r u v s v s v τ1 τ1

τ1 τ1 τ2

τ2

τ2

τ2

r+1

r+2

r+3

Periodicity = 3

c) s v s v r u v

s v s v r u v

s v

s v r u v

s v s v r u v τ1

τ1 τ1

s

τ1 τ1

τ1 τ2

τ2 τ2

τ2

r

r+1

τ2

r+2

r+3

Fig. 52.6a–c Examples of schedules. (a) Steady schedule: a SESS, (b) 3-periodic schedule, (c) irregular schedule

ceding ones complete is called earliest. An earliest schedule can be generated by the earliest firing rule of the TEG model that fires each transition as soon as it is enabled. In other words, an earliest starting schedule need not be generated and stored in advance. The TEG model with the earliest firing rule can be used as a real-time scheduler or controller for the tool. Therefore, an earliest schedule can be implemented by an event-based control, which initiates a task when an appropriate event, for instance, a task completion, occurs. Therefore, an earliest starting schedule based on such event-based control has merits. First, potential logical errors due to message sequence changes can be prevented. When a tool is controlled by a predetermined timing schedule, communication or computing delays may cause a change in a message sequence and a critical logical error; for instance, a robot may try to unload a wafer at a chamber before processing at the chamber has been completed and hence when the wafer slot is still closed. Second, the earliest schedule minimizes the average tool cycle time, which is the same as the

maximum circuit ratio of the TEG model. Therefore, the most desirable schedule is a steady and earliest starting schedule (SESS). For a cluster tool with cyclic operation, there always exists a SESS. Figure 52.6a is an example of SESS for the TEG model. A SESS can be computed in advance using the max-plus algebra or a kind of longest-path algorithm [52.9] and implemented by an event-based controller based on the TEG model [52.10, 13]. Controlling Wafer Delays When a tool has a strict constraint on the maximum wafer delay, as in low-pressure chemical vapor deposition, coating processes or chemical cleaning processes, it is important to know whether there exists a feasible schedule that satisfies the constraint. There have been works on the schedulability of a cluster tool, that is, the existence of a feasible SESS [52.11, 14]. Lee and Park [52.14] propose a necessary and sufficient condition for schedulability, that is, the existence of a feasible SESS, based on circuits in an extended

Part F 52.3

Robot u v τ1 PM1 PM2 PM3 τ2 PM4 PM5

918

Part F

Industrial Automation

Part F 52.3

version of TEG called negative event graph, which models the time-window constraints on wafer delays by negative places and tokens. In fact, schedulability can also be verified by the existence of a feasible solution in an associated linear program. However, the necessary sufficient condition identifies why the time constraints are violated, and often gives a closed-form schedulability condition based on the scheduling parameters such as the process times, the robot task times, and the number of parallel chambers for each process step. Most schedulability analyses assume deterministic process and task times. When a cluster tool is operated by a SESS, the wafer delays are kept constant. However, in reality, there can be sporadic random disruptions such as wafer alignment failures and retrials or exceptional process times. In this case, the schedule is disturbed to a non-SESS, in which the wafer delays fluctuate and may exceed the specified limits. However, there are regulating methods that quickly restore a disrupted schedule. Kim and Lee [52.15] propose a schedule stability condition for which a disrupted earliest firing schedule of a TEG or a cluster tool converges to the original SESS regardless of the disruptions, and a simple way of enforcing such stability by adding an appropriate delay to some selected tasks. Therefore, we can regulate wafer delays to be constant. Such a stability control method has been proven to be effective even when there are persistent time variations of a few percent [52.15]. Even when the process times or the robot task times vary significantly, but only if they are within a bounded range, schedulability against wafer delay constraints can be verified by an efficient algorithm on an associated graph [52.15]. When the initial timings are not appropriately controlled or a SESS is disrupted, the earliest schedule converges to a periodic schedule whose period is determined from the TEG. Therefore, the wafer delays can be much larger than the constant value for a SESS. For a given wafer delay constraint, even if the schedulability condition is satisfied, that is, a feasible SESS exists, a periodic schedule may have wafer delays that exceed the limit. Therefore, we are concerned with whether such a periodic schedule with fluctuating wafer delays can satisfy the wafer delay constraint. Lee et al. [52.10] proposed a systematic method for identifying exact values of task delays of a TEG or wafer delays of a cluster tool for each type of schedule: steady or periodic, earliest or not. From the method, the schedulability of periodic schedules, which occurs when timings are not well controlled, can be verified.

Workload Balancing for Tools In a traditional flow line or shop, the workload of a process step is the sum of the process times of all jobs for the step. The bottleneck is the process step with the maximum workload. Imbalance in the workloads of the process steps causes waiting of jobs or work in progress before the bottleneck. However, in automated manufacturing systems such as cluster tools, the workload is not easy to define because the material-handling system interferes with the job processing cycle. To generalize the workload definition, we can define the generalized workload for a resource as the circuit ratio for the circuit in the TEG that corresponds to the work cycle of the resource [52.10, 16]; for instance, the workload for a chamber at process step i with m i parallel chambers in a single-armed tool is ( pi + 2l + 2u + 3v)/m i , because each work cycle of a chamber requires a wafer processing ( pi ), two loading tasks (2l), two unloading tasks (2u), and three robot moves (3v). A robot has workload (n + 1)(u + l + 2v), the sum of all robot task times. Therefore, the overall tool cycle time is determined by the bottleneck resource as  pk + 2l + 2u + 3v , max max k=1,2,...,n mk  (n + 1)(u + l + 2v) .

Imbalance between the workloads or circuit ratios causes task delays such as wafer delays. In a singlearmed tool, the workload imbalance between process step i’s cycle and the whole tool cycle is  pk + 2l + 2u + 3v , max max k=1,2,...,n mk  ( pi + 2l + 2u + 3v) . (n + 1)(u + l + 2v) − mi Notice that each chamber at process step i has cycle time ( pi + 2l + 2u + 3v), while the overall cycle time at the process step is ( pi + 2l + 2u + 3v)/m i . Therefore, the delay in each cycle of a chamber at process step i is m i times as long as the workload imbalance at the process step. Consequently, the average wafer delay at a chamber at process step i is [52.10]  pk + 2l + 2u + 3v , m i max max k=1,2,...,n mk  (n + 1)(u + l + 2v) −( pi + 2l + 2u + 3v) . We note from the well-known queueing formula, Little’s law, that the average delay is proportional to the

Semiconductor Manufacturing Automation

average work in progress. In a cluster tool, wafer delays are more important than the number of waiting wafers because of extreme limitation on the wafer waiting space. Wafer delays can be reduced or eliminated by balancing the circuit ratios. Such generalized workload balancing can be done by adding parallel chambers to a bottleneck process step, accommodating the process times within technologically feasible ranges or intentionally delaying some robot tasks [52.10, 16]. Lee et al. [52.10, 16] proposed a linear programming model that optimizes such workload balancing decisions under given restrictions. Workload balancing is essential for cluster tool engineering.

52.3.3 Control Software Architecture, Design, and Development In a cluster tool, each processing module or chamber is controlled by a process module controller (PMC). The robot, loadlocks, and slot valves at the chamber are controlled by a transport module controller (TMC). A module controller receives data from the sensors in a chamber, and issues control commands to the actuators such as gas valves, pumps, and heaters. The module controllers use bus-type control networks called fieldbuses such as process field bus–decentralized pe-

ripherals (PROFIBUS-DP) and control area networks (CANs) for communication and control with sensors and actuators. The module controllers are also coordinated by a system controller, called the cluster tool controller (CTC). A CTC has a module manager and a real-time scheduler. A module manager receives essential event messages from the PMCs, manages the states of the process modules, and sends the PMCs detailed control commands to perform a scheduling command from the scheduler. Communication between the PMCs, TMC, and the CTC usually uses transmission control protocol/Internet protocol (TCP/IP) based on Ethernet because they are well-known and accepted universal standards. A real-time scheduler monitors the key events from each PMC and the TMC through the module manager. The events include starts and completions of wafer processing or robot tasks, which are essential for scheduling. Then, the scheduler determines the states of the modules and scheduling decisions as specified by the scheduling logic or rules, and issues the scheduling commands to the module manager. Since the wafer flow pattern can change, the scheduling logic should be easily changed without much programming work. The modules are often configured by a tool vendor to fulfill a specific cluster tool order. For large liquid-crystal display (LCD) fabrication, the modules are often integrated at a fab to assemble a large-scale cluster tool. Therefore, the scheduler should implement the scheduling logic in a modular way for flexibility when changing logic. To do this, the scheduling logic can be implemented by an extended finite state machine (EFSM) [52.13]. An EFSM models state change of each module and embeds a short programming code for the scheduling logic or procedure. The scheduling logic also includes procedures for handling exceptions such as wafer alignment failures, processing chamber failures, robot arm failures, etc. Figure 52.7 illustrates a typical architecture for communication and control in a cluster tool. A track system has a similar communication and control architecture. A SEMI standard, cluster tool module communication (CTMC), specifies a model of distributed application objects for module controllers and a CTC, and a messaging standard between the objects [52.20]. Lee et al. [52.21] also propose an object-oriented application integration framework based on a high-level fieldbus communication protocol and service standard, PROFIBUS-field message specification (FMS), which defines a messaging standard between manufacturing equipment based on their object models. They sug-

919

Part F 52.3

Additional Works Cluster tools with cleaning cycles, multi-slots, and reentrance present more challenging scheduling problems. There are some works on using cyclic scheduling for these problems [52.4, 17, 18]. For a tool controlled by a dispatching rule, we cannot optimize the rule and identify or control wafer delays. Wafer delays are unexpected and can be excessively long. Nonetheless, dispatching rules are inevitable when the scheduling problem is too complex or involves uncontrollable significant uncertainty. Reentrance, cleaning cycles, and multi-slots contribute significantly to scheduling complexity. In general, process times and robot task times in cluster tools and track equipment are relatively well regulated and have variations within a few percent, because most processes are designed to terminate within a specified time. However, modern adaptive process control that adapts process control parameters based on real-time sensor information may cause significant time variation. Cleaning based on chamber conditions may occur randomly and hence increase uncertainty significantly. There are some works on dispatching rules for cluster tools with cleaning and multi-slots [52.19].

52.3 Equipment Integration Architecture and Control

920

Part F

Industrial Automation

Job edit Receipe edit Alarm manipulation IO value setting

User interface

CTC

PM1 FSM

PM2 FSM

Lot manipulation

Lot controller

Robot FSM Process module Job manipulation Task command scheduling Exceptional handling Event reporting Exceptional handling

Scheduler Events/ messages

Module manager

Clean Process

Preparechamber

Ready

Preparewafer

Prepare Completewafer

MC level task decomposition MC coordination

Disabled

Loadlock Preparechamber

Slot valve

Unload Ready Ready

Preparewafer

TMC PMC

Load Completewafer

Open

Disabled

Robot arm

Chuck Ready

Prepare

Part F 52.3

Process Mechanical

Closed Disabled

Loadlock Slot valve Robot arm

Pick

Ready Disabled

Place

Pumpdown

Pumpup Disabled

Fig. 52.7 A cluster tool controller architecture [52.22]

gest that some object models in CTMC, which were defined based on a traditional object model for materialhandling systems, need to be modified to handle the robot tasks in a cluster tool. Each time a new cluster tool is developed, the scheduling logic and a CTC application should be integrated and extensively tested. However, tool testing and verification involve difficulties. First, a real tool is expensive and hence cannot be tied up for extensive testing. Second, testing with a real tool can be hazardous due to mechanical or space restrictions. Third, since the dynamics of a real cluster tool is slow, it takes significant time to test the system. Finally, it is often difficult to recognize subtle logical errors by observing operational behavior of a real tool. Therefore, the CTC and scheduler need to be tested in a virtual environment such as a virtual cluster tool (VCT), in which the process modules and the transport modules are replaced by their emulators [52.22]. The emulators receive control commands from the scheduler through the module man-

ager and/or the module controllers, and create messages for events such as process completions or robot task completions at appropriate times. The process times can be accelerated for initial rough-cut testing. Tool engineers examine the sequence of the events generated at the CTC or module controllers, and detect an anomaly. Such verification takes several days or weeks and is tedious. Some errors are hard to recognize and are often missed. Joo and Lee [52.22] propose the use of event sequence finite state machines for automatic error detection, which is basically identical to a finite state machine except that, when an event other than allowed ones at a state occurs, an error is assumed. They detected several unexpected logical errors, including logical errors caused by message sequence changes due to communication delay. Most tool simulators, such as ToolSim by Brooks Automation, focus on performance evaluation of a configured tool rather than high-fidelity modeling and verification of tool operation and messaging between a CTC and module controllers.

Semiconductor Manufacturing Automation

52.4 Fab Integration Architectures and Operation

921

52.4 Fab Integration Architectures and Operation 52.4.1 Fab Architecture and Automated Material-Handling Systems

Equipment

Stocker Empty OHT Loaded OHT

Fig. 52.8 An overhead transport system

Interbay loop

Intrabay loop

Part F 52.4

In modern 300 mm fabs, wafer cassette-handling tasks for interbay moves as well as intrabay ones are automated. In order to save the footprint and secure human operator access for equipment maintenance or exception handling, overhead transport (OHT) systems are mostly used. Traditional automated guided vehicle (AGV) or rail-guided vehicle (RGV) systems have been replaced by OHTs. In order to reduce particle contamination risk, tasks of loading and unloading wafer at tools are automated by using a new wafer carrier, the front open unified pod (FOUP), and a standard mechanical interface (SMIF). Processing tools are often enclosed in a minienvironment with extreme cleanness. Design and operation of the architecture and AMHSs of such fully automated fabs should be optimized to maximize throughput and reduce the cycle time while minimizing capital investment. An AMHS itself can be a bottleneck due to a limited number of vehicles and congestion on transport rails. Transport routes are not so flexible and should be considered as a limited resource. Therefore, in some 300 mm fabs, even critical metrology steps are skipped in order to reduce excessive vehicle traffic and the cycle time. Scheduling and dispatching systems are not yet well designed to handle such fully automated fabs. Control software such as manufacturing execution systems (MESs), material control systems (MCSs), equipment controllers, and schedulers as well as the AMHS architecture are

not yet as intelligent and flexible as human operators, who make adaptive and intelligent decisions depending on the situation. There still remain many challenges to smart and efficient fully automated fabs. Figure 52.8 illustrates a typical OHT system layout, which consists of intrabay and interbay loops. There are works on optimal design of OHT networks, optimal number of OHTs, and performance analysis [52.23, 24]. Automated material-handling systems mostly have limited handling capacity and flexibility due to restricted paths and limited number of vehicles. Therefore, stockers or waiting places have been mandatory solutions for such problems. Stocking wafer cassettes at a bay involves significant delay due to prior waiting cassettes and handling operations. Therefore, in some 300 mm fabs, a desire to minimize the delivery cycle time led to attempts to combine several bays into a larger cell by eliminating bay-stockers in order to enforce direct delivery. However, this may cause significant OHT congestion and blocking, and hence throughput degradation. Nonetheless, direct delivery is one of the key technological challenges for nextgeneration 450 mm fabs [52.25]. To achieve the goal of direct delivery, we need quite different architectures of fabs and material-transfer systems. A solution might be to mimic a transfer line or a conveyor system, where wafer cassettes go through a significant number of process tools without intermediate stocking. Such a system is called an inline system. One of the most serious disadvantages of inline systems is lack of flexibility. In future fabs, lot sizes will continue to shrink. Therefore, con-

922

Part F

Industrial Automation

Part F 52.4

flicting goals of flexibility and direct delivery should be resolved. LCD fabs, for which material transfer has been fully automated from the early stage due to manual handling difficulties, tend to introduce inline systems for more process steps as the panel size increases continually. A future 450 mm fab may also resemble an LCD line [52.25]. Stocker racks may be extensively located in parallel to the inline system [52.25]. Several alternatives for future fab and material-handling system architectures are now being discussed [52.26]. Traditionally, AMHSs have been scheduled and controlled separately from job scheduling. That is, wafer processing jobs are scheduled disregarding the limited capacity of the AMHS, and then materialtransfer tasks, which are requested from the job schedule executor such as a real-time dispatcher, are separately planned and controlled by a material control system (MCS), that is, the AMHS controller. However, such decoupling is not so effective for modern integrated systems where job scheduling is significantly restricted by the AMHS, and vice versa. Interaction between job schedules and material transfer control should be considered, or they should be simultaneously scheduled as in cluster tools. MCSs have been engineered by AMHS vendors and are managed by automation engineers in fabs. However, job scheduling has been done by production management or control staffs. In the future, the two staff groups should better collaborate to tightly couple job scheduling and AMHS control. As fab technologies evolve, material-handling requirements become more challenging. SEMI has updated a roadmap for AMHSs for future fabs [52.27].

ERP MES Open object interface framework (CORBA/DCOM/OPC-based application object interface)

MMI (man–machine interface) Control logic

GEM SECS-II

MMI (man–machine interface) Control logic

GEM SECS-II

UI (user interface) Cell control logic VFEI (virtual factory equipment interfaces) SECS-II

HW drivers

HSMS (TCP/IP)

HW drivers

SECS-I (RS232)

MES library

SECS-I HSMS (RS232) (TCP/IP)

Equipment controller Equipment controller Cell/system controller

Fig. 52.9 Communication architecture for fab automation

52.4.2 Communication Architecture and Networking SEMI communication standards have been widely used in fabs to reduce system integration efforts [52.28]. While old tools are connected only by RS-232 ports, modern tools have Ethernet connections. The semiconductor equipment communication standard I (SECS-I) and high-speed message standard (HSMS) define data standards on RS-232-based serial communication and TCP/IP communication over Ethernet connection, respectively. SECS-II defines messaging standards. The generic equipment model (GEM) and virtual factory equipment interfaces (VFEI) are object-based application interface standards for equipments and factory control applications, respectively. The overall communication architecture is summarized in Fig. 52.9. AMHSs use fieldbus or control networks, either open or proprietary. As advanced process control (APC) technology for real-time process sensing and real-time adaptive control becomes widespread, there is increasing demand on high-speed real-time communication technology, beyond the current communication architecture, in order to process massive process sensing data in real time.

52.4.3 Fab Control Application Integration The most critical application for factory integration is a manufacturing execution system (MES). Its basic functions are to monitor equipment, send recipes, and keep track of wafers or other auxiliary materials such as photomasks. Quality monitoring and scheduling functions tend to be performed by separate applications from specialized vendors. MES applications should be easily and reliably integrated with equipment control applications. Traditionally, MESs used middleware based on message queueing to reliably process massive event messages from many equipments. No messages should be lost and the response time should be controlled. Therefore, such messages from many different tools are queued and the message queues are served by reasonable queueing or service policies for load balancing and response time control. Such message-based communication and integration require significant application work to integrate MES applications with equipment control applications. An application designer should understand all low-level messages and their required sequence for logical interaction between the MES and equipment controllers. Debugging, verification, and modification

Semiconductor Manufacturing Automation

MES

tem’s NanoMES. Figure 52.10 illustrates object-based interaction. Recently, the service-oriented architecture (SOA) has been increasingly popular for business and enterprise applications [52.29]. Business processes tend to change frequently to cope with business requirement changes, and to be distributed over the Internet. Therefore, more flexibly composable services are defined and called as needed to form a new business process. Objects are considered to have too small granularity to be used for business processes [52.29]. Further, distributed objects technology such as CORBA and the distributed component object model (DCOM) are not easy standards to work with, because it is difficult to integrate object applications that were developed by different people at different places on different platforms at different times. Furthermore, CORBA and DCOM are not widely understood by software engineers and control and automation engineers. Web services have been open standards for easily integrating applications distributed on the Internet by using extensible markup language (XML)-based open standards such as simple object access protocol (SOAP), web services description languages (WSDLs), and universal description, discovery, and integration (UDDI), and standard web protocols such as XML, hypertext transfer protocol (HTTP), and transmission control protocol/Internet

Application components/objects Machine

Machine module

Machine port

Basic components/ objects ...

CORBA OBEM

OBEM

Equipment control applications

User interface

OBEM/CORBA

Intermediate components Physical equipment

Equipment resource

OBEM (Object based equipment model)

Material location

Process capability

Equipment resource

Part location

Clock

Equipment resource

Carrier part

Fig. 52.10 Object-based interaction for MES and equipment control applications

923

Part F 52.4

are not easy. An alternative approach is objectbased application integration. Each equipment and an MES application have a model of constituent objects, which specify the functions and informational states. Then, interactions between an MES and equipment are implemented by method calls or service requests between their corresponding objects. The common object request broker architecture (CORBA) is a middleware solution for facilitating application integration and interaction between such distributed objects and managing objects and services. MES application designers can conveniently make use of the highlevel services of the objects in equipment control applications as well as common MES application objects. Detailed messaging sequences are handled by the methods of the objects that provide the relevant services. SEMI proposed an object-based MES application design standard, called the computer-integrated manufacturing (CIM) framework. SEMI also developed a standard object model for control applications of process equipment, called the object-based equipment model (OBEM). There have been concerns about whether CORBA can work reliably and fast enough for modern fab environments that generate massive amounts of real-time data. However, MES vendors have successfully implemented CORBA-based MES solutions, for example, IBM’s SiView and AIM Sys-

52.4 Fab Integration Architectures and Operation

924

Part F

Industrial Automation

protocol (TCP/IP). Therefore, SOA based on web services can provide open standards for easily integrating distributed factory applications with proper granularity. Therefore, some fabs or vendors for MESs or fab management applications are also now considering SOA-based design. However, it should be studied more whether SOA really makes sense for factory applications in terms of reliability and real-time performance.

52.4.4 Fab Control and Management

Part F 52.4

Fab operation is highly complicated due to the complex process steps and the massive number of lots in progress. One of the most crucial fab control applications is a real-time dispatcher that keeps track of the lots and equipment states, and determines which lots will be processed at which tools. It uses dispatching or scheduling rules that are proven to be effective for fab operation. The rules may be developed and tested for each fab through extensive simulation in advance. The essential function of a dispatcher is to process massive amounts of job and equipment data reliably and quickly, and compute a dispatch list quickly. A dispatcher sends a scheduling command to the MCS and the process equipment directly in an automated fab, whereas in a manual fab human operators perform the job of loading tasks as specified in the dispatch list. ERP APS system

Demand planning Order promising/ order management

(Advanced planning & scheduling)

Master planning

Production planning Scheduler/dispatcher

Factory operating system Equipment management system (EEES, e-Diagnostics, ...)

MES (Manufacturing execution system) – WIP tracking, equipment monitoring, command/control

Quality/yield management system (SPC, APC, ...)

Middleware – Transaction processing, event handling, recovery

Communication network

Integrated equipment controller

Equipment controller

AMHS controller

Fig. 52.11 A fab control system architecture (EEES – engineering equity extension service, SPC – statistical process control)

An alternative scheduling approach to the dispatching rules is to have a separate scheduler that determines an appropriate work-in-progress level for each process step by using a dynamic lot flow model and then determines an optimal schedule for each process step separately under the restriction of the ready times and the due dates that are imposed by the schedule of other process steps. Frequent rescheduling is needed to cope with changes in fabs. Even in this case, the dispatcher retains the basic functions except for the scheduling function, and may change the schedule from the scheduler by local rules depending on the fab state. This approach has potential for further improving fab performance. However, there should be more experimental studies on which approach is more effective for different fab management environments. A production planning system or supply-chain planning system determines daily production requirements for key process stages to meet order due dates or demand forecasts while minimizing inventory level. The system also considers binning due to random yields and capacity constraints. Other important fab control applications include yield management systems and advanced planning and scheduling (APS) systems. An overall fab control application architecture is summarized in Fig. 52.11. In spite of extensive literature on fab scheduling, control, and management, there still remain many issues, including how the dispatching and scheduling systems, and scheduling rules should be developed to fulfill complex scheduling requirements for fully automated 300 mm fabs or future 450 mm fabs, in which AMHSs will be more strongly coupled with job scheduling for direct delivery, and lot definition and job flows will change significantly.

52.4.5 Other Fab Automation Technologies Fab automation aims at an autonomous factory that reliably and intelligently produces high-quality wafers. As quality requirements have become stricter and the cost of attaining this quality has increased, fabs have developed quality-sensitive automation technologies. Advanced process control (APC) technology includes fault detection and classification (FDC) and run-to-run (R2R) control [52.30]. FDC makes use of statistical methods such as multivariate analysis, or intelligent computing or data-mining technologies such as neural networks or rules, in order to detect early any anomaly in process control that will cause significant quality problems, classify the prob-

Semiconductor Manufacturing Automation

lems, and report them to the quality engineers. R2R control intelligently adapts process control parameters based on in situ measurements from process sensors. The response models between the measurement and control parameters are dynamic, nonlinear, multipleinput multiple-output (MIMO), and uncertain [52.30]. Therefore, advanced stochastic or statistical functional models and algorithms, or neural networks are used. An equipment engineering system (EES) is for tool vendors to remotely monitor process control of tools at fabs and tune process parameters. It is intended to reduce the initial ramp-up period and cost. Tool

References

925

vendors cannot keep high-class engineers at customer sites for long periods, for instance, even more than 6 months. Another automation technology for quality is e-Diagnostics, which enables tool vendors in remote locations to detect an anomaly in tools in production at fabs quickly. It can prevent or reduce production of defective wafers and reduce the lead time to dispatch tool engineers to customer sites. Tool vendors and SEMI have developed EES and eDiagnostics technologies and standards, including data standards, security control, remote control or manipulation, etc. [52.31].

52.5 Conclusion Semiconductor manufacturing fabs have extensively developed and implemented state-of-the-art industrial automation technologies. We have briefly reviewed them in this Chapter. There remain many challenges for the future, such as for 450 mm fabs. Future fabs for manufacturing nanodevices may require quite

new concepts of equipment and material handling, and hence new automation technologies. Concepts, technologies, and practices of semiconductor manufacturing automation can give insights into automation of other manufacturing industries or service systems.

52.1

52.2

52.3

52.4

52.5

52.6

52.7

C. Haris: Automated material handling system. In: Semiconductor Manufacturing Handbook, ed. by H. Geng (McGraw-Hill, New York 2005) pp. 32.1– 32.11 S. Venkatesh, R. Davenport, P. Foxhoven, J. Nulman: A steady-state throughput analysis of cluster tools: Dual-blade versus single-blade robots, IEEE Trans. Semicond. Manuf. 10(4), 418–424 (1997) J.-H. Paek, T.-E. Lee: Operating strategies of cluster tools with intermediate buffers, Proc. 7th Annu. Int. Conf. Ind. Eng. (2002) pp. 1–5 C. Jung: Stedy State Scheduling and Modeling of Multi-Slot Cluster Tools. M. Sc. Thesis (Department of Industrial Engineering, KAIST 2006) H.L. Oh: Conflict resolving algorithm to improve productivity in single-wafer processing, Proc. Int. Conf. Model. Anal. Semicond. Manuf. (MASM) (2000) pp. 55–60 H.J. Yoon, D.Y. Lee: Real-time scheduling of wafer fabrication with multiple product types, Proc. IEEE Int. Conf. Syst. Man Cybern. (1999) pp. 835–840 T.-E. Lee, H.-Y. Lee, S.-J. Lee: Scheduling a wet station for wafer cleaning with multiple job flows and multiple wafer-handling robots, Int. J. Prod. Res. 45(3), 487–507 (2007)

52.8

52.9

52.10

52.11

52.12 52.13

52.14

52.15

T.-E. Lee, M.E. Posner: Performance measures and schedules in periodic job shops, Oper. Res. 45(1), 72–91 (1998) T.-E. Lee: Stable earliest starting schedules for periodic job shops: a linear system approach, Int. J. Flex. Manuf. Syst. 12(1), 59–80 (2000) T.-E. Lee, R. Sreenivas, H.-Y. Lee: Workload balancing for timed event graphs with application to cluster tool operation, Proc. IEEE Int. Conf. Autom. Sci. Eng. (2006) pp. 1–6 J.-H. Kim, T.-E. Lee, H.-Y. Lee, D.-B. Park: Scheduling of dual-armed cluster tools with time constraints, IEEE Trans. Semicond. Manuf. 16(3), 521–534 (2003) T. Murata: Petri nets: properties, analysis and applications, Proc. IEEE 77(4), 541–580 (1989) Y.-H. Shin, T.-E. Lee, J.-H. Kim, H.-Y. Lee: Modeling and implementating a real-time scheduler for dual-armed cluster tools, Comput. Ind. 45(1), 13–27 (2001) T.-E. Lee, S.-H. Park: An extended event graph with negative places and negative tokens for time window constraints, IEEE Trans. Autom. Sci. Eng. 2(4), 319–332 (2005) J.-H. Kim, T.-E. Lee: Schedule stabilization and robust timing control for time-constrained clus-

Part F 52

References

926

Part F

Industrial Automation

52.16

52.17

52.18

52.19

52.20 52.21

52.22

52.23

ter tools, Proc. IEEE Conf. Robot. Autom. (2003) pp. 1039–1044 T.-E. Lee, H.-Y. Lee, Y.-H. Shin: Workload balancing and scheduling of a single-armed cluster tools, Proc. Asian-Pac. Ind. Eng. Manag. Syst. Conf. (2004) pp. 1–6 H.J. Kim: Scheduling and Control of Dual-Armed Cluster Tools With Post Processes. M. Sc. Thesis (Department of Industrial Engineering, KAIST 2006) H.-Y. Lee, T.-E. Lee: Scheduling single-armed cluster tools with reentrant wafer flows, IEEE Trans. Semicond. Manuf. 19(2), 224–240 (2006) J.-S. Lee: Scheduling Rules for Dual-Armed Cluster Tools With Cleaning Processes. M. Sc. Thesis (Department of Industrial Engineering, KAIST 2008) SEMI E38.1-95: Cluster tool module communication(CTMC), SEMI International Standards (2007) J.-H. Lee, T.-E. Lee, J.-H. Park: Cluster tool module communication based on a high-level fieldbus, Int. J. Comput. Integr. Manuf. 17(2), 151–170 (2004) Y.-J. Joo, T.-E. Lee: A virtual cluster tool for testing and verifying a cluster tool controller and a scheduler, IEEE Robot. Autom. Mag. 11(3), 33–49 (2004) D.-Y. Liao, H.-S. Fu: A simulation-based, twophased approach for dynamic OHT allocation and

52.24

52.25

52.26 52.27

52.28 52.29

52.30

52.31

dispatching in large-scaled 300 mm AMHS management, Proc. IEEE Int. Conf. Robot. Autom. 4, 3630–3635 (2002) D.-Y. Liao, H.-S. Fu: Speedy delivery-dynamic OHT allocation and dispatching in large-scale, 300 mm AMHS management, IEEE Robot. Autom. Mag. 11(3), 22–32 (2004) J.S. Pettinato, D. Pillai: Technology decisions to minimize 450-mm wafer size transition risk, IEEE Tans. Semicond. Manuf. 18(4), 501–509 (2005) D. Pillai: The future of semiconductor manufacturing, IEEE Robot. Autom. Mag. 13(4), 16–24 (2006) SEMI The international technology roadmap for semiconductors (ITRS): an update, SEMI Eur. Stand. Autumn Conf. (2006) SEMI International Standards, SEMI (2007), CD-ROM D. Krafzig, K. Banke, D. Slama: Enterprise SOA: Service-Oriented Architecture Best Practices (Prentice Hall, Upper Saddle River 2005) J. Moyne, E. del Castillo, A.M. Hurwitz: Run-to-Run Control in Semiconductor Manufacturing (CRC, New York 2001) H. Wohlwend: e-Diagnostics Guidebook: Revision 2.1 (Int. SEMATECH Manuf. Initiative, 2005), http://www.sematech.org/docubase/abstracts/ 4153deng.htm

Part F 52

927

Nanomanufa 53. Nanomanufacturing Automation

Ning Xi, King Wai Chiu Lai, Heping Chen

53.1 Overview.............................................. 927 53.2 AFM-Based Nanomanufacturing............. 53.2.1 Modeling of the Nanoenvironments 53.2.2 Methods of Nanomanipulation Automation . 53.2.3 Automated Local Scanning Method for Nanomanipulation Automation 53.2.4 CAD Guided Automated Nanoassembly ............................ 53.3 Nanomanufacturing Processes ............... 53.3.1 Dielectrophoretic Force on Nanoobjects........................... 53.3.2 Separating CNTs by an Electronic Property Using the Dielectrophoretic Effect.. 53.3.3 DEP Microchamber for Separating CNTs...................... 53.3.4 Automated Robotic CNT Deposition Workstation................................ 53.3.5 CNT-Based Infrared Detector .........

930 930 930 935 937 937 938

939 939 940 944

53.4 Conclusions .......................................... 944 References .................................................. 944 nanodevices with specific and consistent electronic properties can be manufactured automatically and effectively.

53.1 Overview Nanoscale materials with unique mechanical, electronic, optical, and chemical properties have a variety of potential applications such as nanoelectromechanical systems (NEMS) and nanosensors. The development of nanoassembly technologies will potentially lead to breakthroughs in manufacturing new revolutionary industrial products. The techniques for nanoassembly can be generally classified into bottom-up and top-

down methods. Self-assembly in nanoscale is reported as the most promising bottom-up technique, which is applied to make regular, symmetric patterns of nanoentities. However, many potential nanostructures and nanodevices are asymmetric, which cannot be manufactured using self-assembly only. A top-down method would be desirable to fabricate complex nanostructures.

Part F 53

This chapter reports the key developments for nanomanufacturing automation. Automated CAD guided nanoassembly can be performed by an improved atomic force microscopy (AFM). Although CAD guided automated manufacturing has been widely studied in the macro-world, nanomanufacturing is challenging. In nanoenvironments, the nanoobjects are usually distributed on a substrate randomly, so the nanoenvironment and the available nanoobjects have to be modeled in order to design a feasible nanostructure. Because of the positioning errors due to the random drift, the actual position of each nanoobject has to be identified by our local scanning method. The advancement of AFM increases the efficiency and accuracy to manipulate and assemble nanoobjects. Besides, the manufacturing process of carbon nanotube (CNT) based nanodevices is discussed. A novel automated manufacturing system has been especially designed for manufacturing nanodevices. The system integrates a new dielectrophoretic (DEP) microchamber into a robotic based deposition workstation and increases the yield to form semi-conducting CNTs for manufacturing nanodevices. Therefore, by using the proposed CNT separation and deposition system, CNT based

928

Part F

Industrial Automation

Part F 53.1

The semiconductor fabrication technique is a matured top-down method, which has been used in the fabrication of microelectromechanical systems (MEMS). However, it is difficult to build nanostructures using this method due to limitations of the traditional lithography. Although smaller features can be made by electron beam nanolithography, it is practically very difficult to position the feature precisely using e-Beam nanolithography. The high cost of the scanning electron microscopy (SEM), ultrahigh vacuum condition, and space limitation inside the SEM vacuum capsule also impede its wide application. Atomic force microscopy (AFM) [53.1] has proven to be a powerful technique to study sample surfaces down to the nanoscale. It can work with both conductive and insulating materials and in many conditions, such as air and liquid. Not only can it characterize sample surfaces, it can also modify them through nanolithography [53.2, 3] and nanomanipulation [53.3, 4], which is a promising nanofabrication technique that combines top-down and bottom-up advantages. In recent years, many kinds of AFM-based nanolithographies have been implemented on a variety of surfaces such as semiconductors, metals, and soft materials [53.5–8]. A variety of AFM-based nanomanipulation schemes have been developed to position and manipulate nanoobjects [53.9– 13]. However, nanolithography itself can hardly be considered as sufficient for fabrication of a complete device. Thus, manipulation of nanoobjects has to be involved in order to manufacture nanostructures and nanodevices. The AFM-based nanomanipulation is much more complicated and difficult than the AFMbased nanolithography because nanoobjects have to be manipulated from one place to another by the AFM tip, and sometimes it is necessary to relocate the nanoobjects during nanomanipulation while nanolithography can only draw patterns. Since the AFM tip as the manipulation end-effector can only apply a point force on a nanoobject, the pushing point on the nanoobject has to be precisely controlled in order to manipulate the nanoobjects to their desired positions. In the most recently available AFM-based manipulation methods, the manipulation paths are obtained either manually using haptic devices [53.9, 10] or in an interactive way between the users and the atomic force microscope (AFM) images [53.11, 12]. The main problem of these schemes is their lack of real-time visual feedback, so an augmented reality interface has been developed [53.14,15]. But positioning errors due to deformation of the cantilever and random drift such as thermal drift cause the nanoobjects to be easily lost or manipulated to

wrong places during manipulation; the result of each operation has to be verified by a new image scan before the next operation starts. This scan-designmanipulation-scan cycle is usually time consuming and inefficient. In order to increase the efficiency and accuracy of AFM-based nanoassembly, automated CAD guided nanoassembly is desirable [53.16]. In the macroworld, CAD guided automated manufacturing has been widely studied [53.17]. However, it is not a trivial extension from the macroworld to the nanoworld. In the nanoenvironments, the nanoobjects, which include nanoparticles, nanowires, nanotubes, etc., are usually distributed on a substrate randomly. Therefore, the nanoenvironment and the available nanoobjects have to be modeled in order to design a feasible nanostructure. Because manipulation of nanoparticles only requires translation, while manipulation of other nanoobjects such as nanowires involve both translation and rotation, manipulation of nanowires is more challenging than that of nanoparticles. To generate a feasible path to manipulate nanoobjects, obstacle avoidance must also be considered. Turns around obstacles should also be avoided since they may cause the failure of the manipulation. Because of the positioning errors due to the random drift, the actual position of each nanoobject must be identified before each operation. Beside, the deformation of the cantilever caused by manipulation force is one of the most major nonlinearities and uncertainties. It causes difficulties in accurately controlling the tip position, and results in missing the position of the object. The softness of the conventional cantilevers also causes the failure of manipulation of sticky nanoobjects because the tip can easily slip over the nanoobjects. An active atomic force microscopy probe is used as an adaptable end effector to solve these problems by actively controlling the cantilever’s flexibility or rigidity during nanomanipulation. Thus, the adaptable end effector is controlled to maintain straight shape during manipulation [53.18]. Apart from nanoassembly, manufacturing process of nanodevices is important. Carbon nanotube (CNT) has been investigated as one of the most promising candidates to be used for making different nanodevices. CNTs have been shown to exhibit remarkable electronic properties, such as ballistic transport and semiconducting behavior, which depend on their diameters and chiralities. Recently, it was demonstrated that CNTs can be used to build various types of devices such as nanotransistors [53.19], logic devices [53.20], infrared detectors [53.21, 22], light emitting devices [53.23],

Nanomanufacturing Automation

929

CNT deposition Semiconducting CNT selection

CNT assembly

Nanolithography

Band gap tuning

Reliable nanomanufactoring process for CNT-based devices

Chip packaging

Substrate fabrication Chip design

Fig. 53.1 Flow chart of nanomanufacturing of CNT-based devices

(DEP) force [53.37, 38]. Moreover, Dong and Nelson reported the batch fabrication of CNT bearings and transistors by assembling CNTs on a silicon chip using DEP force [53.39, 40]. A fabricated chip was immersed in a reservoir that contained CNT suspension, and CNTs were deposited on the microchip by applying a composite AC/DC electric field. This electric field manipulation technique is an effective and feasible method to batch manipulate CNTs manually. However, an automated robotic system for mass production of consistent CNT-based devices has not been archived. An automated nanomanipulation system is discussed in Sect. 53.2. The collision-free paths are generated based on the CAD model, the environment model, and the model of the nanoobjects. A local scanning method is developed to obtain the actual position of each nanoobject to compensate for the random drift. Moveover, automatic nanoassembly of nanostructures using the designed CAD models is presented. The nanomanufacturing process of CNT-based device is discussed in Sect. 53.3. The process includes the development of a novel CNT separation system and an automated deposition processes for both single-walled carbon nanotubes (SWCNTs) and multi-walled carbon nanotubes (MWCNTs).

Part F 53.1

chemical sensors [53.24, 25], etc. The general manufacturing processes of CNT-based devices is shown in Fig. 53.1. The most challenging parts include CNT selection, deposition, and assembly. Basically, CNT assembly can be done by our AFM-based nanomanipulation system [53.26]. With the advancement of our automated local scanning method for AFM systems [53.27], automated assembly for CNT-based devices can be done effectively. However, electronic properties of CNTs vary and CNTs can be classified into two types: semiconducting CNTs and metallic CNTs. Therefore, an automatic method for the selection and deposition of a single CNT with a specific electronic property should be established [53.28, 29]. Selection of a CNT with the desired electronic property is crucial to its application. Basically, several approaches have been pursued to separate different electronic types of CNTs. Arnold et al. demonstrated that semiconducting CNTs and metallic CNTs were separated by using some encapsulating agents or surfactants [53.30]. Besides, Avouris et al. demonstrated turning a metallic CNT into a semiconducting CNT after removal of metallic carbon shells by an electrical breakdown process [53.31]. Krupke et al. reported a technique to enrich metallic CNT thin film. They demonstrated that metallic CNTs were concentrated on a substrate by using dielectrophoresis [53.32,33]. Based on the review of these CNT separation techniques, we develop a microchamber to filter different types of CNTs effectively. Various methods have been proposed to move and deposit a CNT to the metal microelectrodes; this advances the manufacturing process of the CNT-based nanodevices. A nanorobotic technique uses nanomanipulators inside a scanning electron microscopy (SEM) to perform the nanomanipulation. Since a sample chamber of an SEM is spacious, it is possible to put some custom-design nanomanipulators inside the chamber. Yu et al. put a custom piezoelectric vacuum manipulator inside the chamber of an SEM, and they visually observed the manipulation process of CNTs [53.34]. Dong et al. also developed a 16-degree-of-freedom nanorobotic manipulator to characterize CNTs inside an SEM system [53.35]. The idea of nanoassembly inside SEM is promising, but it needs a vacuum environment for proper operation. Alternatively, electric field assisted methods have been proposed to manipulate and deposit CNTs directly. Green et al. introduced AC electrokinetics forces to manipulate sub-micrometer particles on microelectrode structures [53.36]. Bundled CNTs have also been manipulated by dielectrophoretic

53.1 Overview

930

Part F

Industrial Automation

53.2 AFM-Based Nanomanufacturing In recent years, many kinds of nanomanipulation schemes have been developed to manipulate nanoobjects. A nanorobotic technique uses nanomanipulators inside an SEM to perform the nanomanipulation [53.34, 35]. The idea of nanoassembly inside SEM is promising, but it needs a vacuum environment for proper operation. AFM is a promising tool for nanomanufacturing [53.12, 41]. Nanoobjects were manipulated by an AFM tip to build nanostructures and devices effectively because of its high resolution. Besides, it does not need to work in a vacuum environment, which allows more freedom in the nanomanufacturing process. In order to use AFM for nanomanufacturing, further studies and improvements have been done. Since nanoobjects are usually distributed on a substrate randomly in the nanoworld, the nanoenvironment and the nanoobjects must be modeled in order to design a feasible nanostructure. In order to manipulate nanoobjects automatically, obstacle avoidance must be considered to generate a feasible path to manipulate nanoobjects. Because of positioning errors due to the random drift, the actual position of each nanoobject must be identified before each operation; this correction can be done by our local scanning method. In order to increase the efficiency and accuracy of AFM-based nanoassembly, automated CAD guided nanoassembly is desirable.

close to the ideal height of nanoobjects, a higher probability ( p2 ) is assigned to it. Thirdly, the neighboring pixels with higher probability ( p1 p2 ) are counted and the area of the pixels is identified. If the area is close to the size of nanoobjects, the pixels are assigned a higher probability ( p3 ). If the probability ( p1 p2 p3 ) of a pixel is higher than a threshold, it is in a nanoobject. Using the neighboring relationship of pixels, objects can then be identified. The length of a nanoobject can be calculated by finding the long and short axes using a least squares fitting algorithm. If the length/width ratio is larger than a set value, it is considered as a nanowire, otherwise, as a nanoparticle.

53.2.2 Methods of Nanomanipulation Automation Since the AFM tip can only apply force to a point on a nanoobject in AFM-based nanomanipulation, it is very challenging to generate manipulation paths to manipulate nanoobjects to a desired location, especially for nanowires, because manipulation of nanoparticles only requires translation, while that of nanowires involves translation as well as rotation. Turns around obstacles should be avoided since they may cause manipulation failure. In the following sections, automated manipulation of nanoparticles and nanowires, respectively, will be discussed.

53.2.1 Modeling of the Nanoenvironments Part F 53.2

Because the nanoobjects are randomly distributed on a surface, the position of each nanoobject must be determined in order to perform automatic manipulation. Also the nanoobjects have different shapes, such as nanoparticles and nanowires, as shown in Fig. 53.2. They must be categorized before manipulation because the manipulation algorithms for these nanoobjects are different. After an AFM image is obtained, the nanoobjects can be identified and categorized. The X, Y coordinates and the height information of each pixel can be obtained from the AFM scanning data. Because the height, shape, and size of nanoobjects are known, they are used as criteria to identify nanoobjects and obstacles based on a fuzzy method as follows. Firstly, all pixels higher than a threshold height are identified. The shapes of clustered pixels are categorized and compared with the ideal shapes of nanoobjects. If the shape of clustered pixels is close to the ideal shape, the pixels are assigned a higher probability ( p1 ). Secondly, if the height of a pixel is

8

Nanowire

6

Nanoparticle 4

2 2 µm

0

0

2

4

6

8

Fig. 53.2 Nanoobjects obtained from AFM scanning. The

scanning area is 8 μm × 8 μm

Nanomanufacturing Automation

S1 O1

a)

53.2 AFM-Based Nanomanufacturing

Object

931

Obstacle

D1 Fc

Fw

O2

R2

R1

D2

D Fig. 53.3 The straight line connection between an object

and a destination. O1 and O2 are objects, D1 and D2 are destinations, S1 is an obstacle

Fc = μos Fros + νFaos ,

(53.2)

Object Fw

Fc

Nanowire

R D

Fig. 53.4a,b The van der Waals force between objects and obstacles. The objects are nanoparticles. (a) The obstacle is

a nanoparticle. R1 and R2 are the radius of the two spheres respectively, D is the distance between the two spheres, Fw is the van der Waals force, and Fc the friction force. (b) The obstacle is a nanowire. The nanowire can be considered as a line of nanoparticles. R is the radius of the sphere

where Fc is the friction force, μos is the sliding friction coefficient between an object and the substrate surface, ν is the shear coefficient, Fros is the repulsive force, and Faos is the adhesive force. When pushing an object, the minimum repulsive force equals the adhesive force. Then (53.2) becomes Fc = (μos + ν)Faos . Faos

(53.3)

The adhesive force can be estimated by [53.43] A os a F , (53.4) Faos = Ats ts where Aos is the nominal contact area between an object and a substrate surface, Ats is the nominal contact area between the AFM tip and the substrate surface, and Fats is the measured adhesive force between the AFM tip and the surface. Since the van der Waals force must be balanced by the friction force during manipulation, the minimum distance Dmin can be calculated using (53.1), (53.3) and (53.4) A R1 R2 Ats . (53.5) Dmin = 6 R1 + R2 (μos + ν)Aos Ftsa The distance between an object and a nanowire must be larger than Dmin during manipulation. If there is an

Part F 53.2

Automated Manipulation of Nanoparticles Once the destinations, objects, and obstacles are determined, a collision-free path can be generated by the tip path planner. A direct path (straight path) is a connection from an object to a destination using a straight line without any obstacles or potential obstacles in between. Figure 53.3 shows the connections between objects and destinations. The paths from O2 to D2 and from O1 to D2 are direct paths, and the path between O1 and D1 is not a direct path due to collision. Due to the van der Waals force between an object and an obstacle, the object may be attracted to the obstacle if the distance between them is too small. Therefore, the minimum distance has to be determined first to avoid the attraction. Figure 53.4a shows a particle object and a particle obstacle, and Fig. 53.4b a particle object and a nanowire obstacle, respectively. In the first case, all objects and obstacles are assumed to be spheres; the van der Waals force can be expressed as [53.42] −A R1 R2 , (53.1) Fw = 6D R1 + R2 where Fw is the van der Waals force, A is the Hamaker constant, D is the distance between the two spheres, and R1 and R2 are the radii of the two spheres. For the second case that the obstacle is a nanowire, the nanowire can be considered as separated nanoparticles, so the van der Waals force between a nanoparticle and each separated nanoparticles can be calculated using (53.1). Different materials have different Hamaker constants. Nevertheless, the Hamaker constants are found to lie in the range (0.4 − 4) × 10−19 J [53.42]. If an object is not attracted to an obstacle, the van der Waals force between an object and a destination has to be balanced by the friction force between the object and the surface. The friction force between the object and the surface can be formulated as [53.43]

b)

932

Part F

Industrial Automation

a) O1

S1 D1

S1

O1

V2

D1

b)

S1

V1

O1

S1

D1

Fig. 53.6 Two VODs connect an object with a destination.

V1

Fig. 53.5 (a) A path with turns. An object may be lost during turns. (b) A virtual object and destination (VOD)

connects an object and a destination. O1 is an object, D1 is a destination, S1 is an obstacle, and V1 is a VOD

Part F 53.2

obstacle that is close or on the straight line, the path formed by the straight line is not considered as a direct path. For example, the path between O2 and D1 in Fig. 53.3 is not a direct path due to the attraction. After the direct paths are generated, objects are assigned to the destinations one to one. There are some destinations that may not have any objects assigned to them. This is because there are no direct paths to some destinations. Therefore, indirect paths (curved paths) to avoid the obstacles must be generated. In general, it is possible to lose particles during nanomanipulation in both direct paths or curved paths, but a curved path as shown in Fig. 53.5 has a much higher risk of losing objects than a direct path. The AFM-based manipulation system can use force feedback to detect the lost particle during the manipulation. A surface must be scanned again if an object is lost during manipulation. Because the scanning time is much longer than the manipulation time, turns should be avoided during nanomanipulation. To solve the problem, a virtual-object-destination algorithm has been developed. Figure 53.6 shows a virtual-object-destination (VOD). An object and a destination are connected using direct paths through a VOD. Since there are many possible VODs to connect an object and a destination, a minimum distance criterion is applied to find a VOD. The total distance to connect an object and a destination through a VOD is + d = (x2 − x0 )2 + (y2 − y0 )2 + + (x2 − x1 )2 + (y2 − y1 )2 , (53.6)

O1 is an object, D1 is the destination, and S1 and S2 are obstacles

where x2 , y2 are the coordinates of the center of a VOD, x0 , y0 are the coordinates of the center of an object, and x1 , y1 are the coordinates of the center of a destination. The connections between the VOD, object and destination have to avoid the obstacles, i. e., + (x − xs )2 + (y − ys )2 ≥ Dmin + R , (53.7) where x, y are the coordinates of the object center along the path and xs , ys are the coordinates of the center of the obstacle. R is defined as R  = R1 + R2 .

(53.8)

Then a constrained optimization problem is formulated + min d = (x2 − x0 )2 + (y2 − y0 )2 x 2 ,y2 + + (x2 − x1 )2 + (y2 − y1 )2 , + subject to: (x − xs )2 + (y − ys )2 ≥ Dmin + R . (53.9)

This is a single objective constrained optimization problem. A quadratic loss penalty function method [53.44] is adopted to deal with the constrained optimization problem by formulating a new function G(x) min G(x) = min d + β(min[0, g])2 ,

x 2 ,y2

x 2 ,y2

(53.10)

where β is a big scalar and g is formulated using the given constraint, i. e. + g = (x − xs )2 + (y − ys )2 − (Dmin + R ) . (53.11) Then the constrained optimization problem is transferred into an unconstrained one using the quadratic loss penalty function method. The pattern search method [53.45] is adopted here to optimize the unconstrained optimization problem to obtain the VOD.

Nanomanufacturing Automation

If one virtual object and destination cannot reach an unassigned destination, two or more VODs must be found to connect an object and a destination. Figure 53.6 illustrates the process. Similarly, the total distance to connect the object and destination can be calculated. The constraint is the same as (53.9). Then, a single objective constrained optimization problem can be formulated to obtain the VODs.

σ = d/L .

(53.12)

A nanowire with the aspect ratio of σ > 25 usually behaves like a wire, which will deform or bend under pressure. The rotation behavior was observed for nanowires with aspect ratio of σ < 15. In this case, the pushing force F from the tip causes the friction and shear force F = μot F + νFaot along the rod axis direction when the pushing direction is not perpendicular to the rod axis, where μot and ν are the friction and shear coefficients between the tip and the nanowire,

Nanowire

b) B

L

s

933

F

C

l

Nanowire

F

D A

Static point

Fig. 53.7a,b The behavior of a nanowire under a pushing force: (a) F is the applied external force, L is the length of the nanowire (b) the detailed force model. D is the pivot where the nanowire

rotates, C is the pushing point

which depend on the material properties and the environment, Faot is the adhesion force between the tip and the nanowire. Fortunately, it is easy to prove that the force F hardly causes the rod to move along the rod axis direction. Assuming that the shear forces between rod and surface are equal along all directions during moving,  d. fL = f max

(53.13)

Because the shear force is usually proportional to the contact area, and the contact area between a nanowire and surface is much greater than that between the tip and the nanowire, νFaot  f  d .

(53.14)

Also note that  d, F ≤ fL = f max

(53.15)

and because μ is usually very small, finally it is reasonable to assume that  d. f  d = F μF + νFaot < f max

(53.16)

This means that the rod will have no motion along the axis direction and, therefore, the static point D must be on the axis of the nanowire. Considering the above analysis, the nanowire can be simplified as a rigid line segment. The external forces applied on the nanowire in surface plane can be modeled as shown in Fig. 53.7. The pivot D can be either inside the nanowire or outside the nanowire. First assume that D is inside the nanowire. In this case, all the torques around D are self-balanced during smooth motion. F(l − s) =

1 1 f (L − s)2 + fs2 , 2 2

(53.17)

Part F 53.2

Automated Manipulation of Nanowires The manipulation of a nanowire is much more complicated than that of a nanoparticle because there is only translation during manipulation of a nanoparticle, while there are both translation and rotation during manipulation of a nanowire. A nanowire can only be manipulated to a desired position by applying force alternatively close to its ends. From an AFM image, nanowires can be identified and represented by their radius and two end points. Each end point on a nanowire must be assigned to the corresponding point on the destination. The starting pushing point is important since it determines the direction along which the object moves. By choosing a suitable step size, an AFM tip path can be generated. Therefore, the steps of automated manipulation of nanowire are: find the initial position and destination of a nanowire, find the corresponding points, find starting pushing point, and calculate the pushing step and plan the tip trajectory. The details of the steps are given below. To automatically manipulate a nanowire, its behavior under a pushing force has to be modeled. When a pushing force is applied to a nanowire, the nanowire starts to rotate around a pivot if the pushing force is larger than the friction force. Figure 53.7 shows the applied pushing force and the pivot. The nanowire rotates around point D when it is pushed at point C by the AFM tip. The nanowire under pushing may have different kinds of behavior, which depend on its own geometry property. If the aspect ratio of a nanowire is defined as

a)

53.2 AFM-Based Nanomanufacturing

934

Part F

Industrial Automation

Ps2

F Nanowire (initial position)

Pd2 β

Nanowire (destination)

force F is applied in the exact middle of the rod, the point T becomes a bifurcation point. Now, assume the static point D is outside of rod and on the left side. Noting that s < 0 now, the self-balanced torque equation becomes f (l − s) = fL(L/2 − s) ,

Ps1

(53.21)

namely Pd1

Fig. 53.8 The initial position of the nanowire and the destination where it is manipulated

where F is the applied external force, f is the evenly distributed friction and shear force density on the nanowire, L is the length of the nanowire, s is the distance from one end of the nanowire (point A in Fig. 53.7) to the pivot D, and l is the distance from A to C, where the external force is applied. Equation (53.17) can be written as F=

f (L − s)2 + fs2 . 2(l − s)

(53.18)

The pivot can be found by minimizing F with respect to s, i. e.

Part F 53.2

fL(L/2 − s) (53.22) . 2(l − s) It can be seen that F can only be minimized at l = L/2 dF (53.23) = 0, for l = L/2 . ds Similarly, if static point D is on the right side (s > 0), the analysis results should be the same. Practically, it is hard to keep T at this bifurcation point (l = L/2). Therefore, during manipulation, it is better to avoid pushing the exact middle of the rod because it is hard to predict the behavior of the rod in this case. The corresponding points between a nanowire and its destination have to be matched in order to plan a manipulation path. Figure 53.8 shows the initial position and the destination of a nanowire. Ps1 and Ps2 are the initial positions, and Pd1 and Pd2 are the destinations. The nanowire rotates anti-clockwise and moves downward if the starting pushing point is close to Ps2 . Similarly, the nanowire rotates clockwise and moves upward if the starting pushing point is close to Ps1 . The starting pushing point can be determined by the angle β as shown in Fig. 53.8. If β > 90◦ , the starting pushing point should be close to Ps2 . Otherwise, Ps1 . Figure 53.9 shows the process to manipulate a nanowire from its initial position to its destination. The manipulation scheme of a nanowire has to go through a zigzag strategy in order to position the nanowire with specified orientation. F=

dF (53.19) = 0 ⇒ s2 − 2ls + lL − L 2 /2 = 0 . ds Since we have assumed that 0 < s < L, a unique solution of the pivot for any 0 < l < L except l = L/2 can be determined by ⎧ , ⎨l + l 2 − lL + L 2 /2 l < L/2 . (53.20) s= , ⎩l − l 2 − lL + L 2 /2 l > L/2 When l = L/2, there is no unique solution. A detailed analysis will show that s can be any value when the

Nanowire (destination)

Starting position θ

θ2

θ1 Qi

End position

F, pushing point

F Ps

F

θ

Lp

Pi

Nanowire (initial position)

Pd

Fig. 53.9 The manipulation of a nanowire from an initial position to its destination

Nanomanufacturing Automation

Similar steps can be followed to determine the pushing position for a nanowire rotating around the pivot Qi , i ∈ [1, N]. After the coordinates of the pushing point are obtained, the manipulation path for a nanowire can be generated.

53.2.3 Automated Local Scanning Method for Nanomanipulation Automation The random drift due to thermal extension or contraction causes a major problem during nanomanipulation,

935

y θ Qi

yi F

ys

θ

Pi

xs

Ps α

Pd

xi Pi+1 θ/2

O

x

Fig. 53.10 The coordinates used to compute the AFM tip pushing position at each step

because the object may be easily lost or manipulated to wrong destinations. Before the manipulation, the objects on the surface are identified and their positions are labeled. However, the labeled positions of the nanoobjects have errors due to random drift. To compensate for the random drift, the actual position of each nanoobject must be identified before each operation. Because the time to scan a big area is quite long, a quick local scanning mechanism is developed to obtain the actual position of each nanoobject in a short time. Nanomanipulation is then performed immediately after the local scan. Figure 53.11 shows the local scanning method. From the path data, the original position of a nanoobject is obtained. Also, the nanoobject is categorized into two groups: the nanoparticle and nanowire. A scanning pattern is generated for the nanoobject according to its group. The scanning pattern is fed to the imaging interface to scan the surface. If the nanoobPath Original object position and categorization Perpendicular line scan Scanning pattern generation Actual position computation Imaging interface Path adjustment New path

Line scan No

Object found?

Yes

Fig. 53.11 The local scanning strategy to obtain the actual positions

of nanoobjects

Part F 53.2

When the alternating pushing forces at two points on the nanowire are applied, a nanowire rotates around two pivots Pi and Qi . The distance L 1 between Pi and Qi can be calculated if the pushing points are determined. The two pivots Ps and Pd are connected to form a straight line, and the distance d between the two points is calculated. d is then divided into N small segments (the number of manipulations). Then L p in Fig. 53.9 can be obtained d (53.24) 0 < L p < 2L 1 . Lp = , N During manipulation, the pivot Pi is always on the line generated by the two points Ps and Pd . Then the rotation angle for each step can be obtained   Lp . (53.25) θ = 2a cos 2L 1 The rotation angle θ stays the same during manipulation. The initial pushing angle θ1 and the final pushing angle θ2 in Fig. 53.9 can be calculated by finding the starting position and the ending position. After θ is determined, the pivots Pi and Qi (i = 1, . . . , N) can be calculated. The pushing points can then be determined. Here we show how to determine the pushing points when a nanowire rotates around the pivot Pi as an example. Figure 53.10 shows the frames used to determine the tip position. The following transformation matrix can be easily calculated. The transformation matrix of the frame originated at Ps relative to the original frame is Ts . The transformation matrix of the frame originated at Pi relative to the frame originated at Ps is Ti . Supposing the rotation angle is β(0 < β ≤ θ), the transformation matrix relative to the frame originated at Pi is Tβi . β can be obtained by setting a manipulation step size. The coordinates of the pushing point can then be calculated ⎛ ⎞ ⎛ ⎞ 0 XF ⎜ ⎟ ⎜ ⎟ (53.26) ⎝ Y F ⎠ = Ts Ti Tβi ⎝ L − 2s⎠ . 1 1

53.2 AFM-Based Nanomanufacturing

936

Part F

Industrial Automation

Q1 L2

P1

P2 Oa Q2

L0 R

O

L1

V

Fig. 53.12 Local scan pattern to search the actual position of a nanoparticle. O is the original center of the particle, R is the radius of the particle, Oa is the actual center of the particle, L0 , L1 , and L2 are the horizontal scan lines, V is the vertical scan line. P1 and P2 are the interactions between the particle edge and a horizontal scan line, Q1 and Q2 are the intersections between the particle edge and the vertical scan line

ject is not found, a new scanning pattern is generated. The process continues until the nanoobject is discovered. The actual position of the nanoobject can then be computed. The manipulation path is then adjusted based on the actual position. For nanoparticles and nanoobjects, different scanning patterns must be used in order to obtain their actual position.

Part F 53.2

a)

For example, the location of a nanoparticle can be represented by its center and radius. The radius of each particle R has been identified before the manipulation starts. The actual center of a nanoparticle can be relocated by two lines, a lateral and a cross line as shown in Fig. 53.12. First, the nanoparticle is scanned using line L 0 , which passes the original center of the particle in the image. If the particle is not found, then the scanning line moves up and down alternatively by a distance of 3/2R. Once the particle has been found, two intersection points, P1 and P2 between the particle edge and the lateral line are located. A cross line scan V, which goes through the middle point between P1 and P2 , is used to locate the center of the particle. The cross scan line has two intersection points, Q1 and Q2 , with the particle edge. The middle point between Q1 and Q2 is the actual center of the nanoparticle. The local scanning range (the length of the scanning line) l can be determine by the maximum random drift such that l > R + rmax , where rmax is the estimated maximum random drift distance. After the center of a nanoobject has been identified, the drifts in the XY directions are calculated. The drifts in the XY directions are then used to update the destination position as shown in Fig. 53.13a. Finally a new path is generated to manipulate the nanoparticle. After the local scan of the first nanoparticle, the direction and size of the drift can be estimated. The information can be used to generate the scanning pattern for the next nanoobject as shown in Fig. 53.13b. b)

New position

Actual position

Original position Original position

Drift direction

New path

Original path

First point New destination Original destination

Fig. 53.13 (a) The updated path after drift compensation. (b) The local scan after the drift direction and size are

determined from the previous local scan

Nanomanufacturing Automation

53.2.4 CAD Guided Automated Nanoassembly

AFM image

In order to increase the efficiency and accuracy of AFM-based nanoassembly, automated CAD guided nanoassembly is desirable. A general framework for automated nanoassembly is developed to manufacture nanostructures and nanodevices, as illustrated in Fig. 53.14. Based on the CAD model of a nanostructure and the distribution of nanoobjects on a surface from an AFM image, the tip path planner generates manipulation paths to manipulate the nanoobjects. The paths are fed to a user interface to simulate the manufacturing process and then to the AFM system to perform the nanoassembly process. The AFM tip path planner is the core of the general framework. Figure 53.15 shows the architecture of the tip path planner. Nanoobjects on a surface are first identified based on the AFM image. A nanostructure is then designed using the available nanoobjects. Initial collision-free manipulation paths are then generated based on the CAD model of a designed nanostructure. In order to overcome the random drift, a local scanning method is applied to identify the actual po-

AFM image

53.3 Nanomanufacturing Processes

Automated

937

CAD model Automated tip path planner General paths

AFM Force

Control

Simulation and real-time operation

Real-time display

Interface

Commands

Fig. 53.14 The general framework for automated path generation

system. The bottom left is the AFM system and the bottom right is the augmented reality interface used for simulation and real-time operation

sition of a nanoobject before its manipulation. Each manipulation path of the nanoobject is adjusted accordingly based on its actual position. The regenerated path is then sent to the AFM system to manipulate the nanoobject. The process continues until all nanoobjects are processed. A nanostructure is finally fabricated.

Local scan

Actual position

path generation Updated path Automated manipulation

Fig. 53.15 Automated tip path planner. Initial paths are generated based on the CAD model of a designed nanostructure

and the randomly distributed nanoobjects on a surface. The manipulation path of each nanoobject is adjusted accordingly based on the local scanning result

53.3 Nanomanufacturing Processes The nanomanufacturing process for nanodevices is not straightforward, especially in nanomaterial preparation, selection, and deposition processes. To prepare the nanomaterial, nanoobjects are usually dissolved into

solution, then the nanoobject suspension is put in an ultrasonicator or a centrifuge for dispersing the nanoobjects. Afterwards, specific properties of the nanoobjects should be selected. Finally, they are delivered to as-

Part F 53.3

CAD model

938

Part F

Industrial Automation

semble the nanodevices. However, the nanoobjects are too small to be manipulated by traditional robotic systems, novel devices and systems must be developed for this. Since the nanoobjects are dissolved into fluids, dielectrophoresis and microfluidic technology can be considered to perform the tasks. The material preparation can be done by micromixers; the selection process can be done by microfilters; and the deposition process can be done by integrating the microchannel and microactive nozzle to deposit the nanoobject suspension. CNT is one of the most common nanoobjects and it has some promising properties that are useful for generation nanodevices. In this chapter, the development of a novel automated CNT separation system to classify the electronic types of CNTs will be described, which involves the analysis for DEP force on CNTs and fabrication of a DEP microchamber. Moreover, this DEP microchamber was successfully integrated into an automated deposition workstation to manipulate a single CNT to multiple pairs of microelectrodes repeatedly. The automated deposition processes for both SWCNTs and MWCNTs will be presented. As a result, CNT-based nanodevices with specific and consistent electronic properties can be manufactured automatically. The resulting devices can potentially be used in commercial applications.

53.3.1 Dielectrophoretic Force on Nanoobjects

Part F 53.3

Dielectrophoresis has been used to manipulate and separate different types of biological cells. DEP forces can be combined with field-flow fractionation for simultaneous separation and measurement [53.46]. DEP force induces movement of a particle or a nanoobject under non-uniform electric fields in liquid medium as shown in Fig. 53.16. The nanoobject is polarized when it is subjected to an electric field. The movement of the nanoobject depends on its polarization with respect to the surrounding medium [53.47]. When the nanoobject is more polarizable than the medium, a net dipole is induced parallel to the electric field in the nanoobject and, therefore, the nanoobject is attracted to the high electric field region. On the contrary, an opposite net dipole is induced when the nanoobject is less polarizable than the medium, and the nanoobject is repelled by the high electric field region. The direction of the DEP force on the particle is given by the Clausius–Mossotti factor (CM factor, K ). It is defined as a complex factor, describing a relaxation in the effective permittivity of the particle with a relaxation time

AC voltage

Liquid medium

Nonuniform electric field

Particle

FDEP

Microelectrodes

Fig. 53.16 Illustration of the dielectrophoretic manipula-

tion

described by [53.47, 48] K (ε∗p , ε∗m ) =

ε∗p − ε∗m ε∗p + 2ε∗m

.

(53.27)

Complex permittivities of the nanoobject (ε∗p ) and medium (ε∗m ) are defined and given by [53.47, 48] σp , ω σm ε∗m = εm − i , ω ε∗p = εp − i

(53.28) (53.29)

where εp and εm are the real permittivities of the nanoobject and the medium, respectively, σp and σm are the conductivities of the nanoobject and the medium, respectively, and ω is the angular frequency of the applied electric field; the CM factor is frequency-dependent. The time-averaged DEP force acting on the particle is given by [53.47, 48] FDEP =

1 V εm Re(K )∇ | E |2 , 2

(53.30)

where V is the volume of the nanoobject and ∇ | E |2 is the root-mean-square of the applied electric field. Based on this equation, the direction of the DEP force is determined by the real part of the CM factor K . When Re[K ] > 0, the DEP force is positive, and therefore the CNT is moved toward the microelectrode in the high electric field region. When Re[K ] < 0, the DEP force

Nanomanufacturing Automation

is negative, the particle is repelled away from the microelectrode. Moreover, we know that the magnitude and direction of DEP forces depends on the size and material properties of the nanoobjects, so separation of nanoobjects can be done.

1 0.8 Positive DEP force

0.4 0.2 0

K (ω) =

–0.2

K(ω) =

εp* –

Negative DEP force

εm*

εp* + 2εm*

Re [K(ω)] for s-SWCNT in alcohol Re [K(ω)] for m-SWCNT in alcohol

–0.4 –0.6

102

104

106

108 Frequency (Hz)

Fig. 53.17 Plots of Re[K (ω)] that indicated positive and negative

DEP forces on different CNTs

lectively attracted to the microelectrodes by applying AC voltage in the high frequency range (> 10 MHz). However, semiconducting CNTs cannot be attracted by using the same frequency range; this makes the selection of semiconducting CNTs difficult. In order to select semiconducting CNTs to make nanodevices, we fabricated a microchamber (DEP chamber) with arrays of microelectrodes to filter metallic CNTs in the medium. Design and fabrication of the DEP chamber will be discussed in the next section.

53.3.3 DEP Microchamber for Separating CNTs A DEP microchamber was designed and fabricated to filter metallic CNTs in CNT suspension as shown in Fig. 53.18. Many finger-like gold microelectrodes were first fabricated inside the chamber. The performance of the filtering process was affected by the design of these finger-like microelectrodes; the microelectrode

a)

b) Semiconducting CNTs

CNTs

Metallic CNTs CNT dilution in (with metallic and semiconducting CNTs)

Apply AC voltage to microelectrodes

CNT dilution out (semiconducting CNTs)

Microelectrodes

Fig. 53.18a,b DEP microchamber to filter metallic CNT. Metallic CNTs are attracted on microelectrodes. Only semiconducting CNTs flow to the outlet. (a) Side view; (b) top view

Part F 53.3

A theoretical analysis of DEP manipulation on a CNT was performed, and CM factors were calculated for a metallic SWCNT (m-SWCNT) and a semiconducting MWCNTs (s-SWCNT), respectively. In the analysis, semiconducting and metallic CNT mixtures are dispersed in the alcohol medium assuming the permittivities of a s-SWCNT and a m-SWCNT are 5ε0 [53.32] and 104 ε0 [53.37], respectively, where ε0 is the permittivity of free space (ε0 = 8.854188 × 10−12 F/m). The conductivities of a s-SWCNT and a m-SWCNT are 105 S/m and 108 S/m [53.37], respectively. The permittivity and conductivity of the alcohol are 20ε0 and 0.13 μS/m, respectively. Based on these parameters and (53.27), plots of Re[K ] for different CNTs are obtained and shown in Fig. 53.17. The result indicated that s-SWCNTs undergo a positive DEP force at low frequencies (< 1 MHz) while the DEP force is negative when the applied frequency is larger than 10 MHz. However, m-SWCNTs always undergo a positive DEP force at the applied frequency from 10 to 109 Hz. The result also matched the experimental result from [53.33], which showed that the positive DEP effect on SWCNTs reduced as the frequency of applied electric field increased. In addition, the theoretical result provides a better understanding of DEP manipulation on different types of CNTs. DEP force can be used to separate and identify different electronic types of CNTs (metallic and semiconducting). Based on the result shown in Fig. 53.17, metallic CNTs can be se-

939

K (ω)

0.6

53.3.2 Separating CNTs by an Electronic Property Using the Dielectrophoretic Effect

53.3 Nanomanufacturing Processes

940

Part F

Industrial Automation

structure with higher density induced a stronger DEP force such that more CNTs could be attracted to the microelectrodes. The gap distance between these microelectrodes is 5–10 μm. The micropump pumped the CNT suspension to the DEP chamber; a high frequency AC voltage was applied to the finger-like microelectrodes so that metallic CNTs were attracted to them and stayed in the DEP chamber. Semiconducting CNTs remained in the suspension and flowed out of the chamber. Finally, the filtered suspension (with semiconducting CNTs only) was transferred to an active nozzle for the CNT deposition process. This will be described in the next section. The fabrication process of the DEP microchamber is shown in Fig. 53.19. It was composed of two different substrates. Polymethylmethacrylate (PMMA) was used as the top substrate because it is electrically and thermally insulating, optically transparent, and biocompatible. By using a hot embossing technique, the PMMA substrate was patterned with a microchannel (5 mm L × 1 mm W × 500 μm H) and a microchamber (1 cm L × 5 mm W × 500 μm H) by replicating from a fabricated metal mold. In order to protect the PMMA Bottom substrate

Top substrate Hot embossing of PMMA substrate on metal mold

Spin on photoresist on quartz substrate

PMMA

53.3.4 Automated Robotic CNT Deposition Workstation

Pattern and develop PR

Metal mold

Part F 53.3

Deposit Ti and Au

Coat parylene C Remove PR

UV glue bonding Microchamber

PMMA

Parylene C

Quartz

Photoresist

Titanium

Fig. 53.19 The fabrication process of a DEP microchamber

substrate from the CNTs-alcohol suspension, a parylene C thin film layer was coated on the substrate, because parylene resists chemical attack and is insoluble in all organic solvents. Alternatively, quartz was used as the bottom substrate, and arrays of the gold microelectrodes were fabricated on the substrate by using a standard photolithography process. A layer of AZ5214E photoresist with thickness of 1.5 μm was first spun onto the 2 × 1 quartz substrate. It was then patterned by AB-M mask aligner and developed in an AZ300 developer. A layer of titanium with a thickness of 3 nm was deposited by thermal evaporator followed by depositing a layer of gold with thickness of 30 nm. The titanium provided a better adhesion between gold and quartz. Afterwards, photoresist was removed in acetone solution, and arrays of microelectrodes were formed on the substrate. Finally, PMMA and quartz substrate were bonded together by UV-glue to form a close chamber. The fittings were connected at the ends of the channel to form an inlet and an outlet for the DEP chamber. The separation performance of the DEP chamber should be optimized for different nanoobjects. Several parameters should be considered in the process: the concentration of nanoobjects in the suspension, the strength of the DEP force, the flow rate of the suspension in the DEP chamber, the structure of the channel, and the microelectrodes of the DEP chamber.

Gold

In order to manipulate a specific type of CNTs precisely and fabricate the CNT-based nanodevices effectively, a new CNT deposition workstation has been developed as shown in Fig. 53.20. The system consists of a microactive nozzle, a DEP microchamber, a DC microdiaphragm pump, and three micromanipulators. By integrating these components into the deposition workstation, a specific type of CNT can be deposited to the desired position of the microelectrodes precisely and automatically. The micron-sized active nozzle with a diameter of 10 μm was fabricated from a micropipette using a mechanical puller and is shown in Fig. 53.21. It transferred the CNT suspension to the microelectrodes on a microchip, and a small droplet of the CNT suspension (about 400 μm) was deposited on the microchip due to the small diameter of the active nozzle. The volume of the droplet is critical because excessive CNT suspension easily causes the formation of multiple CNTs. The microactive nozzle was then con-

Nanomanufacturing Automation

Electrical circuit of AC voltage for DEP manipulation

PC

Micropump for delivering CNT suspension

Micromanipulator for positioning active nozzle

53.3 Nanomanufacturing Processes

941

Active nozzle

DEP chamber for filtering

CNT suspension

Microelectrodes on microchip

Another electrical circuit of AC voltage for filtering

Fig. 53.20 Illustration of the CNT deposition workstation

15 kV 12.3 mm × 50 SE(U) 9/4/2006 18:32

1 mm

Fig. 53.21 SEM image of the micro active nozzle with

10 μm tip diameter

The micromanipulators, DC microdiaphragm pump, and electrical circuit were connected to the computer and controlled simultaneously during the deposition process. By controlling the position of the micromanipulators, the magnitude and frequency of the applied AC voltage, and the flow rate of the micropump, the CNT suspension can be handled automatically and deposited to the desired position. In the deposition process, AC voltage of 1.5 V peakto-peak with frequency of 1 kHz was applied; a positive DEP force was induced to attract CNTs to the microelectrodes. CNT deposition on multiple pairs of microelectrodes was implemented by controlling the movement of the micromanipulator, which was connected with the active nozzle. Since the position of each pair of the microelectrodes was known from the design CAD file, distances (along x and y axes) between each pair of microelectrodes were then calculated and recorded in the deposition system. At the start, the active nozzle was aligned to the first pair of the microelectrodes as shown in Fig. 53.22a. The position of the active nozzle tip was 2 mm above the microchip. When the deposition process started, the active nozzle moved down 2 mm and a droplet of CNT suspension was deposited on the first pair of microelectrodes as shown in Fig. 53.22b. Afterwards, the active nozzle moved up 2 mm and traveled to the next pair of microelectrodes as shown in Fig. 53.22c. The micromanipulator moved down again to deposit the CNT suspension on the second pair of microelectrodes as shown in Fig. 53.22d. This process repeated continuously until CNT suspension was deposited on each pair of microelectrodes on the microchip. By activating the AC voltage simultaneously, a CNT was attracted and connected between each pair of microelectrodes. The activation time was short

Part F 53.3

nected to the DEP chamber, which was designed to filter metallic CNTs and select semiconducting CNTs in the CNT suspension. The raw CNT suspension was firstly pumped to the DEP chamber through a DC microdiaphragm pump (NF10, KNF Neuberger, Inc.). After the filtering process, the CNT suspension from the DEP chamber was delivered to the active nozzle for CNT deposition. By mounting the active nozzle to one of the computer controllable micromanipulators (CAP945, Signatone Corp.), the active nozzle could be moved to the desired position of the microelectrodes automatically. In order to apply the electric field to the microelectrodes during the deposition process, the other pair of micromanipulators was connected to an electrical circuit and moved to the desired location of the microelectrodes; therefore, AC voltage with different magnitudes and frequencies could be applied.

942

Part F

Industrial Automation

a)

Active nozzle

b)

CNT suspension

c)

d)

1st Electrodes

2nd Electrodes

2nd Electrodes

2nd Electrodes

3rd Electrodes

Fig. 53.22a–d CNT deposition process flow observed under the optical microscope. (a) The active nozzle tip aligned to the initial electrodes, (b) CNT suspension deposited, (c) the nozzle was moving to next electrodes, and (d) CNT suspension deposited on the second electrodes

(≈ 2 s) to avoid the formation of bundled CNTs on the microelectrodes. After the deposition process, AFM was used to check the CNT formation as shown in Fig. 53.23. Sometimes, there are some impurities or more than one CNT trapped between the microelectrodes as shown in Fig. 53.23f. Therefore, it is necessary to take another step to clean up the microelectrodes gap area and adjust the position of the CNT to make the connection. This final step is very critical and is termed CNT assembly; it can be done by our AFM-based nanomanipulation system. I –V characteristics of the CNT-based devices were also obtained as shown in the inset images of Fig. 53.23. Based on the results, this indicates that both SWCNTs and MWCNTs could be repeatedly and automatically manipulated between the microelectrodes by using the deposition system. This CNT deposition workstation integrates all essential components to manipulate the specific type of CNTs to desired positions precisely

Part F 53.3

a)

b)

c)

d)

e)

f)

Fig. 53.23a–f AFM images showing the individual CNTs was deposited on the microelectrodes. Panels (a–c) are SWCNTs, panels (d–f) are MWCNTs. The inset images are the corresponding

I –V curves of each CNT

by DEP force. The development of this system produces benefits to the assembling and manufacturing of CNT-based devices. The yield of depositing CNTs on the microelectrodes is very high after optimizing the following factors: the concentration of the CNT suspension, the volume of the CNT suspension droplet, and the activation time, magnitude and frequency of the applied electric field. In order to validate the separation performance of different CNTs by the DEP chamber, experiments for both raw CNT suspension (before passing through the DEP chamber) and filtered CNT suspension were conducted, respectively. The procedure for preparing the CNT suspension was the same as the process presented in the previous section. SWCNT powder (BU-203, Bucky USA, Nanotex Corp.) was dispersed in an alcohol liquid medium, and the CNT suspension was put in the ultrasonicator for 15 min. The length of the SWCNT is 0.5–4 μm. Finally, the raw SWCNT suspension was prepared and the concentration was about 1.1 μg/ml. Afterwards, the separation process was performed on the raw SWCNT suspension as illustrated in Fig. 53.24. During the process, the raw SWCNT suspension was pumped to the DEP chamber through the micropump. The flow rate was about 0.03 l/min. A high frequency AC voltage (1.5 Vpp, 40 MHz) was applied to the microelectrodes in the DEP chamber; it induced a positive DEP force on metallic SWCNTs in the suspension but a negative DEP force on semiconducting SWCNTs. Since the metallic SWCNTs were attracted to the microelectrodes and stayed in the DEP chamber, it was predicted that only semiconducting SWCNTs remained in the filtered SWCNT suspension. The filtered SWCNT suspension was then collected at the outlet of the chamber for later CNT disposition process. After preparing the raw and filtered SWCNT suspension, the deposition process was performed by using our CNT deposition workstation, which was intro-

Nanomanufacturing Automation

Raw CNT suspension

Micropump

53.3 Nanomanufacturing Processes

943

Filtered CNT suspension

Selection chamber

Fig. 53.24 The CNT filtering process

duced in the previous section. In the experiment, the raw SWCNT suspension and the filtered SWCNT suspension were deposited on the microchip 20 times, respectively. The electronic properties of CNTs in both suspensions were then studied by measuring the I –V curves. The yields to obtain semiconducting CNTs from the raw CNT suspension and filtered CNT suspension were also compared. Based on the preliminary results, the yield of depositing semiconducting SWCNTs (from the raw SWCNT suspension) was about 33% as shown in Fig. 53.25; the yield of depositing semiconducting SWCNTs (from the filtered SWCNT suspension) was about 65% as shown in Fig. 53.25. The yield to form semiconducting CNTs is very important because many devices require materials with semiconducting properties. The results indicated that there was significant improvement in forming semiconducting CNTs on the microelectrodes by using our DEP chamber. The yield

in forming semiconducting CNTs changed from 33% (before the filtering process) to 65% (after the filtering process). The yield should be improved by optimizing the concentration of CNT suspension, the strength of the DEP force, the flow rate of the suspension in the DEP chamber, the structure of the channel, and the microelectrodes of the DEP chamber. The yield to form semiconducting CNTs is very important because it affects the successful rate to fabricate nanodevices. The yield to form semiconducting CNTs was increased by using our system. Although there is a synthesis that produces nearly 90% of semiconducting CNTs by PECVD [53.49], both CNT synthesis methods and post-processing separation methods are important and can be combined for different applications. Our separation system is a post-processing method, which can be used together with different CNT synthesis methods. Since our system used electrical signal to control the

a) Log current (A)

b) Log current (A) 10–4

10–4

10–5

Part F 53.3

10–3

10–6

10–5

10–7 10–6 10–8 –7

10

10–9

–8

10

10–10

10–9 0.01 1– Metallic 4– Metallic 7– Semiconducting 10– Metallic 13– Semiconducting 16– Metallic 19– Metallic

0.1

1 2– Semiconducting 5– Metallic 8– Semiconducting 11– Metallic 14– Semiconducting 17– Metallic 20– Semiconducting

10 Log voltage (V) 3– Semiconducting 6– Metallic 9– Metallic 12– Metallic 15– Metallic 18– Metallic

10–11 0.01 1 – Semiconducting 4 – Semiconducting 7 – Metallic 10 – Metallic 13 – Semiconducting 16 – Semiconducting 19 – Semiconducting

0.1

1 2 – Metallic 5 – Semiconducting 8 – Semiconducting 11 – Semiconducting 14 – Semiconducting 17 – Semiconducting 20 – Metallic

10 Log voltage (V) 3 – Metallic 6 – Metallic 9 – Semiconducting 12 – Semiconducting 15 – Semiconducting 18 – Metallic

Fig. 53.25a,b I –V characteristics of SWCNTs. (a) For the raw SWCNT suspension, (b) for the filtered SWCNT suspension

944

Part F

Industrial Automation

Current (nA) 0

eventually the process can be operated automatically and precisely. As a result, batch nanomanufacturing of nanodevices can be achieved by this system.

IR laser is OFF

–0.2 –0.4

53.3.5 CNT-Based Infrared Detector

–0.6 –0.8 –1 –1.2 –1.4 –1.6 –1.8

IR laser is ON 0

5

10

15

20

25

30 Time (s)

Fig. 53.26 Temporal photoresponses of a CNT-based IR detector

DEP manipulation and separation, it can be integrated with current robotic manufacturing systems easily, and

When semiconducting CNTs are deposited on the microelectrodes, the photonic effects of the CNT-based nanodevice can be studied. For example, a CNT device was put under the infrared (IR) laser source (UH530G-830-PV, World Star Tech, optical power: 30 mW; wavelength: 830 nm), and the photocurrent from the CNT-based nanodevice was measured. The laser source was configured to switch on and off in several cycles; the temporal photoresponses of the device are shown in Fig. 53.26. The experimental result showed the CNTbased device was sensitive to the IR laser, so CNTs can be used to make novel IR detectors. More detail design and fabrication of CNT-based IR detectors are given in [53.50–52]

53.4 Conclusions

Part F 53

Automated nanomanipulation is desirable to increase the efficiency and accuracy of nanoassembly. Automated nanoassembly of nanostructures is very challenging because of the manipulation path generation for different nanoobjects, position errors due to random drift, and cantilever deformation during nanomanipulation. This chapter discussed automated nanomanipulation technology for nanoassembly. Automated nanomanipulation methods of nanoobjects were developed, and an automated local scanning method was presented to compensate for the random drift. A CAD guided automated nanoassembly method was developed. CAD guided automated nanoassembly was able to open a door to assembly of complex nanostructures and nanodevices. The effectiveness of the system has also been verified by inscribing nano features on soft surface, manipulating nanoparticles DNA molecules and characterization of biological samples [53.53–56]. Moreover, CNT separation by a DEP chamber and the

development of an automated CNT deposition workstation that applies DEP manipulation on CNTs were presented. The system assembles semiconducting CNTs to the microelectrodes effectively and, therefore, it is possible to improve the success rate to fabricate nanodevices. The separation method developed in this paper is a post-processing method, which can be used together with different CNT synthesis methods. Since our system used electrical signals to control CNT separation and DEP manipulation, it can be integrated into current robotic manufacturing systems easily, and eventually it will be possible to operate the process automatically and precisely. It opens the possibility of batch fabricating CNT-based devices. Furthermore, the nanomanufacturing process is not limited to CNTs, but it can be used on other nano materials such as ZnO and InSb nanowires etc. The development of the nanomanufacturing process will achieve different novel nano devices effectively.

References 53.1

G. Binning, C.F. Quate, C. Gerber: Atomic force microscope, Phys. Rev. Lett. 56(9), 930–933 (1986)

53.2

D. Wang, L. Tsau, K.L. Wang, P. Chow: Nanofabrication of thin chromium film deposited on Si(100)

Nanomanufacturing Automation

53.3

53.4

53.5

53.6

53.7

53.8

53.9

53.10

53.11

53.13

53.14

53.15

53.16

53.17

53.18

53.19

53.20

53.21

53.22

53.23

53.24

53.25

53.26

53.27

53.28

53.29

53.30

53.31

H. Chen, N. Xi, W. Sheng, Y. Chen: General framework of optimal tool trajectory planning for free-form surfaces in surface manufacturing, J. Manuf. Sci. Eng. 127(1), 49–59 (2005) J. Zhang, N. Xi, G. Li, H.-Y. Chan, U.C. Wejinya: Adaptable end effector for atomic force microscopy based nanomanipulation, IEEE Trans. Nanotechnol. 5(6), 628–642 (2006) A. Javey, J. Guo, D.B. Farmer, Q. Wang, D. Wang, R.G. Gordon, M. Lundstrom, H. Dai: Carbon nanotube field-effect transistors with integrated ohmic contacts and high-k gate dielectrics, Nano Lett. 4(3), 447–450 (2004) A. Bachtold, P. Hadley, T. Nakanishi, C. Dekker: Logic circuits with carbon nanotube transistors, Science 294, 1317–1320 (2001) I.A. Levitsky, W.B. Euler: Photoconductivity of single-walled carbon nanotubes under CW illumination, Appl. Phys. Lett. 83, 1857–1859 (2003) L. Liu, Y. Zhang: Multi-wall carbon nanotube as a new infrared detected material, Sens. Actuators A 116, 394–397 (2004) J.A. Misewich, R. Martel, P. Avouris, J.C. Sang, S. Heinze, J. Tersoff: Electrically induced optical emission from a carbon nanotube FET, Science 300, 783–786 (2003) L. Valentini, I. Armentano, J.M. Kenny, C. Cantanlini, L. Lozzi, S. Santucci: Sensors for sub-ppm NO2 gas detection based on carbon nanotube thin films, Appl. Phys. Lett. 82, 4623–4625 (2003) J. Kong, N.R. Franklin, C. Zhou, M.G. Chapline, S. Peng, K. Cho, H. Dai: Nanotube molecular wires as chemical sensors, Science 287, 622–625 (2000) G.Y. Li, N. Xi, M. Yu, W.K. Fung: Augmented reality system for real-time nanomanipulation, Proc. IEEE Int. Conf. Nanotechnol. (San Francisco 2003) L. Liu, Y. Luo, N. Xi, Y. Wang, J. Zhang, G. Li: Sensor referenced real-time videolization of atomic force microscopy for nanomanipulations, IEEE/ASME Trans. Mechatron. 13(1), 76–85 (2008) K.W.C. Lai, N. Xi, C.K.M. Fung, J. Zhang, H. Chen, Y. Luo, U.C. Wejinya: Automated nanomanufacturing system to assemble carbon nanotube based devices, Int. J. Robot. Res. (IJRR) 28(4), 523–536 (2009) K.W.C. Lai, N. Xi, U.C. Wejinya: Automated process for selection of carbon nanotube by electronic property using dielectrophoretic manipulation, J. Micro-Nano Mechatron. 4(1), 37–48 (2008) M.S. Arnold, A.A. Green, J.F. Hulvat, S.I. Stupp, M.C. Hersam: Sorting carbon nanotubes by electronic structure using density differentiation, Nat. Nanotechnol. 1, 60–65 (2006) P.G. Collins, M.S. Arnold, P. Avouris: Engineering carbon nanotubes and nanotube circuits using electrical breakdown, Science 292, 706–709 (2001)

945

Part F 53

53.12

surfaces by tip induced anodization in atomic force microscopy, Appl. Phys. Lett. 67, 1295–1297 (1995) D.M. Schaefer, R. Reifenberger, A. Patil, R.P. Andres: Fabrication of two-dimensional arrays of nanometer-size clusters with the atomic force microscope, Appl. Phys. Lett. 66, 1012–1014 (1995) T. Junno, K. Deppert, L. Montelius, L. Samuelson: Controlled manipulation of nanoparticles with an atomic force microscope, Appl. Phys. Lett. 66(26), 3627–3629 (1995) P. Avouris, T. Hertel, R. Martel: Atomic force microscope tip-induced local oxidation of silicon: kinetics, mechanism, and nanofabrication, Appl. Phys. Lett. 71, 285–287 (1997) R. Nemutudi, N. Curson, N. Appleyard, D. Ritchie, G. Jones: Modification of a shallow 2DEG by AFM lithography, Solid-State Electron. 57/58, 967–973 (2001) S.J. Ahn, Y.K. Jang, S.A. Kim, H. Lee, H. Lee: AFM nanolithography on a mixed LB film of hexadecylamine and palmitic acid, Ultramicroscopy 91, 171– 176 (2002) E. Dubois, J.-L. Bubbendorff: Nanometer scale lithography on silicon, titanium and PMMA resist using scanning probe microscopy, Solid-State Electron. 43, 1085–1089 (1999) M. Sitti, H. Hashimoto: Tele-nanorobotics using atomic force microscope, Proc. IEEE Int. Conf. Intell. Robot. Syst. (Victoria 1998) pp. 1739–1746 M. Guthold, M.R. Falvo, W.G. Matthews, S. Paulson, S. Washburn, D.A. Erie, R. Superfine, F.P. Brooks Jr., R.M. Taylor II: Controlled manipulation of molecular samples with the nanomanipulator, IEEE/ASME Trans. Mechatron. 5(2), 189–198 (2000) A.A.G. Requicha, C. Baur, A. Bugacov, B.C. Gazen, B. Koel, A. Madhukar, T.R. Ramachandran, R. Resch, P. Will: Nanorobotic assembly of twodimensional structures, Proc. IEEE Int. Conf. Robot. Autom. (Leuven 1998) pp. 3368–3374 L.T. Hansen, A. Kühle, A.H. Sørensen, J. Bohr, P.E. Lindelof: A technique for positioning nanoparticles using an atomic force microscope, Nanotechnology 9, 337–342 (1998) G.Y. Li, N. Xi, M. Yu, W.K. Fung: 3-D nanomanipulation using atomic force microscope, Proc. IEEE Int. Conf. Robot. Autom. (Taipei 2003) G. Li, N. Xi, H. Chen, C. Pomeroy, M. Prokos: Videolized atomic force microscopy for interactive nanomanipulation and nanoassembly, IEEE Trans. Nanotechnol. 4(5), 605–615 (2005) G. Li, N. Xi, M. Yu, W.-K. Fung: Development of augmented reality system for AFM-based nanomanipulation, IEEE/ASME Trans. Mechatron. 9(2), 358–365 (2004) H. Chen, N. Xi, G. Li: CAD-guided automated nanoassembly using atomic force microscopybased nonrobotics, IEEE Trans. Autom. Sci. Eng. 3(3), 208–217 (2006)

References

946

Part F

Industrial Automation

53.32

53.33

53.34

53.35

53.36

53.37

53.38

53.39

53.40

53.41

Part F 53

53.42 53.43

R. Krupke, F. Hennrich, H. von Lohneysen, M.M. Kappes: Separation of metallic from semiconducting single-walled carbon nanotubes, Science 301, 344–347 (2003) R. Krupke, S. Linden, M. Rapp, F. Hennrich: Thin films of metallic carbon nanotubes prepared by dielectrophoresis, Adv. Mater. 18, 1468–1470 (2006) M. Yu, M.J. Dyer, G.D. Skidmore, H.W. Rohrs, X. Lu, K.D. Ausman, J.R.V. Ehr, R.S. Ruoff: Threedimensional manipulation of carbon nanotubes under a scanning electron microscope, Nanotechnology 10, 244–252 (1999) T. Fukuda, F. Arai, L. Dong: Assembly of nanodevices with carbon nanotubes through nanorobotic manipulations, Proc. IEEE 91, 1803– 1818 (2003) N.G. Green, A. Ramos, H. Morgan: AC electrokinetics: a survey of sub-micrometre particle dynamics, J. Phys. D: Appl. Phys. 33, 632–641 (2000) M. Dimaki, P. Boggild: Dielectrophoresis of carbon nanotubes using microelectrodes: a numerical study, Nanotechnology 15, 1095–1102 (2004) J. Li, Q. Zhang, N. Peng, Q. Zhu: Manipulation of carbon nanotubes using AC dielectrophoresis, Appl. Phys. Lett. 86, 153116–153118 (2005) A. Subramanian, L.X. Dong, J. Tharian, U. Sennhauser, B.J. Nelson: Batch fabrication of carbon nanotube bearings, Nanotechnology 18, 075703 (2007) A. Subramanian, T. Choi, L.X. Dong, D. Poulikakos, B.J. Nelson: Batch fabrication of nanotube transducers, Proc. 7th IEEE Conf. Nanotechnol. (IEEENANO2007) (Hong Kong 2007) R. Resch, C. Baur, A. Bugacov, B.E. Koel, A. Madhukar, A.A.G. Requicha, P. Will: Building and manipulating three-dimensional and linked twodimensional structures of nanoparticles using scanning force microscopy, Langmuir 14(23), 6613– 6616 (1998) J. Israelachvili: Intermolecular and surface forces (Academic Press, London 1991) G.Y. Li, N. Xi, M. Yu, W.K. Fung: Modeling of 3-D interactive forces in nanomanipulation, IEEE/RSJ Int. Conf. Intell. Robot. Syst. (Las Vegas 2003)

53.44

53.45

53.46

53.47

53.48 53.49

53.50

53.51

53.52

53.53

53.54

53.55

53.56

P.M. Garth: Nonlinear programming: Theory, algorithm and applications (Wiley, New York 1983) M. Avriel: Nonlinear Programming: Analysis and Methods (Prentice Hall, Englewood Cliffs 1976) J.C. Giddings: Field-Flow Fractionation: Analysis of macromolecular, colloidal, and particulate materials, Science 260, 1456–1465 (1993) H. Morgan, N. G. Green: AC Electrokinetics: colloids and nanoparticles (Research Studies Press Ltd. Hertfordshire 2003) T.B. Jones: Electromechanics of Particles (Cambridge Univ. Press, Cambridge 1995) Y. Li, D. Mann, M. Rolandi, W. Kim, A. Ural, S. Hung, A. Javey, J. Cao, D. Wang, E. Yenilmez, Q. Wang, J.F. Gibbons, Y. Nishi, H. Dai: Preferential growth of semiconducting single-walled carbon nanotubes by a plasma enhanced CVD method, Nano Lett. 4(2), 317–321 (2004) J. Zhang, N. Xi, H. Chen, K.W.C. Lai, G. Li: Design, manufacturing and testing of single carbon nanotube based infrared sensors, IEEE Trans. Nanotechnol. 8(2), 245–251 (2009) J. Zhang, N. Xi, H. Chen, K.W.C. Lai, G. Li: Photovoltaic effect in single carbon nanotube based Schottky diodes, Int. J. Nanopart. 1(2), 108–118 (2008) J. Zhang, N. Xi, K. Lai: Fabrication and testing of a nano infrared detector using a single carbon nanotube (CNT), SPIE Newsroom (2007), online at: http://spie.org/x8489.xml J. Zhang, N. Xi, L. Liu, H. Chen, K.W.C. Lai, G. Li: Atomic force yields a master nanomanipulator, IEEE Nanotechnol. Mag. 2(2), 13–17 (2008) G. Li, N. Xi, D.H. Wang: Probing membrane proteins using atomic force microscopy, J. Cell. Biochem. 97, 1191–1197 (2006) G. Li, N. Xi, D.H. Wang: Investigation of angiotensin II type 1 receptor by atomic force microscopy with functionalized probe, Nanomed. Nanotechnol. Biol. Med. 1(4), 302–312 (2005) G. Li, N. Xi, D.H. Wang: In situ sensing and manipulation of molecules in biological samples using a nano robotic system, Nanomed. Nanotechnol. Biol. Med. 1(1), 31–40 (2005)

947

Production, S

54. Production, Supply, Logistics and Distribution

Rodrigo J. Cruz Di Palma, Manuel Scavarda Basaldúa

54.1 Historical Background ........................... 947 54.2 Machines and Equipment Automation for Production...................................... 54.2.1 Production Equipment and Machinery............................ 54.2.2 Material Handling and Storage for Production and Distribution .... 54.2.3 Process Control Systems in Production ............................. 54.3 Computing and Communication Automation for Planning and Operations Decisions ...................... 54.3.1 Supply Chain Planning ................. 54.3.2 Production Planning and Programming ....................... 54.3.3 Logistic Execution Systems............ 54.3.4 Customer-Oriented Systems .......... 54.4 Automation Design Strategy .................. 54.4.1 Labor Costs and Automation Economics .......... 54.4.2 The Role of Simulation Software.... 54.4.3 Balancing Agility, Flexibility, and Productivity .........................

949 949 949 950

951 951 952 953 953 954 954 954 954

54.5 Emerging Trends and Challenges ........... 955 54.5.1 RFID Technology in Supply Chain and Networks ............................. 955 54.6 Further Reading ................................... 958 References .................................................. 959

54.1 Historical Background Automation is any technique, method or system of operating or controlling a process without continuous input from an operator, thereby reducing human intervention to a minimum. Many believe that automation of supply chain networks began with the use of personal computers in the late 1970s, while others date it back to the use of electricity in the early 1900s. The fact is that,

regardless of when we place the beginning of automation, it has changed the way we work, think, and live our lives. Children are now in contact with automation from the day they are born, such as automated machines that monitor the vital signs of premature infants. As people grow older, they continually have contact with automation via automatic teller machines (ATMs),

Part F 54

To effectively manage a supply chain it is necessary to coordinate the flow of materials and information both within and among companies. This flow goes from suppliers to consumers, as it passes through manufacturers, wholesalers, and retailers. While materials and information move through the supply chain, automation is used in a variety of forms and levels as a way to raise productivity, enhance product quality, decrease labor costs, improve safety, and even to perform tasks that go beyond the precision and reliability of humans. A rapid development in information technology has transformed not only the way people work and interact with each other; electronic media enable enterprises to collaborate on their work and missions within each organization and with other independent enterprises, including suppliers and customers. Within this chapter, the focus is on the main benefits of automation in production, supply, logistics, and distribution environments. The first Section centers on machines and equipment automation for production. The second section focuses on computing/communication automation for planning and operations decisions. Finally, the last section highlights some considerations regarding economics, productivity, and flexibility important to bear in mind while designing an automation strategy.

948

Part F

Industrial Automation

Table 54.1 Supply chain evolution at a food-products production and service company

Before supply chain

After supply chain design

Advantages

Forecasting/ ordering

The company determines the amount of nuts that a customer will expect in its food products.

Forecasting accuracy, collaborative replenishment planning

Procurement

The company phones its Brazilian office and employees deliver the orders in person to local farmers, who load the raw nuts on trucks and deliver them to the port. The shipping company notifies the company when the nuts have sailed. When the nuts arrive in a US port, a freight-forwarder processes the paperwork to clear the shipment through customs, locates a truck to deliver them to the company plants, and delivers the nuts to the company’s manufacturing plant, although it may be only half-full and return empty, costing the company extra money. The nuts are cleaned, roasted, and integrated with various food products manufactured by the company according to original production forecast. The products are packed, and trucks take them to the company’s multiple warehouses across the country, from where they are ready to be shipped to stores. However, they may not be near the store where the customer needs them because local demand has not been considered.

The company and its customer share sales forecasts based on current point-of-sale data, past demand patterns, and upcoming promotions, and agree on an amount and schedule to supply. The company contacts its Brazilian office by email, but employees still must contact local farmers personally.

Shippers and truckers share up-todate data online via a collaborative global logistics system that connects multiple manufacturers and transportation companies and handles the customs’ process. The system matches orders with carriers to assure that trucks travel with full loads.

Online tracking, transportation cost minimization

Production forecast is updated based on the current demand for product mix, and products are manufactured under lean manufacturing and just-in-time principles. After food products are inspected and packed at the plant, the company sends the products to a third-party distributor, which relieves the company of a supply chain activity not among its core competencies. The distributor consolidates the products on trucks with other products, resulting in full loads and better service. The company correctly knows the customer’s needs so there is neither a shortage nor an oversupply of the products. Transportation, distribution, warehousing, and inventory costs drop, and product and service quality improve.

Capacity utilization, production efficiency

Transportation

Manufacturing

Distribution

Part F 54.1 Customer

If the company ordered too many nuts, they will turn soft in the warehouses, and if they ordered too few, the customer will buy food products with nuts elsewhere.

Enhanced communication

Enhanced distribution planning, inventory management and control.

Increased customer satisfaction. Cost minimization, profit maximization

Production, Supply, Logistics and Distribution

self-operated airplanes, and self-parking cars. In the industrial realm, automation now can be seen from such simple tasks as milking a cow to complex repetitive task such as building a new car. Computing and communications have also transformed production and service organizations over the past 50 years. While working in parallel, error recovery, and conflict resolution have been addressed by human workers since early days of industry, they have recently been transformed by computer into integrated functions [54.1].

54.2 Machines and Equipment Automation for Production

949

Automation is the foundation of many of society’s advances. Through productivity advances and reductions in costs, automation allows more complex and sophisticated products and services to be available to larger portions of the world’s population. The evolution of supply chain management at a company as an automation example of the production, supply, logistics, and distribution is described in Table 54.1. An example of such evolution for a specific company is discussed in [54.2].

54.2 Machines and Equipment Automation for Production 54.2.1 Production Equipment and Machinery Automation has been used for many years in manufacturing as a way to increase speed of production, enhance product quality, decrease labor costs, reduce routine labor-intensive work, improve safety, and even to perform tasks that go beyond the precision and reliability of normal human abilities [54.3, 4]. Examples of au-

54.2.2 Material Handling and Storage for Production and Distribution Fig. 54.1 Industrial robotics in car production (courtesy of

KUKA Robotics)

Material handling equipment plays an important role in the automation of production, storage, and distribution systems, by interconnecting the fixed or flexible work-

Part F 54.2

tomation range from the employment of robots to install a windshield on a car, machine tools that process parts to build a computer processor, to inspection and testing systems for quality control to make certain the precise amount of cereal is in each box of cornflakes. An example of industrial robots for car production is shown in Fig. 54.1. There are many reasons that explain why automation has become increasingly important in today’s production systems. The main justifications arise from the relative strengths that automation provide in comparison with humans within a production environment. Automated machines are able to perform systematic and repetitive tasks, requiring precision, storing large amounts of information, executing commands fast and accurately, handling multiple tasks simultaneously, and conducting dangerous/hazardous work [54.5, 6]. However, in spite of the numerous benefits and advantages automation may offer, it is not always the best solution and in some cases it is not even a feasible one. In situations with elevated levels of variation, short product lifecycles, or highly customized production, a solution involving automation can be unnecessarily complex and expensive when compared with a traditional manual process. Under these circumstances, the benefits of a manual process, such as the flexibility and lower capital requirements, begin to gain relative advantage when compared with an automated process.

950

Part F

Industrial Automation

a classification capability that makes them especially attractive for highly variable, small-size shipments. Examples of operations that utilize sorters for their shipments are Federal Express, United Parcel Services, and Amazon. Kimberly-Clark claims that a sorter mechanism implemented at one of its distribution facilities in Latin America has improved the truck loading operation time from 1–3 h to 20 min. With capacity of 200 cases per minute and fully customizable logic (i. e., it can be programmed to follow a balanced sorting sequence per dock or a sorting sequence by customer orders), this sorter has significantly increased truck rotation at the Kimberly-Clark distribution center versus the previous manual system. Fig. 54.2 Inertial guidance automatic guided vehicle (courtesy of

the Jervis B. Webb Company)

Part F 54.2

stations that compose them. Typical automated material handling systems include conveyors for moving product in a general direction inside a facility, sorters and carousels for distributing products to specific locations, and automated storage and retrieval systems (ASRS) for storage and automated guided vehicles (AGVs) for transporting materials between work stations [54.7]. For instance, AGVs play an important role in the paper industry, where moving roles quickly and efficiently is critical. The key is not to damage rolls during this handling process. An example of an AGV in the paper industry is shown in Fig. 54.2. Conveyors are believed to be the most common material handling system used in production and distribution processes. These systems are used when materials must be transferred in relatively large quantities between specific machines or workstations following a predetermined path or route. Most of these systems use belts or wheels to transfer materials horizontally or gravity to move materials between points at different heights. Frequently within production or distribution systems several types of conveyors are utilized in a combined manner, constituting conveyor networks or integrated systems. In more sophisticated conveyor networks, sorters are utilized. A sorter consists of an array of closely coupled, high-density diverters used to sort units (materials, products, parts, etc.) to specific lanes for further consolidation. Consequently, in addition to the transfer functionality supported by regular conveyor systems, sorter mechanisms provide, by means of sensors,

54.2.3 Process Control Systems in Production Production systems can be designed with different levels of automation. However in all automated production systems, even at the lowest levels of sophistication constituted by automated devices such as valves and actuators, a control system of some kind is required. At the individual machine level, the automatic control is executed by computer systems. An example is computer numerical control (CNC), which reads instructions from an operator in order to drive a machine tool. At the automatic process level there are two main types of control systems, the programmable logic controller (PLC) and the distributed control system (DCS). These systems were initially developed to support distinctive process control functions. PLCs began replacing conventional relay/solid-state logic in machine control while DCSs were merely a digital replacement of analog controllers and panel-board displays. However, the technology of both types of process control systems has evolved over the years and the differences in their functionalities have become less straightforward. Further up in the hierarchy, at the production cell level, cell controllers provide coordination among individual workstations by interacting with multiple PLCs, DCSs, and other automated devices. At the highest production automation level, control systems such as manufacturing control systems and/or integrated plant systems initiate, coordinate, and provide visibility of the manufacturing operation of all other lower control levels [54.6, 8].

Production, Supply, Logistics and Distribution

54.3 Planning and Operations Decision Automation

951

54.3 Computing and Communication Automation for Planning and Operations Decisions 54.3.1 Supply Chain Planning To manage the supply chain effectively it is necessary to coordinate the flow of materials and information both within and between companies (e.g., [54.9]). The focus of the supply chain planning process is to synchronize activities from raw materials to the final customer. Supply chain planning processes strive to find an integrated solution for the strategic, tactical, and operational activities in order to allow companies to balance supply and demand for the movement of goods and services. Information and communication technology (ICT) plays a vital role in supply chain planning by facilitating the flow of information and enhancing the cooperation between customers, suppliers and third party partners. As show in Fig. 54.3, intranets can be used to integrate information from isolated business processes within the firm to help them manage their internal supply chains.

Access to these private intranets can also be extended to authorized supplies, distributors, logistics services, and to retail customers to improve coordination of external supply chain processes [54.10]. Electronic data interchange (EDI) and other similar technologies not only save money by reducing or eliminating human intervention and data entry, but also pave the way for collaboration initiatives between organizations. An example would be vendor-managed inventory (VMI) where customers send inventory and consumption information to suppliers, who schedule deliveries to keep customer inventory within agreed upon ranges. VMI not only provides benefits for the customer but also increases demand visibility for the supplier and leads to cost savings and reduced inventory investment for the supplier. As shown in Fig. 54.4, EDI can significantly improve productivity. A typical illustration is the case of Warner-Lambert that increased its prod-

Suppliers

Order processing Procurement

Inventory Logistics services

Customers Planning & scheduling

Shipping Distributors Product development

Production Marketing

Partner enterprises

Fig. 54.3 Intranet and extranet for supply chain planning

Part F 54.3

Retailers

Enterprise intranet & extranet

952

Part F

Industrial Automation

Retailer Operational system

I n

ERP

I

Data warehouse

n

t r a n e

ICT systems can also be used for simulating and optimizing a system. An example could be where ICT data is used to simulate the impact on a process with different levels of work in process on total productivity.

Producer

t Retail link

SCM manufacturing planning

EDI Sales data at POS

Retailer server

Producer server

r a n e

Forecast

t

t Review and comments

Inventory plan

Fig. 54.4 Producer and retailer EDI application

ucts’ shelf-fill rate at its retailer Wal-Mart from 87% to 98% by EDI application, earning the company about US $ 8 million a year in additional sales [54.11]. ICT is key to the strategic, tactical, and operational analysis required for supply chain planning. Transactional ICT helps to automate the acquisition, processing, and communication of raw data related to historic and current supply chain operations. This data is utilized by ICT systems for evaluating and disseminating decisions based on rules; for example, when inventory reaches a certain level, a purchase order for replenishment is automatically generated [54.12]. The data from

54.3.2 Production Planning and Programming As the rate of technological innovation increases, maintaining a competitive cost structure may rely heavily on production efficiency generated by an effective production planning and programming process. For large-scale, global enterprises where traditional MRPI (material resource planning (1st generation)) and MRPII (material resource planning (2nd generation)) approaches may not be sufficient, new enterprise resource planning (ERP) solutions are being deployed that not only link all aspects from the bill of materials to suppliers to customer orders, but also utilize different algorithms in order to efficiently solve the scheduling conundrum. A general framework of ERP implementation is shown in Fig. 54.5. Off-the-shelf solutions such as those provided by software supplier SAP advance planner and optimizer (APO) provide automatic data classification and retrieval, allowing key measures to be retrieved for strategic, tactical, and operational planning. However, typical ERP systems are rigid and have a difficult time adjusting to the complexity in demand requirements and constant innovation of the product portfolio

Human resource management

Procurement

Marketing studied

Material requirement planning

Product development & quality assurance

Production scheduling & re-scheduling

Price & promotion ERP analytics

Fig. 54.5 A general framework of ERP implementation

Inventory planning & control

Sales

Distribution planning

Order entry

Customers

Part F 54.3

Suppliers

Accounting and financial management

Production, Supply, Logistics and Distribution

mix. Global companies that compete in diverse marketplaces may choose to address these issues by building their own large-scale optimization models. With a solid database structure, these models are able to adapt to continuous changes in portfolios and can incorporate external influences on demand, such as market trends in promotions and advertisement. Over the past decade, there has been a shift in focus from business functions to business processes and further into value chains. Nowadays, enterprises focus on the effectiveness of the operation that requires functions to be combined to achieve end-to-end business processes [54.13].

54.3.3 Logistic Execution Systems Logistic execution systems (LES), seek to consolidate all logistic functions, such as receiving, storage, inventory management, order processing, order preparation, yard management and shipping into an integrated process. The LES can be designed to communicate with the firms enterprise network and external entities such as customers and suppliers. The LES might be part of the ERP system or otherwise should communicate with it through interfaces in order to interact with other modules such as finance, purchasing, administration, and production planning. Usually it also communicates with customers, suppli-

Yard management Controls dock activities and schedules dock appointments to avoid bottlenecks

54.3 Planning and Operations Decision Automation

953

ers, and carriers via EDI or web-based systems with the purpose of sharing logistic information relevant to all parties such as order status, trucks availability, confirmation of order reception, etc. A LES is usually composed of a warehouse management system (WMS) complemented with a labor management system (LMS) and a transportation management system (TMS). Essentially WMS issues, manages, and monitors tasks related to warehouse operation performance. A WMS improves efficiency and productivity of warehousing operations usually supported by (1) barcode and (2) radiofrequency data communications technologies. Both of these technologies provide a WMS with instantaneous visibility of warehouse operations, facilitating precise inventory control as well as accurate knowledge of labor and equipment resources availability. The addition of labor and transportation management in a LES has expanded the span of WMS functionality to the point of practically embracing every logistic function from receiving to the actual shipping of goods. An example of a WMS is shown in Fig. 54.6.

54.3.4 Customer-Oriented Systems Many times, automation is thought to only apply to the production environment. However, there are a myriad of examples where other critical non-production-related processes have been automated, such as order entry,

Cross docking Incoming shipments directed to shipping dock to fill outgoing orders without put away and picking

Warehouse optimization Slotting optimizes placement of items

Warehouse management Customer labeling and packaging Special packaging; bar coding

Fig. 54.6 A warehouse management system with decision support systems

Labor management Plans, manages, and reports on performance of warehouse personnel

Part F 54.3

Order management Orders added, modified, or cancelled in real time

Order tracking Tracks inbound and outbound shipments

954

Part F

Industrial Automation

inventory management, customer service, and product portfolio management. The goal of these applications is to improve upon the customers’ experience with suppliers. For many companies, the customer experience is a very tangible and measurable effect that should be viewed through the eyes of the customer. The goal for

the supplier is to be easy to do business with. For this reason, many companies have decided to automate how the customer exchanges information related to sales and logistics functions. One example of this automation is the use of cellular phone messaging technology to coordinate in real time to the customer the status of their order throughout the delivery process.

54.4 Automation Design Strategy 54.4.1 Labor Costs and Automation Economics Competitive dynamics and consumer needs in today’s marketplace demonstrate the need for manufacturer flexibility in response to the speed of change. Modern facilities must be aligned with the frequent creation of new products and processes and be prepared to manage these changes and resulting technological shifts. Therefore, one of the most difficult issues now facing companies is identifying a manufacturing strategy that includes the optimal degree of automation for a given competitive environment. As shown in Fig. 54.7, increased production costs, including the mitigation of possible labor shortages, is one of the initial reasons companies look towards automation. However, production costs alone are usually not sufficient to justify the cost of investment. Productivity, customer response time, and speed to market are many times key factors to the success of automating

a production process and must be measured in order to effectively determine the impact on costs and expected revenues in the future.

54.4.2 The Role of Simulation Software Determining the benefits of automation may prove to be a challenge, especially when there are complex relationships among processes. Will there be improvement in throughput and will this be sufficient to justify the required investment? With the availability of software such as ARENA by Rockwell Automation, simulation can be used as a tool to test different possible scenarios without having to make any physical changes to an existing system. This tool can be especially useful in cases where the required investment for automation is high and the expected benefits are not easily measured. In addition, the visual simulation capabilities related to this type of software facilitates the analysis of the process as well as obtaining top management support.

54.4.3 Balancing Agility, Flexibility, and Productivity

Production unit cost Hard automation

Manual manufacturing

Part F 54.4

Manual

Robotic manufacturing

Flexible Fixed

Production volumes

Fig. 54.7 Automation tradeoffs based on production vol-

umes

Typical business concerns such as increasing sales and operating profit are always considered key performance drivers that lead the investment strategy within an organization. However, with the complexity that can be found within certain marketplaces, a local niche or a well-developed global market, the need for sustainable growth has increasingly become one of the most important aspects when determining a competitive strategy. A major competitive concern in the global market is agility in order to react to a dynamic market. To maintain agility between autonomous and geographically distributed functions significant investment in automated error detection is required to facilitate recovery and conflict resolution [54.1]. This complexity has adjusted the traditional returnon-investment (ROI) approach to automation invest-

Production, Supply, Logistics and Distribution

ment justification by determining success on how well the solution is able to create value for customers through services or products. What are customers looking for? Is it on-shelf availability at a slightly higher price? A highly computerized ASRS (automated storage and retrieval system) may provide increased throughput, less errors, and speed in distribution. What if the cus-

54.5 Emerging Trends and Challenges

955

tomers change how and where products are required? An AS/RS may not be flexible enough to adjust quickly to changes in demand patterns or shifts in the demand network nodes. The correct solution should address customers’ expectations regarding product quality, order response times, and service costs, seeking to obtain and sustain customer loyalty.

54.5 Emerging Trends and Challenges The use of automation in production and supply chain processes has expanded dramatically in recent years. As globalization advances along with product and process innovation, it would seem that the importance of automation will continue to intensify into the future. The landscape of global manufacturing is changing. More and more production plants are being built in India, Brazil, China, Indonesia, Mexico, and other developing countries. The playing field is rapidly being leveled. However, by crossing borders, companies also increase the complexity of operations and supply chains. Virtually seamless horizontal and vertical integration of information, communication, and automation technology throughout the organization is needed by companies such as Wal-Mart, Kimberly-Clark, Procter and Gamble, Clorox, and Nestle, in order to address the dynamics of today’s manufacturing environment. Collaborative design, manufacturing, planning, commerce, plant-to-business connectivity, and digital manufacturing are just some of the many models that seem to be on the horizon for leading manufacturing companies in order to induce further integration of processes. Several technologies and systems are reaching the required level of maturity to support these models, and thereby accelerate the adoption of automation in production and supply chains. Examples of these technologies would be: Supply chain planning systems Supply chain security Manufacturing operations management solutions Active radiofrequency identification (RFID) Sensor-based supply functions Industrial process automation.

Furthermore other technologies such as manufacturing process management frameworks, supplier relationship management suites, supply chain execution systems, passive RFID technology, Six-Sigma IT (information technology), and lean manufacturing sys-

54.5.1 RFID Technology in Supply Chain and Networks An emerging technology with great promise is the use of radiofrequency identification (RFID) to automate the collection of data. A RFID tag is a small computer chip that can send via radiofrequency a small amount of information a short distance. The signal is captured by a RFID antenna and then transferred to a computer network for data processing (Fig. 54.8). The general RFID system architecture, applications, frequencies, and standards are shown in Fig. 54.9 and Table 54.2.

“Who are you” Identify yourself Antenna

EPC number EPC attributes • RFID server sends “talk” request to reader • Antenna broadcasts “talk” request • Tags within RF field “wakes up” and exchange EPC data • Antenna recognizes tag signal and transmits data back to reader • Reader communicates collected EPC data back to RFID server for applications and analytics

Fig. 54.8 How RFID works

Part F 54.5

• • • • • •

tems are more on an emerging or adolescence level of maturity [54.3, 4]. In addition, collaborative e-Work theory and techniques are emerging as powerful automation support for production, supply, logistics, and distribution [54.14, 15] (see also Chap. 88).

RFID server

Reader

956

Part F

Industrial Automation

1 Raw materials and components for production can be tagged to automate receiving, tracking of inventory, lot control, etc. The result is more streamlined operations

2 Tags can be integrated into the cartons that will contain the products.

6 Sensors in the shipment can record temperature, humidity, and other conditions during transit and report them at the end of the journey.

5 Load authentication is streamlined by allowing truck weight to be compared to attributes of the contents reported by the tags. Errors are detected earlier.

3 Manufacturer produces products and packages them in cartons on pallets. Each carton and/or pallet can have RFID tag. The unique EPC number can be assigned when the tag is first created (factory programmed) or can be “written” later (field programmable).

Inventory system of record

4 Readers allow more accurate picking and shipping, record all products that leave the factory, and report status to the inventory system of record.

8 Arriving products are automatically detected throughout the distribution center. Manual steps are eliminated so costs are reduced and accuracy is improved.

7 Authentication of import products links digital certification to specific EPC numbers, speeding customs inspections and decreasing opportunities for Counterfeit products to enter the supply chain.

9 Order information is integrated throughout a crossdock operation–receiving, sorting, staging, and shipping are streamlined.

RFID possible-state vision

Warehouse management system (WMS)

12 Tracking products throughput the supply chain reduces loss and theft of inventory. In the event of a tampering incident, lot control information is available to trace the problem to its source.

Part F 54.5

16 Product recall management is simplified because tags allow monitoring of cases and pallets as they move backwards through the supply chain.

11 Validation to ensure that products, quantities, and destinations are correct is facilitated by readers that can trigger a warning before the products are loaded on trucks.

13 RFID readers detect all product moves within store and can automatically prevent stock-out conditions. 14 RFID readers on recycling bins can monitor tags attached to cartons and deduce that individual products have been put on the retail shelves.

Consolidated point-of-sale data

15 Automatic inventory replenishment orders can be accelerated and more accurate by supplementing the POS system information with RFID data.

Fig. 54.9a,b RFID in the supply chain (courtesy of BearingPoint’s RFID solution)

10 Warehouse management system tracks and updates all inventory movement in real time with each read event.

Production, Supply, Logistics and Distribution

54.5 Emerging Trends and Challenges

Table 54.2 RFID functions, frequencies, and standards How the technology works

[54.16]

ONS server

Applications

Frequencies

Standards

Animal, identification dogs, cats, cattle

< 135 kHz

Smart cards, passport, books at library

13.553–13.567 MHz

ISO 18000–2 ISO 11784 ISO 11785 ISO 14223 ISO 18000–3 ISO 7618 ISO 14443 ISO 15693 13.56 MHz ISM band class 1 EPC global class 1 Gen-2 ISO 18000–6

Supply chain

868– 928 MHz

for retail

RFID is becoming increasingly prevalent as the price of the technology decreases. Some RFID applications are summarized in Table 54.3. In supply chain applications, current uses of RFID technology are focused on location identification of products. These are as varied as identifying trailers and ocean freight trailers in a trailer yard, stopping of shoplifting of small but higher-priced fast-moving consumer goods such as razor blades, as well as an alternative to a WMS. In broader uses Wal-Mart is discovering RFID technology

Less than 2"

Middleware

Internet

EPC network

Internet

Middleware filters raw data and applies relevant business rules to control what goes into the core system.

Tag

Tag stores a unique electronic product code (EPC) to identify the product. Can be integrated with the package or with the product itself. The EPC functions like a key, unlocking a wealth of detail about the product.

ONS server matches the EPC number from a tag-read event (the only data stored on an RFID tag) to the address of a specific server on the EPC Information Services Network.

Reader

Tag includes a microchip with an antenna atteched. Typically attached to a self-adhesive label.

957

Enterprise Transactional System

EPC network contains detailed information about individual products. Companies establish rules to govern data, access, and security among trading partners.

Reader beams radio signal that “wakes up” the tag so it can reply with its EPC number. Radio waves allow tags to be detected at specific points in the supply chain even when products are concealed in shipping containers. Any tag that's within range of the reader will be detected.

Fig. 54.9 (b)

can help it increase sales by making sure inventory at the store’s loading dock is actually placed on the shelf. The barriers to implementing RFID technology are cost, effectiveness, and fears of a loss of personal privacy. The cost of a RFID tag has declined 90% in the past few years, but is still expensive and their use is usually limited to pallets and not on individual cases, products or boxes. It is believed that the uses of RFID

Table 54.3 RFID applications and examples

Examples

Documents (e.g., passports)

Year 2000: Malaysia, Year 2005: New Zealand, The Netherlands, Norway, Year 2006: Ireland, Japan, Pakistan, Germany, Portugal, Poland, Year 2007: UK, Australia, and USA Electronic Road Pricing (Canada) T-Money (Korea) Octopus Card (Hong Kong) Super Urban Intelligent Card (Japan) Chicago Card and the Chicago Card Plus, PayPass, CharlieCard (USA) Cattle tracking Jewelry tracking Library book or bookstore tracking Truck and trailer tracking Wal-Mart inventory system Boeing 787 Dreamliner maintenance and inventory system Promotion tracking

Transportation payments

Product tracking

Supply chain network

Part F 54.5

Application

958

Part F

Industrial Automation

tags on individual packages is many years away. The other cost barrier is the investment in antennas. For a company such as Wal-Mart to utilize RFID technology, they need to install antennas in all of their distribution centers as well as all of their stores. Also RFID technology has problems sending signals through certain dense materials such as liquids, which limits their use. Finally, some people feel that, if RFID tech-

nology improves in terms of the distance a signal can be sent, then people will be able to determine which products are in people’s homes, and thoughts of Big Brother come to mind. Currently the technology is not capable of fulfilling these privacy concerns, but the concern will continue to slow the acceptance of the technology. See also Chap. 49 on Digital Manufacturing and RFIDBase Automation.

54.6 Further Reading • • • • • • • • •

Part F 54.6

• •

A. Dolgui, J. Soldek, O. Zaikin: Supply Chain Optimization: Product/Process Design, Facility Location and Flow Control (Springer, New York 2005) A.G. Kok, S.C. Graves: Supply Chain Management; Design, Coordination, and Operation, 1st edn. (Elsevier, Amsterdam Boston 2003) A. Rushton, P. Croucher, P. Baker: The Handbook of Logistics and Distribution Management (Kogan Page 2006) B. Kim: Supply Chain Management (Wiley (Asia), Hoboken 2005) C.E. Heinrich: RFID and Beyond: Growing Your Business through Real World Awareness (Wiley, Indianapolis 2005) D.E. Mulcahy: Warehouse Distribution and Operations Handbook (McGraw Hill, New York 1993) D.F. Ross: Distribution: Planning and Control (Springer, London 1995) D.J. Bowersox, D.J. Closs, M.B. Cooper: Supply Chain Logistics Management, 2nd edn. (McGrawHill/Irwin, Boston 2007) E.W. Schuster, S.J. Allen, D.L. Brock: Global RFID: The Value of the EPC Global Network for Supply Chain Management (Springer, London 2007) G. Simson: RFID: Applications, Security, and Privacy (Addison-Wesley, Upper Saddle River 2006) H. Chen, P.B. Luth: Scheduling and coordination in manufacturing enterprise automation, Proc. 2000 IEEE international Conference on Robotics and Automation (2000), pp. 389–394

• • • • • • • • • •

Harvard Business School Press: Harvard Business Review on Supply Chain Management (Harvard Business Review, Boston 2006) I. Bose, R. Pal: Auto-ID: Managing anything, anywhere, anytime in the supply chain, Commun. ACM 48(8), 100–106 (2005) J. Berger, J.L. Gattorna: Supply chain cybermastery: building high performance supply chains of the future (Gower Publishing Company 2001) J.J. Coyle, E.J. Bardi, C.J. Langley: The Management of Business Logistics: A Supply Chain Perspective (South-Western/Thomson Learning, Mason 2003) J.-S. Song: Supply Chain Structures: Coordination, Information and Optimization (Springer, London 2001) N. Nicosia, N.Y. Moore: Implementing Purchasing and Supply Chain Management: Practices in Market Research (RAND, Santa Monica 2006) N. Viswanadham: Supply chain engineering and automation, Proc. 2000 IEEE international Conference on Robotics and Automation (2000) pp. 408– 413 N. Viswanadham: The past, present and future of supply-chain automation, IEEE Robot. Automat. Mag. 9(22), 48–56 (2002) T.E. Vollmann, W.L. Berry, D. Clay: Manufacturing Planning and Control System for Supply Management, 5th edn. (McGraw-Hill, New York 2005) S. Chopra, P. Meindl: Supply Chain Management: Strategy, Planning, and Operation, 2nd edn. (Prentice Hall, Upper Saddle River 2004)

Production, Supply, Logistics and Distribution

References

959

References 54.1

54.2 54.3 54.4

54.5 54.6

54.7 54.8

C.Y. Huang, J.A. Ceroni, S.Y. Nof: Agility of networked enterprises – parallelism, error recovery and conflict resolution, Comput Ind. 42, 275–287 (2000) F. Keenan: Logistics Gets a Little Respect, Bus. Week, 112–115 (2007) Gartner, Inc.: Hype Cycle for Manufacturing 2005, Gartner’s Hype Cycle Special Report (2005) S.-L. Jämsä-Jounela: Future trends in process automation, Annu. Rev. Control 31(2), 211–220 (2007) H. Jack: Integration and Automation of Manufacturing Systems (2001) R.A. LeMaster: Lectures on Automated Production Systems (Department of Engineering, University of Tennessee at Martin) F. Gómez-Estern: Cintas Transportadoras en Automatización de la Producción, in Spanish M. Piszczalski: Plant control evolution - technology update information, Automot. Des. Prod. (2002), http://www.thefreelibrary.com/Plant+control+ evolution.+(Technology+Update+Information). -a084237484

54.9

54.10

54.11

54.12

54.13

54.14

54.15

54.16

R.S. Russell, B.W. Taylor III: Operations Management, 4th edn. (Prentice Hall, Upper Saddle River 2003) K.C. Laudon, J.P. Laudon: Management Information Systems, 9th edn. (Prentice Hall, Upper Saddle River 2006) E. Turban, D. Leidner, E. Mclean, J. Wetherbe: Information Technology for Management, 6th edn. (Wiley, New York 2008) J.F. Shapiro: Business Process Expansion to Exploit Optimization Models For Supply Chain Planning (2002) P. Anussornnitisarn, S.Y. Nof: e-Work: the challenge of the next generation ERP systems, Prod. Plan. Control 14(8), 753–765 (2003) S.Y. Nof: Collaborative control theory for e-Work, e-Production, and e-Service, Annu. Rev. Control 31, 281–292 (2007) S.Y. Nof, F.G. Filip, A. Molina, L. Monostori, C.E. Pereira: Advances in e-Manufacturing, eLogistics, and e-Service systems. Milestone report, Proc. IFAC Congress’08 (Seoul 2008) EPCglobal: http://www.epcglobalinc.org

Part F 54

“This page left intentionally blank.”

961

Material Hand

55. Material Handling Automation in Production and Warehouse Systems Jaewoo Chung, Jose M.A. Tanchoco

This chapter presents material handling automation for production and warehouse management systems that process: receipt of parts from vendors, handling of parts in production lines, and storing and shipping in warehouses or distribution centers. With recent advancements in information interface technology, innovative system design technology, and intelligent system control technology, more sophisticated systems are being adopted to enhance the productivity of material handling systems. Information interface technology utilizing wireless devices such as radiofrequency identification (RFID) tags and mobile personal computers significantly simplifies information tracking, and provides more accurate data, which enables the development of more reliable systems for material handling automation. Highly flexible and efficient automated material handling systems have been newly designed for various applications in many industries. Recently these systems have been connected into large-scale integrated automated material

55.2 System Architecture .............................. 964 55.2.1 Material Management System ...... 965 55.3 Advanced Technologies ......................... 55.3.1 Information Interface Technology (IIT) with Wireless Technology ...... 55.3.2 Design Methodologies for MHA..... 55.3.3 Control Methodologies for MHA .... 55.3.4 AI and OR Techniques for MHA .....

969 969 971 972 975

55.4 Conclusions and Emerging Trends .......... 977 References .................................................. 977

handling systems (IAMHS) that create synergy with material handling automation by proving speedy and robust infrastructures. As a benefit of highlevel material handling automation, the modern supply chain management (SCM) successfully synchronizes sales, procurement, and production in enterprises.

of a stand-alone automated material handling system (AMHS), and the system was a relatively small part of the production or warehouse facility. Nowadays, the impact of the system throughout the supply chain is becoming larger and more complicated; for example, a radiofrequency identification (RFID) system enhances customer satisfaction by providing convenience in data tracking as well as reducing order picking times and shipping errors in warehouse. AMHSs are not alternatives selected after prudent economic analysis, but are rather major components in a production and warehouse facility. Also, the sizes of systems and the complexities of their operations are increasing. Multiple AMHSs consisting of RFID systems, automated guided vehicles (AGVs) systems, and au-

Part F 55

In today’s competitive environment, suppliers must be equipped with more cost-effective and faster supply chain systems to remain in the market. Companies are investing in material handling automation (MHA) not only to reduce labor cost, delivery time, and product damage, but also to increase throughput, transparency, and integratability in production and warehouse management systems. The material handling industry has grown consistently over many years. The Material Handling Industry of America (MHIA) estimates that, in 2006, new orders of material handling equipment machines (MHEM) grew 10% compared with 2005 and set a new record high at US$ 26.3 billion in the USA [55.1]. In the past, labor cost was the most important element for estimating the return on investment (ROI)

55.1 Material Handling Integration ............... 962 55.1.1 Basic Concept and Configuration .. 962

962

Part F

Industrial Automation

Fig. 55.1a,b IAMHS for pharmaceutical industry (courtesy of Murata Machinery). (a) Warehouse system for pharmaceutical industry, (b) material flows in warehouse system above

a) Warehouse system for pharmaceutical industry

Vertical stacker pallet retrieval line

Filling in /packing/ transportation line

tomated storage and retrieval systems (AS/RSs) are typically installed in a production and warehouse facility as a connected system. As its complexity has increased, optimization of the design and operation of these systems has become of interest to both AMHS vendor companies and their customers. Many examples of these integrated systems can be observed in the semiconductor [55.2], automotive [55.3], and freight industries [55.4, 5].

Load handling area

Small cargo delivery line

Piece picking system

Free-size AS/RS Floor storage area

Pallet AS/RS

Receiving and inspection

b) Material flows in warehouse system above

This chapter introduces practical applications of MHA for production and warehouse systems. It starts by introducing a concept of the IAMHS that uses several types of the AMHS in a single integrated system (Figs. 55.1 and 55.2). The focus is particularly on what an IAMHS consists of and how it collaborates with other systems in SCM. Based on this introduction, components of the IAMHS and their recent technology advancement in the MHA will be reviewed.

Part F 55.1

55.1 Material Handling Integration 55.1.1 Basic Concept and Configuration An IAMHS integrates different types of automated material handling equipment in a single control en-

vironment. A simple type of IAMHS was used for seaport or airport cargo terminals, which are served by stacker cranes and AGV systems [55.5]. The main issue of the simple IAMHS is how to reduce wait-

Material Handling Automation in Production and Warehouse Systems

Fig. 55.2 New IAMHS design for next-generation semiconductor fab (courtesy of Middlesex)

963

Finally, the IAMHS is operated by handheld terminals providing many applications in the warehouse. It is equipped with an RFID or barcode reader that allows flexible adaptation to changes in distribution quantity. The semiconductor industry is equipped with one of the most complex IAMHSs for wafer fabrication (fab) lines (Fig. 55.3). A fab line may consist of more than 300 steps and 500 process tools. Material transportation between tools in a wafer fab line is fully automated by the overhead hoist transporter (OHT) system, which is a type of rail-guided vehicle (RGV) system, an AS/RS called the stocker, a lifting system that transfers wafer carriers between different floors, and a mini-environment that is used for a standard interface of machines with the AMHS. For the next generation of IAMHS in a fab line, Middlesex has proposed a new concept using conveyor systems (Fig. 55.2) instead of OHT systems and stockers, which guarantees larger-capacity transfers and quick response times for deliveries. Middlesex has focused on high-end conveyor systems for many years. More reviews of the IAMHS in the semiconductor industry are provided by MontoyaTorres [55.2]. These IAMHSs are generally highly flexible in design for customized usage, and some of them are even unique and revolutionary. Kempfer [55.7] introduced an order picking system utilizing a voice recognition system and RFID system in a largescale automated distribution center. The article reports that the average order picking performance was improved from 150 cases per man-hour to 220 cases per man-hour by reducing operators’ information handling time. A few companies also achieved similar

EDI server

ERP system Master planning

Other systems in SCM

WMS

MES

SDS

Transfer command A IAMHS

Data server

MMS Transfer command B

AGV controller

Conveyor controller

AS/RS controller

Fig. 55.3 IAMHS in hierarchical system architecture

RFID system

Part F 55.1

ing time during job transition between two different AMHSs to increase throughput; for instance, an AGV has to wait after arriving at the load position if the crane is not ready to unload a container to the AGV. If their jobs are poorly synchronized, the waiting time will be longer, and as a consequence throughput will drop. Recently IAMHSs with more complicated component systems have been implemented for many companies in different industries. Figure 55.1 shows an example IAMHS used in a warehouse system in the pharmaceutical industry [55.6]. In this configuration, there are five different types of the AMHS. First, a pallet AS/RS is installed and the temperatures of each shelf in the AS/RS can be controlled according to the characteristics of the products stored to maintain product quality. Second, a free-size AS/RS is used for storage of individual orders and items that are frequently replenished. It can store items regardless of their size, shape, or weight since it uses a hoisting carriage that can handle a wide range of products. Third, an automated overhead traveling vehicle is installed to replenish items with minimum labor cost and waiting time. It uses overhead space to increase space efficiency. Another AMHS used is a digital picking system, providing convenience for picking tasks by displaying directions on a digital panel installed on the shelves.

55.1 Material Handling Integration

964

Part F

Industrial Automation

improvements by adopting an integrated RFID and voice recognition system [55.8, 9]. Chang et al. [55.9] proposed an integrated multilevel conveying device for an automated order picking system that transfers articles between two different levels of a multi-

storey building to improve the operational and spatial efficiency of the warehouse system. The system employs a specially designed device comprised of a stacker crane, a vehicle-based transporter, and conveyor system.

55.2 System Architecture

Part F 55.2

In the design of a large-scale IAMHS, a well-structured system reduces the redundancies of functions in different modules, unnecessary transactions between modules, and system errors caused by large and complex individual functions of these modules. An algorithm ignoring the system architecture sometimes tends to create many problems during implementation, mainly because of the lack of necessary information and difficulty in interacting with existing systems [55.10]. Examples of this limitation can be found in the literature. An AGV scheduling algorithm under an FMS environment determines a sequence of the AGV route within a certain time horizon, considering the information from both the work centers and AGV systems on a shop floor. However, under this system architecture, it is very difficult for an AGV controller to take into consideration complex constraints of work centers such as machine status, processing times, and setup times because of the long calculation time. Therefore, generally, job sequencing and scheduling are performed independently by the scheduling and dispatching system, which is then connected to the AGV controller using a sequence of protocols. An AGV controller only takes care of requested transfer commands, which specify source and destination locations, priorities, and command trigger times. There is already too much load on the AGV controller in its original tasks, which include path planning for a vehicle, job dispatch for a newly idle vehicle, vehicle dispatch for a new job requested, error recovery, etc. [55.11]. Therefore, the developed AGV scheduling algorithm should be modified based on the structure of the system architecture. One way to carry out this modification is to break up the algorithm for different modules in the system structure. During this break-up process, it is unavoidable to change the algorithm depending on the availability of information to the module, which sometimes causes significant performance degradation compared with the original algorithm. As the number of subsystems being used in production and warehouse systems continues to increase, a well-structured system will be

beneficial for facilitating collaborations between different departments as well as these systems. However, it is an open challenge to construct a well-designed system structure that accommodates all the different types of AMHS regardless of the size of the system and the type of business on which the IAMHS is centered. Various types of system architecture can be used to design an IAMHS with other application systems, depending on the manufacturing type of the shop floor, the size of the total system, the number of transactions per second, etc. Figure 55.3 illustrates a design example of the system architecture for the IAMHS presented in Fig. 55.1. The focus of this figure is on software modularity. Each AMHS has its own controller (the four controllers at the bottom of Fig. 55.3), which is responsible for its own tasks and communication with the material management system (MMS), which is a highlevel integrating system that will be explained later in more detail; for example, an AGV controller addresses job allocation, path planning, and collision avoidance, receives a transfer command from the MMS (transfer command B in Fig. 55.3), and reports necessary activities such as vehicle allocation and job completion to the MMS so that data are kept for tracking in the future. Each controller also has to process errorrecovery routines for robustness of the system control. The MMS manages multiple controllers of different AMHSs, and has a database server to store all transactions of the subsystems in the IAMHS. It receives transfer commands or short-term scheduling results of processing machines from the scheduling module in a higher-level system in the SCM (transfer command A in Fig. 55.3). In this structure, long-term optimization of processing machines is responsible for the higher-level system, and the MMS focuses on efficiencies during the transportation of unit loads within production and warehouse facilities. Details of the MMS are explained in the next section. As shown in Fig. 55.3, the higherlevel systems of the MMS can be a manufacturing execution system (MES), warehouse management sys-

Material Handling Automation in Production and Warehouse Systems

965

The dispatching module is sometimes included in the MMS, and creates the transfer commands based on the scheduling results and its own dispatching rules for the real-time status of the shop floor. The IAMHS takes charge of the final execution of the SCM in an enterprise and also provides useful information as described above. The higher-level systems of the IAMHS automate information processing throughout an enterprise. The MES in Fig. 55.3 is a tracking system that collects important data from processing machines and stores them in well-structured database tables for analysis of quality and process controls; however, it has expanded its role into many other areas based on a powerful open architecture. It has been popularly used in the electronic industry such as in semiconductor fabs and surface-mounting technology (SMT) lines, and has recently spread into other industries. The warehouse management system (WMS) is generally used in mid- or large-size warehouse facilities, similar to MES for a production shop floor; it tracks every movement of materials and support operations for material handling in the warehouse. Its focus is on information processing automation. The objective of implementing an ERP system in a company is information sharing for rapid and correct decision-making, and implementation throughout the enterprise by using an integrated database system [55.12]. Chapter 90 provides a more thorough discussion of ERP and related concepts. The whole procedures of order entry, production planning, material procurement, order delivery, and corresponding cash flow are managed by the system. All the data from different applications in an enterprise or between different enterprises are exchanged by an electronic data interchange (EDI) server, which allows automated exchange of data between applications. Based on the EDI technology, applications freely exchange purchase orders, invoices, advance ship notices, and other business documents directly from one business system to the other without human support. Figure 55.4 illustrates the connectivity of IAMHS to other systems in SCM, which is used in an actual industry.

55.2.1 Material Management System The role of the MMS is very important in a complex IAMHS for high-level automation. The main functions of the MMS are summarized below, in increasing order of importance. This summary does not discuss the dispatching module that assigns unit loads to process-

Part F 55.2

tem (WMS), and enterprise resource planning (ERP) system. The WMS can be substituted by the MMS if the warehouse is composed of relatively simple systems. Understanding high-level decision-support systems in SCM helps to understand the scope of control tasks performed by the IAMHS. The advanced planning and scheduling (APS) system generally consists of planning and scheduling modules. Sometimes the scheduling module is again broken down into scheduling and dispatching modules (SDS in Fig. 55.3). The ERP system generally includes the planning module; however, the scheduling and dispatching modules can be included in any other systems such as the MES and WMS. In Fig. 55.3, it is assumed that the modules are running in a stand-alone system called the SDS that communicates with the ERP system, MES, and MMS. The planning module makes a long-term production or procurement plan based on customer orders, demand forecasting results, and capacity constraints. Its time horizon varies from weeks to months. Practically, it hardly optimizes complex factors of resources on the shop floor because of the long computation time, but constructs highly aggregated planning. Its results include production quantities for each product type and time bucket, or production due dates for each product type or product group. Detailed resource requirement plans are not specified by the planning module due to the uncertainties and complexities of operations. The scheduling module is responsible for delineating more concrete plans for the shop floor to meet the target production plan from the planning module. It typically tries to optimize various resource constraints with several objectives such as due-date satisfaction and throughput maximization. Detailed resource requirement plans over time buckets within a time horizon are created by the scheduling module. It sometimes takes into account constraints in the AMHS for more robust scheduling. The time horizon of the scheduling module varies from a few hours to days. The dispatching module determines the best unit load for a machine in real time following a trigger event from the machine or unit load. It tries to follow up closely the scheduling results, which are globally optimized. The MMS in the IAMHS receives transfer commands from either the scheduling module or dispatching module based on its system architecture. These transfer commands are the result of the scheduling, machine assignment or job sequencing on processing machines. The MMS manages the process of the given transfer command by creating more detailed transfer commands to the AMSHs in the IAMHS.

55.2 System Architecture

966

Part F

Industrial Automation

HOST

ERP

Production line Genetic algorithm (GA) palletizing system

EDI

Vehicle dispatch Wireless terminal system system

Logistics data server

Transport planning Terminals support system Wireless mobile phones

Printer

Wireless in-vehicle terminals

Fig. 55.4 Connectivity of IAMHS to other systems in SCM (courtesy of Murata Machinery)

ing machines because this involves so many topics; however, the dispatching functions bounded to AMHSs (i. e., dispatching unit loads while not considering process machines) will be discussed here. The roles of the MMS in a complex IAMHS are: 1. Determining the best destination among several possible AMHS alternatives 2. Determining the best route to get to the destination from a source location via several AMHSs 3. Determining a proper priority for the transfer command 4. Storing and reporting various data using a database server 5. Transfer command management between different AMHSs 6. Error detection and recovery for the transfer command 7. Providing a user interface for control, monitoring, and reporting.

AGVS #1

AGVS #2

AGVS #3 Machine #9

Machine #2 Machine #4

Machine #5

Machine #8

Machine #1

Part F 55.2

AS/RS #1

OP3

AS/RS #2

OP0201

AS/RS #3

OP0201 Conveyor #1

Fig. 55.5 Example of IAMHS

AS/RS #4

For a unit load to be transferred, its source and destination locations are mainly determined by the dispatching or scheduling module; however, when the candidate destinations are AMHSs, it is sometimes more efficient for the MMS to determine the final destination than for the scheduling or dispatching module to do so. Consequently, the dispatching and scheduling system provides a destination group to the MMS. Figure 55.5 illustrates the execution process of a transfer command. In the figure, if a unit load from Machine #2 has just finished its processing and has to be transferred to an AS/RS to be processed next, on one of the machines connected to AGVS #3 (dashed arrow in the figure), there are two candidate AS/RSs connected to AGVS #3: AS/RS #3 and AS/RS #4. The AS/RS group connected to AGVS #3 is named AS Group #3. The MMS will receive a transfer command from the dispatching module, specifying the source location as Machine #2 and the final destination as AS Group #3. Since there are two alternative destinations, the MMS may consider the product type of the unit load, the full rate of each AS/RS, the load port status of each AS/RS, the shortest distances from the unit load to the AS/RSs considering current active jobs in each system, and so on. It will determine the best AS/RS amongst the two alternatives and trigger a transfer command to AGVS #1, which will first move the load to AS/RS #1 from Machine #2. The MMS is also responsible for determining the destination subsystem in an AMHS, such as the load/unload port (or pickup/drop-off port) in an AS/RS, because there are generally multiple load/unload ports with different types and numbers of buffers. The ports

Material Handling Automation in Production and Warehouse Systems

may differ, being load only, unload only or of unified type. Assume that a unit load in AS/RS #2 in Fig. 55.5 has to be moved to Machine #5, connected to AGVS #2 (solid arrow in Fig. 55.5). First, the unit load has to be moved to one of the output ports in the AS/RS. The AS/RS controller may not know which output port will be the best among the three possible ones in the figure because it does not know the next destination of the unit load. The MMS may determine a load port connected to AGVS #2, OP0201 in Fig. 55.5. In practical application, the problems are generally much more complicated than this illustration due to the increased instances in the system. Few studies have addressed this type of problem. Sun et al. [55.13] and Jimenez et al. [55.14] stress the importance of this problem in the literature and introduce a few ideas being used in practical applications; however, their methods leave much room for improvement in that they use static approaches and consider limited factors. Obtaining the best route to get to the destination is another important task of the MMS. In a complex IAMHS, there are many possible routes consisting of different AMHS types. The IAMHS in Fig. 55.5 is represented by the graph in Fig. 55.6. A graph can be encoded in database tables by using an adjacency matrix or incidence matrix for use by a computer program. The adjacency matrix is a simple from–to chart between a pair of vertices, in which the value of an edge is the distance between the vertex pair, being zero if the pair are not connected. The incidence matrix rep-

M 03

M 02

55.2 System Architecture

967

resents the connectivity of vertices by edges. Using a graphical representation of the IAMHS, many predefined properties and algorithms of graph theory can be applied to develop algorithms for the MMS; for example, Dijkstra’s algorithm can be used to determine the shortest path from a source to destination location. The time intervals between the arrivals of transfer commands are sometimes completely random in that there are significant fluctuations in the number of arrivals during different time periods. When the queue size increases on AMHSs, use of different priorities for transfer commands often provides a very useful solution to improve overall system performance. It is reported that a good priority algorithm can improve the throughput of a production facility [55.15]. There are two types of tables in the database of the MMS. One type of table stores parameters for control algorithms and status user interfaces (UIs). These need a minimum number of entities to achieve a shorter transaction time when they are queried. The other type of table stores data for movement histories based on communication messages between component systems of the IAMHS. The accuracy of these historical data have been significantly improved by material handling automation with advanced information interface technology (IIT) by using RFID technology or barcode systems. A large amount of information can be extracted from the historical data, including the standard operating time of a machine, the processing routes of

M 03

M 02

M 03

M 01

AS 01

M 04 AS 02

M 02 AS 03

CS 01

AS 04

Fig. 55.6 Graphical representation of the IAMHS in Fig. 55.5

Part F 55.2

Naming convention of vertices in the graph: Two-digit number in a vertex followed by alphabets represents the index number of machines or AMHS. For example, M01 is the machine #1 connected to AGVS #1 and CS 01 is the conveyor #1. Acronyms are as follows. M: Machine, AS: AS/RS, CS: Conveyor System

968

Part F

Industrial Automation

a unit load over machines in different process stages, the lead time of the unit load from start to finish, etc. These data provide useful information for various purposes. Most of all, without accurate data from production and warehouse systems, it is hard to achieve successful realization of the enterprise-level decision support systems explained above. Good planning strongly depends on accurate data. In practice, many companies have invested in expensive ERP systems capable of automated production planning for their shop floors; however, many of them do not use the module because of poor planning and scheduling quality from the system. One of the main reasons for this poor quality is sometimes due to the lack of good data from the material handling system, which relies on manual jobs and operator paperwork. Accurate data from AMHSs also helps to achieve lean manufacturing on the shop floor by providing precise measures. For a complicated shop floor, it is often difficult to define a bottleneck stage or performance measures of the bottleneck machines. Lean manufacturing starts from well-defined and accurate performance measures. Many details of the machines can be analyzed by data relating to material movements from machine to machine, examples of which include machine throughput, product lead time, and the workin-process (WIP) for each processing stage. Sometimes they also provide benefits for engineering analysis for the improvement of quality control. The performance of the IAMHS can also be measured and improved by using these historical data. A new algorithm under test can be easily tracked to assess how it performs in an actual application. Since there are many data transactions, summarized tables are sometimes used for long-term analysis. Data-mining approaches are helpful in designing these tables. AS/RS #2

MMS

AGVS #2

AS/RS #3

Move request #1 Job assign report #1 Job completion report #1

Move request #2 Pick-up report #1

Port status change #1 Job completion report #2

Part F 55.2

Port status change request #2 Move request #3 Port status change request #3 Job completion report #3

Fig. 55.7 Message sequence for a simple transfer command

Another important task of the MMS is the path management function, which controls a sequence of transportation jobs. Let us consider the following simple transfer request as an example. A transfer request is sent to the MMS from the dispatching module to move a unit load from a rack in AS/RS #2 to AS/RS #3 through AGVS #2 in Fig. 55.5. Figure 55.7 shows a message sequence illustrating communication between the MMS and AS/RS controllers involved in this transfer, and between the MMS and AGV controller. A few more messages might be used in actual systems. As seen in the figure, although this is a relatively simple transferring task, more than 13 messages are used to complete the task. First, transfer request #1 is triggered by the MMS (it can be triggered by either the dispatching module or a procedure of the MMS itself). This request message transmits the source location as AS/RS #2, the destination as the unload port of the AS/RS, and the unit load identity to the AS/RS controller #2. If there are other high-level systems such as a WMS or MES, the MMS will send additional messages to these systems. In this case, the status of the unit load possibly needs to be updated from Waiting to Busy or Transferring for the WMS and MES. To send this message to AS/RS controller #2, the MMS has to make at least two major decisions: it has to select an unload port among several idle ports, and to determine to which among the many other AMHSs this message should be sent. For the former decision, the closest idle port to the next destination (i. e., AGVS #2) is selected based on the MMS algorithm. After receiving the transfer request from the MMS, AS/RS controller #2 will put the job into its queue, if it is performing other tasks. If it is its turn, the controller will send the job assign report to the MMS so that it triggers another transfer request command to AGV controller #2. This transfer command could be sent later after the job completion message from the AS/RS controller #2 has been received; however, by sending before the completion message, it can synchronize the transfer activities of two systems and thereby reduce the waiting time of the unit load for the vehicle at the unload port of AS/RS #2. The explanation of the rest of the messages in the figure is omitted. The MMS integrates not only systems but also human operators in the system environment. A user interface (UI) plays a major role in this integration. Operators can monitor the number of AMHSs using the UI. Also, parameters to control an individual AMHS and IAMHS are changed through the UI. Another important function provided is reporting. Various reports can be queried directly from the database of the MMS.

Material Handling Automation in Production and Warehouse Systems

55.3 Advanced Technologies

969

55.3 Advanced Technologies This section surveys advanced technologies enabling the IAMHS to achieve the high-level MHA. First, the IIT utilizing wireless devices will be reviewed, then the focus will move onto design and control issues of the MHA. A wide range of methodologies across artificial intelligence (AI) and operations research (OR) techniques have been adopted to solve challenging problems in design and control of MHA. The design and control issues with AMHS types will be briefly described including different points of interest. The review focuses on the technical issues of the MMS, which is the most important element of the IAMHS. Finally, AI and OR techniques are compared according to several criteria in MHA.

55.3.1 Information Interface Technology (IIT) with Wireless Technology Benefits of wireless communication systems include mobility, installation flexibility, and scalability. Applications of the wireless communication used for MHA are radiofrequency identification (RFID), wireless local-area network (LAN) (i. e., Ethernet), and wireless input/output (I/O). The wireless sensor network has also great potential for many applications of the MHA to collect data or form a closed-loop control system.

Fig. 55.8 Mu-chips and powder type RFID chips (courtesy of Hi-

tachi)

Part F 55.3

Radiofrequency Identification (RFID) RFID enhances information tracking with a wide variety of applications for material handling [55.16]; for example, it prevents loss of boxes and incorrect shipping in a distribution center and reduces time for reading tags in boxes or carriers on a manufacturing shop floor. Its greatest advantages over barcode systems are its long read range, flexibility of locating tags in boxes, multitasking for reading many tags at the same time, and robustness against damage. Finally, RFID systems increase the accuracy of data from material handling systems and reduce time for data collection. With more reliable and faster information tracking, more sequential operations can be automated and integrated without affecting system performance or requiring human interventions. It also enables the development of higher-level MHA in production and warehouse systems.

An RFID system consists of tags and readers. An RFID tag has two components, a semiconductor chip and antenna, and there are basically two types of RFID tags, passive and active tags, based on the source of the power. A passive tag does not have a battery and is powered by the backscattered RF signal from the reader, while an active tag has a battery and is therefore more reliable. Although the read range of a tag depends strongly on its power level, antenna, and frequency, and the environment in which it is used, an active tag can have a range of up to 30 m or more while a passive tag can be read reliably over a few meters. In between these two types, there is a semiactive tag that is powered by RF from the reader and consumes power from a battery while communicating with the reader. The lifetime of the battery is about 7 years or more. Another classification of RFID tags is based on the ability to write information to tags. Some tags are classified as read-only and can be written only once but read many times; these are generally passive tags. Information can be written by both users and producers. There are also rewritable passive tags in which the program can be rewritten by users. Most active tags are rewritable. RFID readers send RF signals to tags, receive signals from tags, and communicate with a central system. Their functions varies from a simple on/off check for data collection to control of a large system. Popularly used tags are as large as an electronic card, being installed in a larger computer system with network capability; however, they can be as small as 0.05 × 0.05 mm2 , as shown in Fig. 55.10. On the right-hand side of Fig. 55.8, powder-type RFID chips developed by Hitachi are compared with a human hair.

970

Part F

Industrial Automation

These powder-type RFID tags are 64 times smaller than those in current use (0.4 × 0.4 mm2 mu-chips, on the left, produced by the same company), which can already be embedded into paper currency, gift certificates, and identification documents. For more information in RFID see Chap. 49. Wireless LAN A wireless LAN establishes a network environment by using wireless devices instead of wired ones within a limited space. One popular application that adopts wireless LAN in MHA is AGV systems, which use it for communication between vehicles and controllers. Each vehicle has a network interface card (NIC) that is connected to the wireless LAN. An access point is a gateway to connect to a wired LAN and similar to a LAN hub, connecting 25–50 vehicles within a range of 20–150 m. The infrastructure network is always connected to an access point, which connects the wired LAN with the wireless LAN. In the infrastructure network, the basic service set (BSS) is formed and acts as a base station connecting all vehicles in the cell to the LAN. BSSs that use nonoverlapping channels can be part of an extended service set (ESS). The vehicles within the ESS but in different BSSs are connected through roaming. Lee and Lee [55.17] develop an integrated communication system that connects Profibus and IEEE 802.11, which are wired and wireless LAN communication protocols, for a container terminal automated by an AGV system. Using this protocol converter, the wireless LAN can be connected to the existing wired fieldbus for soft real-time data exchange that loses some of its usefulness after a time limit.

Part F 55.3

Wireless I/O A wireless I/O device is a small circuit card with an antenna installed in a material handling system or its controller; it can be used for both data-acquisition and closed-loop control applications. It receives microwave radio data from I/O points, and sends those data to a central processing device such as a programmable logic controller (PLC), data loggers, supervisory control, and data-acquisition system (SCADA), or a general PC [55.18]. Since it does not use wireless LAN or a fieldbus, implementation is much easier than afor wireless LAN. It can be simply regarded as removing the necessity for wires; however, by itself, it offers many advantages such as broader connectivity, increased mobility and flexibility, reduced installation time, and reduced points of failures. One of the disadvantages of

wireless I/O is that, since it uses a relatively narrow range of wireless signals, a smaller number of wireless I/Os can be used in a certain area. Therefore, as the number of points in an area grows, a wireless or wired LAN will become more appropriate. Wireless Sensor Networks Sensor networks [55.19] are currently limited to novel systems. Many sensors, distributed in a system or area, can be used to build a network for monitoring a space shuttle, military equipment unit or nuclear power plant. Wireless sensor networks conceptually use small, smart, cheap sensors that consist of a sensing module, a data-processing module, and communication components; however, conventional sensors can also be used. The network is mainly used for monitoring systems that requires highly autonomous and intelligent decision-making in a dynamic and uncertain environment. They have a great deal of potential to be adopted in MHA even though few researchers have studied these applications. There are two areas of wireless sensor network applications for the MHA. First, reliability is often very important for the MHA because, in a highly automated system, the failure of an AMHS causes the breakdown of multiple machines or a whole area operated by the system. This may be more critical than the failure of an individual processing tool in production systems. Therefore, monitoring and diagnosing the AMHS lead to some important issues; for instance, vibration sensors and optical sensors attached to the crane of an AS/RS collaborate to detect a potential problem that might cause positioning or more critical errors. By detecting the problem before the AS/RS actually breaks down, engineers can recognize the problem more precisely and prepare required parts and tools in advance; hence, repair time can be significantly reduced. Second, most AMHSs use a closed feedback system that controls the system based on feedback from component systems or sensors. Walker et al. [55.20] studied a method to control an industrial robot that handles flexible materials such as wires and rubber hoses. It utilizes feedback from sensor network cameras to predict the motion of the robot with the better vision. Since the feedback can be created from many different points such as grasps, paths, and goal points, it reduces blind spots of unpredictable motions and greatly enhances control precision. Chapter 20 provides additional information on sensor networks.

Material Handling Automation in Production and Warehouse Systems

55.3 Advanced Technologies

971

Table 55.1 Design issues and related studies on MHA Reference

AMHS type

Design issue

Criteria

Solution approach

Cho and Egbelu [55.21]

IAMHS

MHS equipment

Qualitative factors,

Fuzzy logic and

selection problem

equipment variety

knowledge-based rule

(minimizing) Nadoli and

IAMHS

Rangaswami [55.22]

Design and

Design lead time

modeling for a new

Expert system, computer simulation

semiconductor fab Jimenez et al. [55.23]

IAMHS

Performance

Delivery time,

evaluation of AMHS

transport time,

Computer simulation

throughput Huang et al. [55.24]

General

Location of MHS

Total distance,

Lagrangian relaxation

fixed cost of MHS

and heuristic method

Estimation of AS/RS

Delivery rate,

Queuing network

performance

in-process inventory

model

Optimal design of

Space utilization

Modular cells,

rack structure with

(lost space)

heuristic

Location of the

Total rectilinear

MIP

central path

distance

Guide path design:

Total flow distance

MHS Jang et al. [55.25]

Lee et al. [55.26]

AS/RS

AS/RS

various sized cells Ting and Tanchoco

AGV

[55.27] Gaskins and Tanchoco

AGV

[55.28]

direction of path

Integer programming, heuristic

segments Tanchoco and Sinriech

AGV

[55.29]

Guide path design:

Total flow distance

Integer programming

Balanced workload

Integer programming,

optimal design of a single-loop

Bozer and Srinivasan

AGV

[55.30] Caricato and Grieco

Guide path design: tandem guide path

AGV

Guide path design

[55.31] Nazzal and McGinnis

set partition Flow distance,

Simulated annealing

computation time AGV

[55.32]

Estimation of

Vehicle utilization,

Queuing network

performance

blocking time,

model

measures

empty vehicle interarrival time

Vis et al. [55.33]

AGV

Estimation of the

Service level

number of vehicles

(waiting time)

MHA design studies largely deal with strategic decision-making, which includes optimal selection of automated material handling equipment, locating storage and vehicle paths for new facility planning, rack design for AS/RSs, flow path design for AGV sys-

tems, and capacity estimation of the system. Table 55.1 briefly summarizes studies related to design issues. The MHA design problem is sometimes closely related to the layout design problem in that both consider issues at a very early stage of system implementation. Also, they share performance measures in many areas. Peters and Yang [55.34] integrate these two methods into

Part F 55.3

55.3.2 Design Methodologies for MHA

Network flow

972

Part F

Industrial Automation

a single procedure using the space-filling curve (SFC) method. Ting and Tanchoco [55.27] propose a new layout design method for a semiconductor fab. They use an integer programming model to determine the optimal location of the AGV track. Chung and Jang [55.35] also suggest a new layout alternative called integrated room layout for better material handling in a semiconductor fab and scrutinize the benefits of the layout compared with existing layout alternatives in the industry using qualitative and quantitative analysis. One of the difficulties in design of large-scale IAMHSs is estimation of system capacity. Although computer simulation has been used, its feedback cycle from modeling to results analysis is very slow for a large problem, which is an issue as timing of the solution is sometimes very important. Also, a simple deterministic analysis using from–to charts of material flows cannot provide a precise estimation of variances in the system. As an alternative approach studied for capacity analysis, the queuing network approach shows good performance [55.32]. Rembold and Tanchoco [55.36] explore a framework that evaluates and improves a sequence of modeling tasks for material flow systems. They aim to develop a more fundamental solution to the problems while encountered while designing an IAMHS. The framework addresses the following questions of designers: selection of the software application for solving a problem, organizing the data sets required for the design, incorporation of the design into parts that cannot be automated, and diagnosing problems in material flow systems. Those authors use an open architecture for the framework, since advance identification of all factors and cases for evaluation and redesign of the material flow processes are limited. With the open architecture for the framework, users can easily find their own methods by incorporating ad hoc situations into the framework.

55.3.3 Control Methodologies for MHA

Part F 55.3

Extensive research has been performed on the control of the AMHS. Especially, AGV control problems have benefited from strong research streams in academia and the MHA industry, since AGVs have been popular for use in many industries. Figure 55.9 shows an interesting AGV design with many storage racks that is used in a hospital. Recently, two well-organized literature surveys on the AGV system were published by Vis [55.37], and by Le-Anh and De Koster [55.38]. One of the characteristics of control algorithms of MHA is that minimizing flow distance in time is a dom-

Fig. 55.9 AGV used in a hospital (courtesy of Egemin)

inant criterion, among others. Other criteria such as resource utilization, throughput, and load balance have frequently been subgoals to achieve the minimum flow time. Necessity for a very short response time is another characteristic of control algorithms for the MHA; for example, a vehicle dispatch algorithm for the AGV controller should respond within a few seconds or less, otherwise the vehicle will have to wait for a job command on the path. For a short response time, the time horizon of the control algorithms is zero or very short, because a longer time horizon often causes an explosion of the search space. The minimum control horizon also helps to yield a reliable solution because uncertain parameters will be used less. If a control algorithm malfunctions, the result will be more serious than just a performance drop. It sometimes causes a detrimental failure in the shop floor. Hence, a conservative approach tends to be used in real applications. A big challenge in AGV control problems is that users want to use a larger loop with many vehicles in order to reduce transportation time and investment. AGV systems implemented earlier generally used a modular structure to avoid heavy load on one AGV loop and had many loops, with a maximum of about five vehicles in a loop; however, these days, a large loop with a maximum about 40 vehicles is used. Therefore, the vehicle dispatch, scheduling, routing, and deadlock avoidance problems are becoming more complicated and important. Table 55.2 summarizes control issues and their studies in the MHA.

Material Handling Automation in Production and Warehouse Systems

55.3 Advanced Technologies

973

Table 55.2 Control issues and related studies on MHA Researchers

AMHS type

Control issue

Criteria

Solution approach

Dotoli and Fanti [55.39]

IAMHS AS/RS

Throughput, computation time Throughput

Colored Petri nets

Mahajan et al. [55.40]

Integrated AS/RS and RGV control Job sequencing

Lin and Tsao [55.8]

AS/RS

Total fulfillment time of batch

Lee et al. [55.41]

AS/RS

Chetty and Reddy [55.42]

AS/RS

Crane scheduling for batch job in CIM environment Rack assignment for cargo terminals stochastic demand Job sequencing

Sinriech and Palni [55.43]

AGV

Vehicle scheduling

Correa et al. [55.44]

AGV

Vehicle scheduling

Jang et al. [55.45]

AGV

Koo et al. [55.46]

AGV

Vehicle routing in clean bay Vehicle dispatching

Kim et al. [55.47]

AGV

Jeong and Randhawa [55.48]

AGV

Moorthy et al. [55.49]

AGV

Bruno et al. [55.50]

AGV

Vehicle dispatching in floor shop Vehicle dispatching Deadlock avoidance in large-scale AGVS (cycle deadlock) Empty vehicle parking

10 criteria (mean flow time, mean waiting time, min/max completion time, etc.) Optimality of scheduling solution Solution time, job processing time AGV utilization, WIP level Production throughput, lead time Production throughput Vehicle travel time, blocking time, WIP Number of AGVs in a loop, number deadlocks Response time

MIP, heuristic (branch and bound) MIP and CP hybrid method Heuristic, look-ahead control procedure Heuristic, bottleneckmachine first Heuristic (balanced work load) Heuristics, multiattribute dispatching Heuristic, state prediction Heuristic (location model (MIP) and shortest path algorithm)

volved become much more difficult since there are too many combinations of nodes. The shortest-distance algorithm using graph theory with an adjacency matrix might be a better approach. A new concept called flow diversion is proposed to determine dynamic routing based on the load rate of the routes in automated shipment handling systems by Cheung et al. [55.51]. The authors utilize the multicommodity flow models using linear programming (LP) to solve this problem. In this model, the transfer time for a route is a function of the loads assigned to all pairs of unit loads in the system, which generates a nonlinear function in the objective func-

Part F 55.3

IAMHS Research Researchers recently started to study complicated issues of the IAMHS. A major concern is routing strategies from source to destination location in a complicated IAMHS, in which there are multiple routes from one location to the others. The routes consist of not only physical paths such as an AGV path or conveyor track but also AMHS themselves, such as AS/RSs, AGVSs, and buffer stations. Practical applications generally store predetermined static shortest routes in a database for all pairs of source locations and destinations; however, when the number of components increases, maintenance problems for the parameters in-

Expected travel time

Heuristic (nearest neighborhood) Heuristic (dynamic availability oriented controller) Heuristic (storage reservation policy), stochastic Genetic algorithm

974

Part F

Industrial Automation

Part F 55.3

tion; those authors transform this nonlinear function to a piecewise-linear function to make the problem tractable. Lau and Zhao [55.4] study a joint job scheduling problem for the automated air cargo terminal at Hong Kong, which is mainly composed of AGV systems, AS/RSs, cargo hoists, and conveyors. In the model, activities between different AMHSs are triggered by communication between the systems. The scheduling algorithm constructs a cooperative sequential job served by different AMHSs, employing the maximum matching algorithm of the bipartite graph. A task for an AGV is assigned or matched to an stacker crane (SC) to reduce the SC delay time. A similar problem is solved by Meersmans and Wagelmans [55.5]. Their research focuses on the scheduling problem of the IAMHS in seaport terminals employing a local beam search algorithm. The nodes explored in the search algorithm are represented by a sequence of container IDs to be processed by different AMHSs, and the nodes in branches are cut based on the beam width determined by an evaluation function. Those authors prove that there exists an optimal sequence of tasks for one AMHS when the sequence is assigned to the other AMHS. Sujono and Lashkari [55.52] study another integrating method allocating a part type to a processing machine and material handling (MH) equipment type simultaneously in a flexible manufacturing system (FMS). In that research, there are nine different types of the material handling systems in the experimental model. The method improves the algorithms proposed by Paulo et al. [55.53] and Lashkari et al. [55.54] and uses a 0/1 mixed integer programming model. Two objective functions are modeled: one minimizes operating costs related to machine operations, setup, and MH operations; the other maximizes the compatibility of the part types using MH equipment types. To measure compatibility, parameters are quantified from the subjective factors defined by Ayres [55.55]. Some of the constraints are: balance equations between parts and process plans, machines and process plan, processing machines, and MH equipment types. The other important constraint sets are capacity constraints: the total load of the allocated tasks for an MH equipment type cannot exceed its capacity, and a machine cannot be allocated more than its capacity. A test problem consisting of 1356 constraints and 3036 binary variables was solved in about 9.2 s by using LINGO in a Pentium 4 PC. Since this model considers many details of the practical factors in the FMS, and showed a successful calculation result, it can be used for many other practical applications.

In addition to the examples shown above, largescale optimization problems such as the vehicle routing problem (VRP), vehicle scheduling problem (VSP), and integrated scheduling problem of IAMHS with consideration of processing machines have been modeled to increase MHA efficiency. However, to be used for actual applications and thereby achieve a higher-level MHA, shorter computation times are urgently required. In a complicated IAMHS, integrating software packages such as the MMS need sophisticated algorithms; however, it also needs high reliability in a dynamic environment. For most tasks, real-time decision-making that requires response times within a few seconds is a precondition for IAMHS algorithms. MMS-related Issues The MMS is a key component to integrate different AMHSs in an IAMHS. Destination allocation, routing algorithm, and prioritizing algorithm are essential roles of the MMS, among others. Graph theory is popularly used to represent components and relationships in the IAMHS. In Fig. 55.6, nodes represent the AMHSs and their subcomponents, such as load/unload ports. Edges represent the connection and distance between nodes. As mentioned above, this graph is stored in database tables using the adjacency and incidence matrices. The shortest-path algorithm is the most important and fundamental algorithm for an MMS since it is used for several purposes in the system such as destination assignment and best routing determination. Dijkstra’s algorithm is popularly used [55.56]. The Bellman–Ford algorithm can be used if there are negative weights of the edges. To determine the final destination of a unit load, the MMS has to evaluate various factors on the same scale. More specifically, to determine an AS/RS as the final destination among several alternatives, the shortest distance is generally the most important criterion; however, the full rates of the AS/RSs are sometimes also important to make the loads balanced between different AS/RSs. There are two applicable ways to standardize different scales of factors on the same scale. First, different weight values can be applied for each factor to find the best alternatives. Second, a priority and its threshold value can be given to each factor, and the most important alternative is selected if it is within the threshold, otherwise the next alternative will be considered. Determining the best route from a source to destination location via several AMHSs is relatively simple when compared with the vehicle routing problem (VRP) or vehicle scheduling problem (VSP), because the graph generally has a smaller number of nodes than those of

Material Handling Automation in Production and Warehouse Systems

the general VRP or VSP. However, the problem can be complicated when the load level of systems has to be taken into account. A flow cost function determines the weight value of an edge based on the queue size and system processing time for one unit in an AMHS, i. e., the load level is measured by these factors. It converts the weight value to a distance value by using the speed factor of the system. In an actual problem, this task can be considerably more complicated. Prioritizing for the unit load is sometimes very useful for vehicle-initiated dispatching rules [55.11] when the IAMHS becomes a bottleneck in an FMS for a certain period of time. The priority determined by the MMS can be used by an AGV controller to determine a job priority for a vehicle that has just become idle; for example, the first-come first-served rule picks up the job with the longest waiting time for all unit loads in the queue. If a priority is given to each job from 1 to 5, the priority unit can be treated as a certain time scale, e.g., 10 min, for each unit. Together with the actual waiting time, the controller can prioritize the unit loads; for instance, if a unit load waits for a vehicle assignment for 5 min and its priority given by the MMS is 3, then its final priority can be 10 × 2 + 5 min, which is equal to 25 min. The prioritizing methods used by the MMS generally address problems of how to avoid machine starvation. While various MMS prioritizing rules can be used based on the constraints of the shop floor, the importance of considering bottleneck machines to determine transfer priorities of unit loads is emphasized by Koo et al. [55.46] and Li et al. [55.15].

975

There are two types of AI search algorithms: uninformed and informed search. Uninformed search does not use prior information to explore a solution. Examples of uninformed search algorithms are the depth-first search, breadth-first search, and bidirectional search. Informed search utilizes given information for new states that will be opened during the search. Informed search is also called heuristic search, which includes greedy best-first search, A* search, memory-bounded, and local beam search, which again includes simulated annealing, tabu search, and genetic algorithm. Constraint programming (CP) is one of the AI search methods that uses a standard structured representation consisting of the problem domain and constraint. Figure 55.10 explains the main procedure used by the ILOG CP solver [55.58]. The domain is a set of possible values of the variable representing the problem, and the constraint is a rule that imposes a limitation on the variable. The most powerful aspect of this method is that it utilizes the concept of constraint propagation. It narrows down the search space by imposing a constraint on variables and the constraint imposed further reduces domains of other variables based on the constraints already posted on the variables. Among reduced domains of variables, the method uses a branching process with a backtracking algorithm to find the best solution. Because of high modeling flexibility, AI techniques have been popularly used for a wide variety of control applications such as robotics and automated planning. The following studies illustrate the use of AI techniques for MHA. Cho and Egbelu [55.21] use

55.3.4 AI and OR Techniques for MHA

Decision variables and domains

Search space

Constraints

Initial constraint propagation Create a search tree

Search strategy Constraint propagation during search

Backtrack Fail

Solution

Fig. 55.10 Main solution procedure of CP (courtesy of

ILOG)

Part F 55.3

It is worthwhile to compare AI search and OR optimization techniques with respect to several different criteria of logical flexibility, computation time, and application areas in MHA. In general, AI search algorithms define problems with four instances: initial state, successor function, goal test, and path cost function [55.57]. The initial state is a state in which the given problem starts. The successor function receives a state as a parameter and returns a set of actions and successors. And the successors are new states reachable from the given new state. The definition of the state together with the successor function is very important to determine the overall search space of the given problem and information necessary for the solution. The goal test determines whether a given state satisfies all the conditions of the goal state. The path cost function calculates a numerical cost for each path explored by the successor function.

55.3 Advanced Technologies

976

Part F

Industrial Automation

Table 55.3 Comparison of AI and OR techniques Comparison items

AI approaches

OR approaches

Hybrid approaches

Modeling flexibility Time horizon Response time Problem size Illustrations

High Short Short Small IAMHS design: AMHS equipment type selection [55.21] AS/RS: Job sequencing [55.40], Stacker scheduling [55.8], Rack design [55.26] AGV: Deadlock avoidance [55.49]

Low Long Long Large IAMHS design: Performance evaluation [55.25, 32], AGV: Guide path design [55.28], AMHS location [55.24, 27]

High Long Medium Large AGV vehicle scheduling [55.44, 60] IAMHS: Integrated scheduling of AMHS and FMS [55.52]

Part F 55.3

knowledge-based rules, fuzzy logic, and decision algorithms to address AMHS equipment type selection problems. Their procedures consist of three phases: material handling equipment selections for each material flow connections, redundancy and excess capacity check, and budget constraint consideration. Chan et al. [55.59] also solve a similar problem using an expert system. An order picking sequence problem in an AS/RS is addressed by Mahajan et al. [55.40] by using an AI technique. In their procedure, the state is represented by a sequence of the orders, and a success function providing a selection criterion of the order sequence is developed by a nearest-neighborhood strategy. Operations research techniques mainly focus on the optimization problems based on linear programming (LP) [55.61]. LP is extended to integer programming (IP) and mixed integer programming (MIP), that deal with integral variables, quadratic programming that uses a nonlinear objective function, and nonlinear programming that allows nonlinear functions in both the constraint and objective function. Stochastic programming, which incorporates uncertainties in its modeling, is also a variant of the LP. OR techniques use well-structured mathematical models of linear, integer, quadratic or nonlinear models. Simulation and queuing analysis form another important technical area of stochastic OR, mainly used for performance analysis. OR techniques are also popular for solving problems in MHA. Gaskins and Tanchoco [55.28] and Tanchoco and Sinreich [55.29] formulate AGV guide path design problems using 0/1 MIP. Nazzal and McGinnis [55.32] estimate the system capacity requirement of the large-scale AMHS in a semiconductor

fab by utilizing a queuing network model. Ting and Tanchco [55.27] and Huang et al. [55.24] address the location problems of the AMHSs in facility layouts using MIP formulations. Huang et al. further use a heuristic approach employing the Lagrangian relaxation method. AI and OR have their backgrounds in computer science and industrial engineering, respectively. AI approaches utilize knowledge representation to solve a problem; however, OR techniques use mathematical modeling of the problem. Knowledge representation consists of symbols and mathematical equations with relationships. OR techniques generally use dedicated solvers such as CPLEX and LINDO to solve mathematical models of the problems, whereas AI techniques use their own languages such as list processing (LISP), and programming in logics (Prolog). Constraint programming (CP) uses a solver similarly to the OR solvers but has much greater flexibility in using procedures and algorithms. A widely known CP solver is the ILOG solver. One advantage of AI over OR techniques is their flexibility in expressing problems. Since the AI techniques listed above do not use strict mathematical formulations to represent problems, there is a great deal of flexibility to deal with instances and activities in the problems. On the other hand, OR techniques model problems with strict mathematical procedures that are generally used repeatedly in different problems. While OR techniques find optimal or near-optimal solutions, AI techniques find good solutions for the given problems. OR techniques have focused on large-scale optimization problems for decision support systems while AI techniques are rooted in control problems that have shorter horizons but need reliable solutions. How-

Material Handling Automation in Production and Warehouse Systems

ever, it is also true that there has been some overlap between AI and OR techniques, especially for local beam search algorithms. Also, a group of researchers has tried to take advantage of the two techniques by integrating procedures in the techniques [55.44]. For more complete reviews on the history and state of the art comparing AI and OR techniques, refer to Gomes [55.61], Kobbacy et al. [55.62], and Marcus [55.63]. Table 55.3 compares AI, OR, and their hybrid approaches with several different characteristics. An application area that needs great logical flexibility, such as the selection problem of material handling systems, tends to use AI techniques more frequently. Problems with shorter time horizon use AI heuristic search approaches (second row in the table), and prob-

References

977

lems with a longer time horizon tend to use the OR approaches. Hybrid approaches focus on reducing computation times. OR techniques are frequently used for AMHS design problems because response time is less important for them and they consider a large number of instances in the system. The AGV dispatching and routing problems tend to use both heuristic and OR approaches to similar degrees. Approaches integrating AI and OR approaches pursue both flexibility and optimality and have been applied to very complicated problems for the MHA [55.44], which deal with AGV scheduling problems and integrated scheduling of IAMHS with FMS. Examples on application areas and their approaches used are listed in the last row of Table 55.3.

55.4 Conclusions and Emerging Trends Material handling automation (MHA) in production and warehouse management systems provides speedy and reliable infrastructure for information systems in SCM such as ERP system, FMS, WMS, and MES. Most of all, it enhances accurate data tracking during material handling in shop floors and warehouses. Relying on these accurate data, high-level automation such as production and procurement planning, scheduling, and dispatching in the SCM systems can be made much more reliable; consequently, more intelligent functions in their decision-making procedures can be added. Another trend in MHA, the integrated and automated material handling system (IAMHS), has been increasingly implemented in various applications to help ever-complicated material handling operations in largescale production and warehouse systems. The important issues of the IAMHS reviewed in this chapter can be largely broken down into design and control issues. The design issues cover material handling equipment selection, capacity estimation, innovative equipment design,

and system design optimization. The control issues that have been hard constraints for the higher-level MHA tend to involve domain-specific problems for each component system in the IAMHS such as the AS/RS, AGVS, or MMS. Several potential routes for further increasing the level of intelligence in the MHA are recognized in this chapter. While the number of components in the IAMHS continues to increase, long response time is regarded as a major limitation during implementations of new control algorithms. Continuous efforts to reduce the computation time of algorithms in the future are desired. It is also pointed out that newly developed algorithms should take into account system architecture for practical applications. As another possibility, a sensor network might be used for diagnosis of AMHSs since their reliability is becoming critical, and also its closed feedback mechanism can potentially be used for more precise controls, as seen in each context.

References

55.2

Material Handling Industry of America http://www.mhia.org/ir/, (last accessed February 15, 2009) J.R. Montoya-Torres: A literature survey on the design approaches and operational issues of automated wafer-transport systems for wafer fabs, Prod. Plan. Control 17(7), 648–663 (2006)

55.3 55.4

55.5

T. Feare: GM runs in top gear with AS/RS sequencing, Mod. Mater. Handl. 53(9), 50–52 (1998) H.Y.K. Lau, Y. Zhao: Joint scheduling of material handling equipment in automated air cargo terminals, Comput. Ind. 57(5), 398–411 (2006) P.J.M. Meersmans, A.P.M. Wagelmans: Dynamic Scheduling of Handling Equipment at Automated

Part F 55

55.1

978

Part F

Industrial Automation

55.6

55.7 55.8

55.9

55.10

55.11

55.12

55.13

55.14

55.15

55.16

55.17

55.18

Part F 55

55.19 55.20

Container Terminals, Econometric Institute Report EI 2001-33 (Erasmus University, Rotterdam 2001) Murata Machinery, http://www.muratec-l-system.com/en/example/ deliver/medical.html (last accessed February 15, 2009) L. Kempfer: Produce delivered fresh and fast, Mater. Handl. Manag. March, 40–42 (2006) C.W.R. Lin, Y.Z. Tsao: Dynamic availability-oriented control of the automated storage/retrieval system. A computer integrated manufacturing perspective, Int. J. Adv. Manuf. Technol. 29(9-10), 948–961 (2006) T.H. Chang, H.P. Fu, K.Y. Hu: The innovative conveying device application for transferring articles between two-levels of a multi-story building, Int. J. Adv. Manuf. Technol. 28(1-2), 197–204 (2006) B. Rembold, J.M.A. Tanchoco: Modular framework for the design of material flow systems, Int. J. Prod. Res. 32(1), 1–21 (1994) P.J. Egbelu, J.M.A. Tanchoco: Characterization of automated guided vehicle dispatching rules, Int. J. Prod. Res. 22(3), 359–374 (1984) L. Hossain, J.D. Patrick, M.A. Rashid: Enterprise Resource Planning: Global Opportunities and Challenges (Idea Group, Hershey 2002) D.S. Sun, N.S. Park, Y.J. Lee, Y.C. Jang, C.S. Ahn, T.E. Lee: Integration of lot dispatching and AMHS control in a 300 mm wafer FAB, IEEE/SEMI Adv. Semiconduc. Manuf. Conf. Workshop – Adv. Semiconduct. Manuf. Excellence (2005) pp. 270–274 J. Jimenez, B. Kim, J. Fowler, G. Mackulak, Y.I. Choung, D.J. Kim: Operational modeling and simulation of an inter-bay AMHS in semiconductor wafer fabrication, Winter Simul. Conf. Proc. 2, 1377–1382 (2002) B. Li, J. Wu, W. Carriker, R. Giddings: Factory throughput improvements through intelligent integrated delivery in semiconductor fabrication facilities, IEEE Trans. Semiconduct. Manuf. 18(1), 222–231 (2005) S.S. Garfinkel, B. Rosenberg: RFID Applications, Security, and Privacy (Addison-Wesley, New York 2006) K.C. Lee, S. Lee: Integrated network of Profibus-DP and IEEE 802.11 wireless LAN with hard real-time requirement, IEEE Int. Symp. Ind. Electron. 3, 1484– 1489 (2001) A. Herrera: Wireless I/O devices in process control systems, Proc. ISA/IEEE Sensors Ind. Conf. (2004) pp. 146–147 S. Phoha, T. LaPorta, C. Griffin: Sensor Network Operations (Wiley, Piscataway 2006) I. Walker, A. Hoover, Y. Liu: Handling unpredicted motion in industrial robot workcells using sensor networks, Ind. Robot. 33(1), 56–59 (2006)

55.21

55.22

55.23

55.24

55.25

55.26

55.27

55.28

55.29

55.30

55.31

55.32

55.33

55.34

55.35

55.36

C. Cho, P.J. Egbelu: Design of a web-based integrated material handling system for manufacturing applications, Int. J. Prod. Res. 43(2), 375–403 (2005) G. Nadoli, M. Rangaswami: Integrated modeling methodology for material handling systems design, Winter Simul. Conf. Proc. (1993) pp. 785–789 J.A. Jimenez, G. Mackulak, J. Fowler: Efficient simulations for capacity analysis and automated material handling system design in semiconductor wafer fabs, Winter Simul. Conf. Proc. (2005) pp. 2157–2161 S. Huang, R. Batta, R. Nagi: Variable capacity sizing and selection of connections in a facility layout, IIE Trans. 35(1), 49–59 (2003) Y.J. Jang, G.H. Choi, S.I. Kim: Modeling and analysis of stocker system in semiconductor and LCD fab, IEEE Int. Symp. Semiconduct. Manuf. Conf. Proc. ISSM 2005 (2005) pp. 273–276 Y.H. Lee, M.H. Lee, S. Hur: Optimal design of rack structure with modular cell in AS/RS, Int. J. Prod. Econ. 98(2), 172–178 (2005) J.-H. Ting, J.M.A. Tanchoco: Optimal bidirectional spine layout for overhead material handling systems, IEEE Trans. Semiconduct. Manuf. 14(1), 57–64 (2001) R.J. Gaskins, J.M.A. Tanchoco: Flow path design for automated guided vehicle systems, Int. J. Prod. Res. 25(5), 667–676 (1987) J.M.A. Tanchoco, D. Sinriech: OSL – optimal single loop guide paths for AGVS, Int. J. Prod. Res. 30(3), 665–681 (1992) Y.A. Bozer, M.M. Srinivasan: Tandem AGV system: a partitioning algorithm and performance comparison with conventional AGV systems, Eur. J. Oper. Res. 63, 173–191 (1992) P. Caricato, A. Grieco: Using simulated annealing to design a material-handling system, IEEE Intell. Syst. 20(4), 26–30 (2005) D. Nazzal, L.F. McGinnis: Analytical approach to estimating AMHS performance in 300 mm fabs, Int. J. Prod. Res. 45(3), 571–590 (2007) I.F.A. Vis, R. de Koster, K.J. Roodbergen, L.W.P. Peeters: Determination of the number of automated guided vehicles required at a semiautomated container terminal, J. Oper. Res. Soc. 52(4), 409–417 (2001) B.A. Peters, T. Yang: Integrated facility layout and material handling system design in semiconductor fabrication facilities, IEEE Trans. Semiconduct. Manuf. 10(3), 360–369 (1997) J. Chung, J. Jang: The integrated room layout for semiconductor facility plan, IEEE Trans. Semiconduct. Manuf. 20(4), 517–527 (2007) B. Rembold, J.M.A. Tanchoco: Material flow system model evaluation and improvement, Int. J. Prod. Res. 32(11), 2585–2602 (1994)

Material Handling Automation in Production and Warehouse Systems

55.37

55.38

55.39

55.40

55.41

55.42

55.43

55.44

55.45

55.46

55.47

55.48

55.49

I.F.A. Vis: Survey of research in the design and control of automated guided vehicle systems, Eur. J. Oper. Res. 170(3), 677–709 (2006) T. Le-Anh, M.B.M. De Koster: A review of design and control of automated guided vehicle systems, Eur. J. Oper. Res. 171(1), 1–23 (2006) M. Dotoli, M.P. Fanti: A coloured Petri net model for automated storage and retrieval systems serviced by rail-guided vehicles: a control perspective, Int. J. Comput. Int. Manuf. 18(2-3), 122–136 (2005) S. Mahajan, B.V. Rao, B.A. Peters: A retrieval sequencing heuristics for miniload end-of-aisle automated storage/retrieval system, Int. J. Prod. Res. 36(6), 1715–1731 (1998) C. Lee, B. Liu, H.C. Huang, Z. Xu, P. Goldsman: Reservation storage policy for AS/RS at air cargo terminals, Winter Simul. Conf. Proc. (2005) pp. 1627–1632 O.V.K. Chetty, M.S. Reddy: Genetic algorithms for studies on AS/RS integrated with machines, Int. J. Adv. Manuf. Technol. 22(11-12), 932–940 (2003) D. Sinriech, L. Palni: Scheduling pickup and deliveries in a multiple-load discrete carrier environment, IIE Trans. Inst. Ind. Eng. 30(11), 1035–1047 (1998) A.I. Corréa, A. Langevin, L.M. Rousseau: Scheduling and routing of automated guided vehicles: a hybrid approach, Comput. Oper. Res. 34(6), 1688–1707 (2007) J. Jang, J. Suh, P.M. Ferreira: An AGV routing policy reflecting the current and future state of semiconductor and LCD production lines, Int. J. Prod. Res. 39(17), 3901–3921 (2001) P.H. Koo, J. Jang, J. Suh: Vehicle dispatching for highly loaded semiconductor production considering bottleneck machines first, Int. J. Flex. Manuf. Syst. 17(1), 23–38 (2005) C.W. Kim, J.M.A. Tanchoco, P.-H. Koo: AGV dispatching based on workload balancing, Int. J. Prod. Res. 37(17), 4053–4066 (1999) B.H. Jeong, S.U. Randhawa: A multi-attribute dispatching rule for automated guided vehicle systems, Int. J. Prod. Res. 39(13), 2817–2832 (2001) R.L. Moorthy, W. Hock–Guan, W.-C. Ng, T. Chung– Piaw: Cycle deadlock prediction and avoidance for zone controlled AGV system, Int. J. Prod. Econ. 83, 309–324 (2003)

55.50

55.51

55.52

55.53

55.54

55.55

55.56

55.57 55.58 55.59

55.60

55.61

55.62

55.63

References

979

G. Bruno, G. Ghiani, G. Improta: Dynamic positioning of idle automated guided vehicles, J. Intell. Manuf. 11(2), 209–215 (2000) R. Cheung, A. Lee, D. Mo: Flow diversion approaches for shipment routing in automatic shipment handling systems, Proc. – IEEE Int. Conf. Robot. Autom. (2006) pp. 695–700 S. Sujono, R.S. Lashkari: A multi-objective model of operation allocation and material handling system selection in FMS design, Int. J. Prod. Econ. 105(1), 116–133 (2007) J. Paulo, R.S. Lashkari, S.P. Dutta: Operation allocation and materials-handling system selection in a flexible manufacturing system: a sequential modeling approach, Int. J. Prod. Res. 40, 7–35 (2002) R.S. Lashkari, R. Boparai, J. Paulo: Towards an integrated model of operation allocation and materials handling selection in cellular manufacturing system, Int. J. Prod. Econ. 87(2), 115–139 (2004) R.U. Ayres: Complexity, reliability and design: manufacturing implications, Manuf. Rev. 1(1), 26– 35 (1988) R.K. Ahuja, T.L. Magnanti, J.B. Orlin: Network flows: theory, algorithms, and applications (Prentice Hall, Upper Saddle River 1993) S. Russell, P. Norvig: Artificial Intelligence: a Modern Approach (Prentice Hall, New York 2003) ILOG Solver 5.3 user manual F.T.S. Chan, R.W.L. Ip, H. Lau: Integration of expert system with analytic hierarchy process for the design of material handling equipment selection system, J. Mater. Process. Technol. 116(2-3), 137–145 (2001) D. Naso, B. Turchiano: Multicriteria meta-heuristics for AGV dispatching control based on computational intelligence, IEEE Trans. Syst. Man Cybern. B 35(2), 208–226 (2005) C.P. Gomes: Artificial intelligence and operations research: challenges and opportunities in planning and scheduling, Knowl. Eng. Rev. 15(1), 1–10 (2000) K.A.H. Kobbacy, S. Vadera, M.H. Rasmy: AI and or in management of operations: history and trends, J. Oper. Res. Soc. 58(1), 10–28 (2007) R. Marcus: Application of artificial intelligence to operations research, Commun. ACM 27(10), 1044– 1047 (1984)

Part F 55

“This page left intentionally blank.”

981

Carlos E. Pereira, Peter Neumann

This chapter discusses a very relevant aspect in modern automation systems: the presence of industrial communication networks and their protocols. The introduction of Fieldbus systems has been associated with a change of paradigm to deploy distributed industrial automation systems, emphasizing device autonomy and decentralized decision making and control loops. The chapter presents the main wired and wireless industrial protocols used in industrial automation, manufacturing, and process control applications. In order to help readers to better understand the differences between industrial communication protocols and protocols used in general computer networking, the chapter also discusses the specific requirements of industrial applications. As the trend of future automation systems is to incorporate complex heterogeneous networks, consisting of (partially homogeneous) local and wide area as well as wired and wireless communication systems, the concept of virtual automation networks is presented

56.1 Basic Information ................................. 56.1.1 History ...................................... 56.1.2 Classification ............................. 56.1.3 Requirements in Industrial Automation Networks ................. 56.1.4 Chapter Overview .......................

981 981 982 982 982

56.2 Virtual Automation Networks................. 56.2.1 Definition, Characterization, Architectures ............................. 56.2.2 Domains ................................... 56.2.3 Interfaces, Network Transitions, Transmission Technologies ..........

983

56.3 Wired Industrial Communications .......... 56.3.1 Introduction .............................. 56.3.2 Sensor/Actuator Networks............ 56.3.3 Fieldbus Systems ........................ 56.3.4 Controller Networks ....................

984 984 985 986 988

56.4 Wireless Industrial Communications ....... 56.4.1 Basic Standards ......................... 56.4.2 Wireless Local Area Networks (WLAN) ...................................... 56.4.3 Wireless Sensor/Actuator Networks ..................................

991 991

983 983 984

992 992

56.5 Wide Area Communications ................... 993 56.6 Conclusions .......................................... 995 56.7 Emerging Trends .................................. 995 56.8 Further Reading ................................... 56.8.1 Books ....................................... 56.8.2 Various Communication Standards ................................. 56.8.3 Various web Sites of Fieldbus Organizations and Wireless Alliances ................

997 997 997

997

References .................................................. 998

56.1 Basic Information 56.1.1 History Digital communication is now well established in distributed computer control systems both in discrete manufacturing as well as in the process control industries. Proprietary communication systems within

SCADA (supervisory control and data acquisition) systems have been supplemented and partially displaced by Fieldbus and sensor bus systems. The introduction of Fieldbus systems has been associated with a change of paradigm to deploy distributed industrial automation systems, emphasizing device autonomy and decentral-

Part F 56

Industrial Co 56. Industrial Communication Protocols

982

Part F

Industrial Automation

Part F 56.1

ized decision making and control loops. Nowadays, (wired) Fieldbus systems are standardized and are the most important communication systems used in commercial control installations. At the same time, Ethernet won the battle as the most commonly used communication technology within the office domain, resulting in low component prices caused by mass production. This has led to an increasing interest in adapting Ethernet for industrial applications and several approaches have been proposed (Sect. 56.1.4). Ethernet-based solutions are dominating as a merging technology. In parallel to advances on Ethernet-based industrial protocols, the use of wireless technologies in the industrial domain has also been increasingly researched. Following the trend to merge automation and office networks, heterogeneous networks (virtual automation networks (VAN)), consisting of local and wide area networks, as well as wired and wireless communication systems, are becoming important [56.1].

56.1.2 Classification Industrial communication systems can be classified as follows regarding different capabilities:





Real-time behavior: Within the automation domain, real-time requirements are of uttermost importance and are focused on the response time behavior of data packets. Three real-time classes can be identified based on the required temporal behavior: – Class 1: soft real-time. Scalable cycle time, used in factory floor and process automation in cases where no severe problems occur when deadlines are not met. – Class 2: hard real-time. Typical cycle times from 1 to 10 ms, used for time-critical closed loop control. – Class 3: isochronous real-time, cycle times from 250 μs to 1 ms, with tight restrictions on jitter (usually less than 1 μs), used for motion control applications. Additionally, there is a class non real-time, which means systems without real-time requirements; these are not considered here. It means (regarding industrial automation) exchange of engineering data maintenance, etc. Distribution: The most important achievement of industrial communication systems are local area communication systems, consisting of sensor/actuator networks (Chap. 20 and Sect. 56.3.2), Fieldbus systems, and Ethernet-based local area net-





works (LAN). Of increasing importance is the use of wide area networks (WAN) (telecommunication networks, Internet, etc.). Thus, it should be advantageous to consider WANs as part of an industrial communication system (Sect. 56.2), mostly within the upper layers of an enterprise hierarchy. Homogeneity: There are homogeneous parts (e.g. standardized Fieldbus systems) within an industrial communication system. But in real applications the use of heterogeneous networks is more common, especially when using WANs and when connected with services of network providers. Installations types: While most of the installed enterprise networks are currently wired, the number of wireless installations is increasing and this trend will continue.

56.1.3 Requirements in Industrial Automation Networks The main requirements are:





• •

Real-time behavior: Diagnosis, maintenance, commissioning, and slow mobile applications are examples of non real-time applications. Process automation and data acquisition usually present soft real-time requirements. Examples of hard real-time applications are closed-loop control applications, such as in fast mobile applications and machine tools. Motion control is an example of an isochronous hard real-time application. Functional safety: Protection against hazards caused by incorrect functioning including communication via heterogeneous networks. There are several safety integrity levels (SIL) [56.2]. It includes the influence of noisy environments and the degree of reliability. Security: This means a common security concept for distributed automation using a heterogeneous network with different security integrity levels (not existent yet). Location awareness: The desired context awareness leads to the usage of location-based communication services and context-sensitive applications.

56.1.4 Chapter Overview The remainder of the chapter is structured as follows. Section 56.2 discusses the concept of virtual automation networks (VANs), a key concept in future distributed automation systems, which will be composed of (partially homogeneous) local and wide area as well as

Industrial Communication Protocols

Sect. 56.4 discusses wireless industrial communication systems. Section 56.5 deals with the use of wide area communications to execute remote automation operations.

56.2 Virtual Automation Networks depicts the communication environment of a complex automation scenario. Following a unique design concept, regarding the objects to be transmitted between geographically distributed communication end points, the heterogeneous network becomes a virtual automation network (VAN) [56.3, 4]. VAN characteristics are defined for domains, where the expression domain is widely used to address areas and devices with common properties/behavior, common network technology, or common application purposes.

56.2.1 Definition, Characterization, Architectures Future scenarios of distributed automation lead to desired mechanisms for geographically distributed automation functions for various reasons:

• • •

Centralized supervision and control of (many) decentralized (small) technological plants Remote control, commissioning, parameterization, and maintenance of distributed automation systems Inclusion of remote experts or external machinereadable knowledge for plant operation and maintenance (for example, asset management, condition monitoring, etc.).

56.2.2 Domains Within the overall automation and communication environment, a VAN domain covers all devices that are grouped together on a logical or virtual basis to represent a complex application such as an industrial application. Therefore, the encompassed networks may be heterogeneous and devices can be geographically

This means that heterogeneous networks, consisting of (partially homogeneous) local and wide areas, as well as wired and wireless communication systems, will play an increasing role. Figure 56.1

Remote industrial domains / subsidiary / customer sites Real-time domain

Mobile devices

Office sub domains

ain

B

m do

N Domain connected onnected VA dio link via radio

Industrial domain ain

VAN domain A

Office domain

N VA

Industrial WLAN domain

Public and private C telecommunication telecommunicati ain networks/internet networks/inte m o d

Industrial backbone Mobile devices Industrial WLAN domain

Industrial segment Indust nt

Intrinsic safety domain

Single device integration (e.g. tele control)

Individual industrial sub domains

Real-time domain

Remark: All systems are shown generally as a bus. Depending on the real system it may be any type of topology including a ring.

Fig. 56.1 Different VAN domains related to different automation applications [56.3]

983

Part F 56.2

wired and wireless communication systems leading to complex heterogenous communication networks. In Sect. 56.3 the main wired industrial communication protocols are presented and compared, while

56.2 Virtual Automation Networks

984

Part F

Industrial Automation

Part F 56.3

Telecommunication

Manager station

LAN

Supervisor

RTE

Fieldbus

56.2.3 Interfaces, Network Transitions, Transmission Technologies

WAN, Internet

Office device

Controller

MAN station

Internet server

Device

W/WL link

Proxy gateway W/WL link

WL device WL device WL device WL device

Wireless fieldbus

A VAN network consists of several different communication paths and network transitions. Figure 56.2 depicts the required transitions in heterogeneous networks. Depending on the network and communication technology of the single path there will be differences in the addressing concept of the connected network segments. Also the communication paths have different communication line properties and capabilities. Therefore, for the path of two connected devices within a VAN domain the following views are possible:

Fig. 56.2 Network transitions (local area networks (LAN), wire-



less LAN (WL), wired/wireless (W/WL), real-time Ethernet (RTE), metropolitan area network (MAN), wide area network (WAN))



distributed over a physical environment, which shall be covered by the overall application. But all devices that have to exchange information within the scope of the application (equal to a VAN domain) must be VAN aware or VAN enabled devices. Otherwise, they are VAN independent and are not a member of a VAN domain. Figure 56.1 depicts VAN domain examples representing three different distributed applications. Devices related to a VAN domain may reside in a homogeneous network domain (e.g. the industrial domain shown in Fig. 56.1). But, depending on the application, additional VAN relevant devices may only be reached by crossing other network types (e.g., wide area network type communication) or they need to use proxy technology to be represented in the VAN domain view of a complex application.



The logical view: describing the properties/capabilities of the whole communication path The physical view: describing the detailed properties/capabilities of the passed technology-dependent communication paths The behavioral view: describing the different cyclic/acyclic temporal behavior of the passed segments.

There are different opportunities to achieve a communication path between network segments/devices (or their combinations). These are: Ethernet line (with/without transparent communication devices), wireless path, telecommunication network (1:1), public networks (n:m, provider-oriented), VPN tunnel, gateway (without application data mapping), proxy (with application data mapping), VAN access point, and IP mapping. All networks, which can not be connected via an IP-based communication stack, must be connected using a proxy. For connecting nonnested/cascaded VAN subdomains via public networks the last solution (VAN access point) should be preferred.

56.3 Wired Industrial Communications 56.3.1 Introduction Wired digital communication has been an important driving force of computer control systems for the last 30 years. To allow the access to data in various layers of an enterprise information system by different users, there is a need to merge different digital communication systems within the plant, control, and device levels of an enterprise network. On these different levels, there are distinct requirements dictated by the nature and type

of information being exchanged. Network physical size, number of supported devices, network bandwidth, response time, sampling frequency, and payload size are some of the performance characteristics used to classify and group specific network technologies. Real-time requirements depend on the type of messages to be exchanged: deadlines for end-to-end data transmission, maximum allowed jitter for audio and video stream transmission, etc. Additionally, available resources at the various network levels may vary significantly. At

Industrial Communication Protocols

• • • •

Sensor/actuator networks: at the field (sensor/actuator) level Fieldbus systems: at the field level, collecting/distributing process data from/to sensors/actuators, communication medium between field devices and controllers/PLCs/management consoles Controller networks: at the controller level, transmitting data between powerful field devices and controllers as well as between controllers Wide area networks: at the enterprise level, connecting networked segments of an enterprise automation system.

Vendors of industrial communication systems offer a set of fitting solutions for these levels of the automation/communication hierarchy.

56.3.2 Sensor/Actuator Networks At this level, several well established and widely adopted protocols are available:

• •

HART (HART Communication Foundation): highway addressable remote transducer, coupling analog process devices with engineering tools [56.5] ASi (ASi Club): actuator sensor interface, coupling binary sensors in factory automation with control devices [56.6].

Additionally, CAN-based solutions (CAN in automation (CIA)) are used for wide-spread application fields, coupling decentralized devices with centralized devices based on physical and MAC layers of the controller area network [56.7]. Recently, IO Link has been specified for bi-directional digital transmission of parameters between simple sensor/actuator devices in factory automation [56.8, 9]. HART HART Communication [56.5] is a protocol specification, which performs a bi-directional digital transmission of parameters (used for configuration and parameterization of intelligent field instruments by a host system) over analog transmission lines. The host

Handheld terminal HART interface (RS 232 or USB)

Resistor (250 Ohm)

PC host application Power supply

Field device

Fig. 56.3 A HART system with two masters

(http://www.hartcomm.org)

system may be a distributed control system (DCS), a programmable logic controller (PLC), an asset management system, a safety system, or a handheld device. HART technology is easy to use and very reliable. The HART protocol uses the Bell 202 Frequency Shift Keying (FSK) standard to superimpose digital communication signals at a low level on top of the 4–20 mA analog signal. The HART protocol communicates at 1200 bps without interrupting the 4–20 mA signal and allows a host application (master) to get two or more digital updates per second from a field device. As the digital FSK signal is phase continuous, there is no interference with the 4–20 mA signal. The HART protocol permits all digital communication with field devices in either point-to-point or multidrop network configurations. HART provides for up to two masters (primary and secondary). As depicted in Fig. 56.3, this allows secondary masters (such as handheld communicators) to be used without interfering with communications to/from the primary master (i. e. control/monitoring system). ASi (IEC 62026-2) ASi [56.6] is a network of actuators and sensors (optical, inductive, capacitive) with binary input/output signals. An unshielded twisted pair cable for data and power (max. 2 A; max. 100 m) enables the connection of 31 slaves (max. 124 binary signals of sensors and/or actuators). This enables a modular design using any network topology (i. e. bus, star, tree). Each slave can receive any available address and be connected to the cable at any location. AS-Interface uses the APM method (alternating pulse modulation) for data transfer. The medium access

985

Part F 56.3

the device level, there are extremely limited resources (hardware, communications), but at the plant level powerful computers allow comfortable software and memory consumption. Due to the different requirements described above, there are different types of industrial communication systems as part of a hierarchical automation system within an enterprise:

56.3 Wired Industrial Communications

986

Part F

Industrial Automation

Part F 56.3

is controlled by a master–slave principle with cyclic polling of all nodes. ASi masters are embedded (ASi) communication controllers of PLCs or PCs, as well as gateways to other Fieldbus systems. To connect legacy sensors and actuators to the transmission line, various coupling modules are used. AS-Interface messages can be classified as follows:

• •

Single transactions: maximum of 4 bit information transmitted from master to slave (output information) and from slave to master (input information) Combined transactions: more than 4 bits of coherent information are transmitted, composed of a series of master calls and slave replies in a defined context.

For more details see www.as-interface.com.

56.3.3 Fieldbus Systems Nowadays, Fieldbus systems are standardized (though unfortunately not unified) and widely used in industrial automation. The IEC 61158 and 61784 standards [56.11, 12] contain ten different Fieldbus concepts. Seven of these concepts have their own complete protocol suite: PROFIBUS (Siemens, PROFIBUS International); Interbus (Phoenix Contact, Interbus Club); Foundation Fieldbus H1 (Emerson, Fieldbus Foundation); SwiftNet (B. Crowder); P-Net (Process Data); and WorldFIP (Schneider, WorldFIP). Three of them are based on Ethernet functionality: high speed Ethernet (HSE) (Emerson, Fieldbus Foundation); Ethernet/IP (Rockwell, ODVA); PROFINET/CBA: (Siemens, PROFIBUS International). The world-wide

Token

PROFIBUS-DP Master class 1

Cycle

Slave 1

DP-slave 2

Slave 2

Slave 3

Cyclic access of master 1

PROFIBUS PROFIBUS is a universal fieldbus for plantwide use across all sectors of the manufacturing and process industries based on the IEC 61158 and IEC 61784 standards. Different transmission technologies are supported [56.10]:





PROFIBUS-DP Master class 2 Cyclic data exchange

DP-slave 1

leading positions within the automation domain regarding the number of installed Fieldbus nodes hold PROFIBUS and Interbus followed by DeviceNet (Rockwell, ODVA), which has not been part of the IEC 61158 standard. For that reason, the basic concepts of PROFIBUS and DeviceNet will be explained very briefly. Readers interested in a more comprehensive description are referred to the related web sites.

Configuration parameteriz.

DP-slave 3

Slave 3 Acyclic access of master 2

Fig. 56.4 Profibus medium access control (from [56.10])



RS 485: Type of medium attachment unit (MAU) corresponding to [56.13]. Suited mainly for factory automation. Technical details see [56.10, 13]. Number of stations: 32 (master stations, slave stations or repeaters); Data rates: 9.6/19.2/45.45/93.75/ 187.5/500/1500/3000/6000/12 000 kb/s. Manchester bus powered (MBP). Type of MAU suited for process automation: line, tree, and star topology with two wire transmission; 31.25 kBd (preferred), high speed variants w/o bus powering and intrinsic safety; synchronous transmission (Manchester encoding); optional: bus powered devices (≥ 10 mA per device; low power option); optional: intrinsic safety (Ex-i) via additional constraints according to the FISCO model. Intrinsic safety means a type of protection in which a portion of the electrical system contains only intrinsically safe equipment (apparatus, circuits, and wiring) that is incapable of causing ignition in the surrounding atmosphere. No single device or wiring is intrinsically safe by itself (except for batteryoperated self-contained apparatus such as portable pagers, transceivers, gas detectors, etc., which are specifically designed as intrinsically safe selfcontained devices) but is intrinsically safe only when employed in properly designed intrinsically safe system. There are couplers/link devices to couple MBP and RS485 transmission technologies. Fibre optics (not explained here, see [56.10]).

There are two medium access control (MAC) mechanisms (Fig. 56.4): 1. Master–master traffic using token passing 2. Master–slave traffic using polling.

1. Common application profiles (regarding functional safety, synchronization, redundancy etc.) 2. Application field specific profiles (e.g. process automation, semiconductor industries, motion control). These profiles reflect the broad experience of the PROFIBUS International organization. DeviceNet DeviceNet is a digital, multi-drop network that connects and serves as a communication network between industrial controllers and I/O devices. Each device and/or controller is a node on the network. DeviceNet uses a trunk-line/drop-line topology that provides separate twisted pair busses for both signal and power distribution. The possible variants of this topology are shown in [56.14]. Thick or thin cables can be used for either trunklines or droplines. The maximum end-to-end network length varies with data rate and cable thickness. DeviceNet allows transmission of the necessary power on the network. This allows devices with limited power requirements to be powered directly from the network, reducing connection points and physical size. DeviceNet systems can be configured to operate in a master-slave or a distributed control architecture using peer-to-peer communication. At the application layer, DeviceNet uses a producer/consumer application model. DeviceNet systems offer a single point of connection for configuration and control by supporting both I/O and explicit messaging. DeviceNet uses CAN (controller area network [56.7]) for its data link layer, and CIP (common indus-

PROFIBUS DP

485: NRZ Transmission RS 485-IS technologies RS Intrinsic safety

Fiber optics: Glass Single/Multi mode; PCF/Plastic fiber

DP-V0...V2

MBP: Manchester Bus Powered (LP: Low power, IS: Intrinsic safety

System profiles 1... , x

Encoder

Weighing & dosing

Ident systems

PROFIdrive

SEMI

RIO for PA

IEC 61158/61784

Master conform. classes interfaces, Constraints

Communic. technologies

Common application profiles (optional)

PROFIsafe, Time stamp, Redundancy, etc.

Integration technologies

Application profiles I

Descriptions (GSD, EDD) tools (DTM, Configurators)

The dominating PROFIBUS protocol is the application protocol DP (decentralized periphery), embedded into the protocol suite (Fig. 56.5). Depending upon the functionality of the masters, there are different volumes of DP specifications. There are various profiles, which are grouped as follows:

Application profiles II

PA devices

1. Master class 1, which is basically a central controller that cyclically exchanges information with the distributed stations (slaves) at a specified message cycle. 2. Master class 2, which are engineering, configuration, or operating devices. The slave-to-slave communication is based on the application model publisher/subscriber using the same MAC mechanisms.

Fig. 56.5 PROFIBUS protocol suite (from [56.10])

trial protocol) for the upper network layers. As with all CIP networks, DeviceNet implements CIP at the session (i. e. data management services) layer and above, and adapts CIP to the specific DeviceNet technology at the network and transport layer, and below. Figure 56.6 depicts the DeviceNet protocol suite. The data link layer is defined by the CAN specification and by the implementation of CAN controller chips. The CAN specification [56.7] defines two bus states called dominant (logic 0) and recessive (logic 1). Any transmitter can drive the bus to a dominant state. The bus can only be in the recessive state when no transmitter is in the dominant state. A connection with a device must first be established in order to exchange information with that device. To establish a connection, each DeviceNet node will implement either an unconnected message manager (UCMM)

A

Device profiles & application objects CIP common industrial protocol (IEC 61158)

P

S

T

Explicit messaging

Implicit messaging

Connection manager

DeviceNet specification (IEC 62026)

N

Controller area network (CAN)

CAN specification (ISO 11898)

Peer-to-peer, Master-slave, Multi-master, 64 nodes/network

DeviceNet specification (IEC 62026)

DL

PHY

Fig. 56.6 DeviceNet protocol suite (from [56.14])

987

Part F 56.3

PROFIBUS differentiates between two types of masters:

56.3 Wired Industrial Communications

...

Industrial Communication Protocols

988

Part F

Industrial Automation

Part F 56.3

or a Group 2 unconnected port. Both perform their function by reserving some of the available CAN identifiers. When either the UCMM or the Group 2 unconnected port is selected to establish an explicit messaging connection, that connection is then used to move information from one node to the other (using a publisher/subscriber application model), or to establish additional I/O connections. Once I/O connections have been established, I/O data may be moved among devices on the network. At this point, all the protocol variants of the DeviceNet I/O message are contained within the 11 bit CAN identifier. CIP is strictly object oriented. Each object has attributes (data), services (commands), and behavior (reaction to events). Two different types of objects are defined in the CIP specification: communication objects and application-specific objects. Vendor-specific objects can also be defined by vendors for situations where a product requires functionality that is not in the specification. For a given device type, a minimum set of common objects will be implemented. An important advantage of using CIP is that for other CIP-based networks the application data remains the same regardless of which network hosts the device. The application programmer does not even need to know to which network a device is connected. CIP also defines device profiles, which identifies the minimum set of objects, configuration options, and the I/O data formats for different types of devices. Devices that follow one of the standard profiles will have the same I/O data and configuration options, will respond to all the same commands, and will have the same behavior as other devices that follow that same profile. For more information on DeviceNet readers are referred to www.odva.org.

56.3.4 Controller Networks This network class requires powerful communication technology. Considering controller networks based on Ethernet technology, one can distinguish between (related to the real-time classes, see Sect. 56.1): 1. Local soft real-time approaches (real-time class 1) 2. Deterministic real-time approaches (real-time class 2) 3. Isochronous real-time approaches (real-time class 3). The standardization process started in 2004. There were many candidates to become part of the extended Fieldbus standard IEC 61158 (edition 4): high

speed Ethernet HSE (Emerson, Fieldbus Foundation); Ethernet/IP (Rockwell, ODVA); and PROFINET/CBA (Siemens, PROFIBUS International). Nine Ethernetbased solutions have been added. In this section a short survey of the previously mentioned real-time classes will be given, and two practical examples will be examined. Local Soft Real-Time Approaches (Real-Time Class 1) These approaches use TCP (UDP)/IP mechanisms over shared and/or switched Ethernet networks. They can be distinguished by different functionalities on top of TCP (UDP)/IP, as well as by their object models and application process mechanisms. Protocols based on Ethernet-TCP/IP offer response times in the lower millisecond range but are not deterministic, since data transmission is based on the best effort principle. Some examples are given below. MODBUS TCP/IP (Schneider) [56.15]. MODBUS is an application layer messaging protocol for client/server communication between devices connected via different types of buses or networks. Using Ethernet as the transmission technology, the application layer protocol data unit (A-PDU) of MODBUS (function code and data) is encapsulated into an Ethernet frame. The connection management on top of TCP/IP controls the access to TCP. Ethernet/IP (Rockwell, ControlNet International, Open DeviceNet Vendor Association) uses a common industrial protocol CIP [56.16]. In this context, IP stands

for industrial protocol (not for Internet protocol). CIP represents a common application layer for all physical networks of Ethernet/IP, ControlNet and DeviceNet. Data packets are transmitted via a CIP router between the networks. For the real-time I/O data transfer, CIP works on top of UDP/IP. For the explicit messaging, CIP works on top of TCP/IP. The application process is based on a producer/consumer model. High Speed Ethernet HSE (Fieldbus Foundation) [56.17]. A field device agent represents a specific Field-

bus Foundation application layer function (including Fieldbus message specification). Additionally, there are HSE communication profiles to support the different device categories: host device, linking device, I/O gateway, and field device. These devices share the tasks of the system using distributed function block applications.

Industrial Communication Protocols

chitecture) and DCOM wire protocol with the remote procedure call mechanisms (DCE RPC) (OSF C 706) to transmit the soft real-time data. An open source code and various exemplary implementations/portations for different operating systems are available on the PNO web site.

Component object model

IO object model

Component context management (ACCO)

IO context management

DCOM CO-RPC TCP

P-Net on IP (Process Data) [56.20]. Based on P-Net

Fieldbus standard IEC 61158 Type 4 [56.11], P-Net on IP contains the mechanism to use P-Net in an IP environment. Therefore, P-Net PDUs are wrapped into UDP/IP packages, which can be routed through IP networks. Nodes on the IP network are addressed with two P-Net route elements. P-Net clients (master) can access servers on an IP network without knowing anything about IP addresses. All of the above mentioned approaches are able to support widely used office domain protocols, such as SMTP, SNMP, and HTTP. Some of the approaches support BOOTP and DHCP for web access and/or for engineering data exchange. But the object models of the approaches differ. Deterministic Real-Time Approaches (Real-Time Class 2) These approaches use a middleware on top of the MAC layer to implement scheduling and smoothing functions. The middleware is normally represented by a software implementation. Industrial examples include the following. PROFINET (PROFIBUS International, Siemens) [56.19].

This variant of the Ethernet-based PROFINET IO system (using the main application model background of the Fieldbus PROFIBUS DP) uses the object model IO (input/output). Figure 56.7 roughly depicts the PROFINET protocol suite, containing the connection establishment for PROFINET/CBA via connectionoriented RPC on the left side, as well as for the PROFINET IO via connectionless RPC on the right side. The exchange of (mostly cyclic) productive data uses the real-time functions in the center. The PROFINET IO service definition and protocol specification [56.21] covers the communication between programmable logical controllers (PLCs), supervisory systems, and field devices or remote input and output devices. The PROFINET IO specification complies with IEC 61158, Parts 5 and 6, specially the Fieldbus application layer (FAL). The PROFINET pro-

Cyclic (producer/consumer) and acyclic services

IP

CL-RPC UDP

Real-time IP

IEEE 802.3

Connection establishment

Connection establishment

Fig. 56.7 PROFINET protocol suite of PROFINET (from [56.18])

(active control connection object (ACCO), connection-oriented (CO), connectionless (CL), remote procedure call (RPC))

tocol is defined by a set of protocol machines. For more details see [56.22]. Time-Critical Control Network (Tcnet, Toshiba) [56.23].

Tcnet specifies in the application layer a so-called common memory for time-critical applications, and uses the same mechanisms as mentioned for PROFINET IO for TCP(UDP)/IP-based non real-time applications. An extended data link layer contains the scheduling functionality. The common memory is a virtual memory globally shared by participating nodes as well as application processes running on each node. It provides a temporal and spatial coherence of data distribution. The common memory is divided into blocks with several memory lengths. Each block is transmitted to member nodes using multicast services, supported by a publisher node. A cyclic broadcast transmission mechanism is responsible for refreshing the data blocks. Therefore, the common memory consists of dedicated areas for the transmitting data to be refreshed in each node. Thus, the application program of a node has quick access to all (distributed) data. The application layer protocol (FAL) consists of three protocol machines: the FAL service protocol machine (FSPM), the application relationship protocol machine (ARPM), and the data link mapping protocol machine (DMPM). The scheduling mechanism in the data link layer follows a token passing mechanism. Vnet (Yokogawa) [56.24]. Vnet supports up to 254 subnetworks with up to 254 nodes each. In its applica-

989

Part F 56.3

PROFINET (PNO PROFIBUS User Organization, Siemens) [56.19]. uses object model CBA (component based ar-

56.3 Wired Industrial Communications

990

Part F

Industrial Automation

Part F 56.3

tion layer, three kinds of application data transfers are supported:

• • •

A one-way communication path used by an endpoint for inputs or outputs (conveyance paths) A trigger policy Data transfer using a buffer model or a queue model (conveyance policy).

The application layer FAL contains three types of protocol machines: the FSPM FAL service protocol machine, ARPMs application relationship protocol machines, and the DMPM data link layer mapping protocol machine. For real-time data transfer, the data link layer offers three services: 1. Connection-less DL service 2. DL-SAP management service 3. DL management service. Real-time and non real-time traffic scheduling is located on top of the MAC layer. Therefore, one or more time-slots can be used within a macro-cycle (depending on the service subtype). The data can be ordered by four priorities: urgent, high, normal, time-available. Each node has its own synchronized macro-cycle. The data link layer is responsible for clock synchronization. Isochronous Real-Time Approaches (Real-Time Class 3) The main examples are as follows. Powerlink (Ethernet PowerLink Standardization Group (EPSG), Bernecker and Rainer), developed for motion control [56.25]. Powerlink offers two

modes: protected mode and open mode. The protected mode uses a proprietary (B&R) real-time protocol on top of the shared Ethernet for protected subnetworks. These subnetworks can be connected to an open standard network via a router. Within the protected subnetwork the nodes cyclically exchange real-time data avoiding collisions. The scheduling mechanism is a time-division scheme. Every node uses its own time slot [slot communication network management (SCNM)] to send its data. The mechanism uses a manager node, which acts comparably with a bus master, and managed nodes act similar to a slave. This mechanism avoids Ethernet collisions. The Powerlink protocol transfers the real-time data isochronously. The open mode can be used for TCP(UDP)/IP based applications. The network normally uses switches. The traffic has to be transmitted within an asynchronous period of the cycle.

EtherCAT [EtherCAT Technology Group (ETG), Beckhoff] developed as a fast backplane communication system [56.26]. EtherCAT distinguishes two modes: direct

mode and open mode. Using the direct mode, a master device uses a standard Ethernet port between the Ethernet master and an EtherCAT segment. EtherCAT uses a ring topology within the segment. The medium access control adopts the master/slave principle, where the master node (typically the control system) sends the Ethernet frame to the slave nodes (Ethernet device). One single Ethernet device is the head node of an EtherCAT segment consisting of a large number of EtherCAT slaves with their own transmission technology. The Ethernet MAC address of the first node of a segment is used for addressing the EtherCAT segment. For the segment, special hardware can be used. The Ethernet frame passes each node. Each node identifies its subframe and receives/sends the suitable information using that subframe. Within the EtherCAT segment, the EtherCAT slave devices extract data from and insert data into these frames. Using the open mode, one or several EtherCAT segments can be connected via switches with one or more master devices and Ethernet-based basic slave devices. PROFINET IO/Isochronous Technology (PROFIBUS User Organization, Siemens) developed for any industrial application [56.27]. PROFINET IO/Isochronous

Technology uses a middleware on top of the Ethernet MAC layer to enable high-performance transfers, cyclic data exchange and event-controlled signal transmission. The layer 7 functionality is directly linked to the middleware. The middleware itself contains the scheduling and smoothing functions. This means that TCP/IP does not influence the PDU structure. A special Ethertype is used to identify real-time PDUs (only one PDU type for real-time communication). This enables easy hardware support for the real-time PDUs. The technical background is a 100 Mbps full duplex Ethernet (switched Ethernet). PROFINET IO adds an isochronous real-time channel to the RT channels of real-time class 2 option channels. This channel enables a high-performance transfer of cyclic data in an isochronous mode [56.28]. Time synchronization and node scheduling mechanisms are located within and on top of the Ethernet MAC layer. The offered bandwidth is separated for cyclic hard real-time and soft/non real-time traffic. This means that within a cycle there are separate time domains for cyclic hard real-time, for soft/non real-time over TCP/IP traffic, and for the synchronization mechanism, see also Fig. 56.8.

Industrial Communication Protocols

tsendclock +1

31.25 µs ≤ Tsendclock ≤ 4 ms T60%

cRT

aRT

non RT

cRT

aRT

non RT

Fig. 56.8 LMPM MAC access used in PROFINET IO [56.22] (cyclic real-time (cRT), acyclic real-time (aRT), nonreal-time (non RT), medium access control (MAC), link layer mapping protocol machine (LMPM))

The cycle time should be in the range of 250 μs (35 nodes) up to 1 ms (150 nodes) when simultaneously TCP/IP traffic of about 6 Mbps is transmitted. The jitter will be less than 1 μs. PROFINET IO/IRT uses switched Ethernet (full duplex). Special four-port and two-port switch ASICs have been developed and allow the integration of the switches into the devices (nodes) substituting the legacy communication controllers of Fieldbus systems. Distances of 100 m per segment (electrical) and 3 km per segment (fiber-optical) can be bridged. Ethernet/IP with Time Synchronization (ODVA, Rockwell Automation). Ethernet/IP with time synchroniza-

tion [56.29], an extension of Ethernet/IP, uses the CIP Synch protocol to enable the isochronous data trans-

fer. Since the CIP Synch protocol is fully compatible with standard Ethernet, additional devices without CIP Synch features can be used in the same Ethernet system. The CIP Synch protocol uses the precision clock synchronization protocol [56.30] to synchronize the node clocks using an additional hardware function. CIP Synch can deliver a time-synchronization accuracy of less than 500 ns between devices, which meets the requirements of the most demanding real-time applications. The jitter between master and slave clocks can be less than 200 ns. SERCOS III, (IG SERCOS Interface e.V.). A SERCOS network [56.31], developed for motion control, consists of masters and slaves. Slaves contain integrated repeaters, which have a constant delay time Trep (input/output). The nodes are connected via point-to-point transmission lines. Each node (participant) has two communication ports, which are interchangeable. The topology can be either a ring or a line structure. The ring structure consists of a primary and a secondary channel. All slaves work in forwarding mode. The redundancy provided by the ring structure prevents any downtime caused by a broken cable. The line structure consists of either a primary or a secondary channel. The last physical slave performs the loopback function. All other slaves work in forwarding mode. No redundancy against cable breakage is achieved. It is also possible to insert and remove slaves during operation (hot plug). This is restricted to the last physical slave.

56.4 Wireless Industrial Communications 56.4.1 Basic Standards Wireless communication networks are increasingly penetrating the application area of wired communication systems. Therefore, they have been faced with the requirements of industrial automation. Wireless technology has been introduced in automation as wireless local area networks (WLAN) and wireless personal area networks (WPAN). Currently, the wireless sensor networks (WSN) are under discussion especially for process automation. For specific application aspects of wireless communications see Chap. 13 on Communication in Automation, Including Networking and Wireless, and Chap. 20 on Sensors and Sensor Networks. The basic standards are the following:

• •

• • •

Mobile communications standards: GSM, GPRS, and UMTS wireless telephones (DECT) Lower layer standards (IEEE 802.11: Wireless LAN [56.32], and 802.15 [56.33]: personal area networks) as a basis of radio-based local networks (WLANs, Pico networks and sensor/actuator networks) Higher layer standards (application layers on top of IEEE 802.11 and 802.15.4, e.g. WiFi, bluetooth [56.34], wireless HART, and ZigBee [56.35]) Proprietary protocols for radio technologies (e.g. wireless interface for sensors and actuators (WISA) [56.36]) Upcoming radio technologies such as ultra wide band (UWB) and WiMedia

991

Part F 56.4

tsendclock

56.4 Wireless Industrial Communications

992

Part F

Industrial Automation

Part F 56.4

For more detailed information and survey see [56.37– 41].

56.4.2 Wireless Local Area Networks (WLAN) The term WLAN refers to a wireless version of the Ethernet used to build computer networks for office and home applications. The original standard (IEEE802.11) specified an infrared, a direct sequence spread spectrum (DSSS) and a frequency hopping spread spectrum (FHSS) physical layer. There is an approval for WLAN to use special frequency bands, however it has to share the medium with other users. The WiFi Alliance was founded to assure interoperability between WLAN clients and access points of different vendors. Therefore, a certification procedure and a WiFi logo are provided. WLANs use a licence-free frequency band and no service provider is necessary. WLAN is a mature technology and it is implemented in PCs, laptops, and PDAs. Modules for embedded systems development are also available. WLAN can be used almost world wide. Embedded WLAN devices need a powerful microcontroller. WLAN enables wireless access to Ethernet based LANs and is helpful for the vertical integration in an automated manufacturing environment. It offers high speed data transmission that can be used to transmit productive data and management data in parallel. The WLAN propagation characteristics fit into a number of possible automation applications. WLAN enables more flexibility and a cost effective installation in automation associated with mobility and localization. The transition to Ethernet is simple and other gateways are possible. The largest part of the implementation is achieved in hardware; however improvements can be made above the MAC layer.

Both technologies use the standard IEEE 802.15.4 (2003) low-rate wireless personal area network (WPAN) [56.33], specifying the physical layer and parts of the data link layer (medium access control). ZigBee ZigBee distinguishes between three device types:

• • •

Coordinator ZC: root of the network tree, storing the network information and security keys. It is responsible for connection the ZigBee network to other networks. Router ZR: transmits data of other devices. End device ZED: automation device (e.g. sensor), which can communicate with ZR and ZC, but is unable to transmit data of other devices.

An enhanced version allows one to group devices and to store data for neighboring devices. Additionally, to save energy, there are full-function devices and reduced-function devices. The ZigBee application layer (APL) consists of three sublayers: application support layer (APS) (containing the connection lists of the connected devices), an application framework (AF), and Zigbee device objects (ZDO) (definition of devices roles, handling of connection requests, and establishment of communication relations between devices). For process automation, the ZigBee application model and the ZigBee profiles are very interesting. The application functions are represented by application objects (AO), and the generic device functions by device objects (DO). Each object of a ZigBee profile can contain one or more clusters and attributes, transferred to the target AO (in the target device) directly or to a coordinator, which transfers them to one or more target objects.

56.4.3 Wireless Sensor/Actuator Networks Various wireless sensor network (WSN) concepts are under discussion, especially in the area of industrial automation. Features such as time synchronized operation, frequency hopping, self-organization (with respect to star, tree, and mesh network topologies), redundant routing, and secure data transmission are desired. Interesting surveys on this topic are available in [56.41–44]. Process automation requirements can be generally fulfilled by two mesh network technologies:

• •

ZigBee (ZigBee Alliance) [56.35] Wireless HART [56.45, 46].

WirelessHART Revision 7 of HART protocol includes the specification of WirelessHART [56.46]. The mesh type network allows the use of redundant communication paths between the radio-based nodes. The temporal behavior is determined by the time synchronized mesh protocol (TSMP) [56.47, 48]. TSMP enables a synchronous operation of the network nodes (called motes) based on a time slot mechanism. It uses various radio channels (supported by the MAC layer) for an endto-end communication between distributed devices. It works comparably with a frequency hopping mechanism missed in the basic standard IEEE 802.15.4.

Industrial Communication Protocols

which can be actualized when failures have been recognized. To support security, TSMP uses mechanisms for encryption (128 bit symmetric key), authentification (32 bit MIC for source address), and integrity (32 bit MIC for message content). Additionally, the frequency hopping mechanism improves the security features. For detailed information see [56.46].

56.5 Wide Area Communications With the application of remote automation mechanisms (remote supervisory, operation, service) using wide area networks, the stock of existing communication technology becomes broader and includes the following [56.18]:

• •

• •

All appearances of the Internet (mostly supporting best effort quality of services) Public digital wired telecommunication systems: either line-switched [e.g. integrated services digital network (ISDN)] or packet-switched [such as asymmetric/symmetrical digital subscriber line (ADSL, SDSL)] Public digital wireless telecommunication systems (GSM-based, GPRS-based, UMTSbased) Private wireless telecommunication systems, e.g. trunk radio systems.

The transition between different network technologies can be made easier by using multiprotocol label switching (MPLS) and synchronous digital hierarchy (SDH). There are several private protocols (over leased lines, tunneling mechanisms, etc.) that have been used in the automation domain using these technologies. Most of the wireless radio networks can be used in non real-time applications, some of them in soft real-time applications; however industrial environments and industrial, scientific, and medical (ISM) band limit the applications. Figure 56.9 depicts the necessary remote channels. The end-to-end connection behavior via these telecommunication systems depends on the recently offered quality of service (QoS). It strongly limits the use of these systems within the automation domains. Therefore, the following application areas have to be distinguished:



Non-Real-Time Communication in Automation Non real-time communication (Standard IT: upload/download, SNMP) with lower priority to real-



time communication: for configuration, diagnostics, automation-specific up/download. Manufacturing-specific functions, context management, establishment of application relationships and connection relationships to configure IO devices, application monitoring to read status data (diagnostics, I&M), read/write services (HMI, application program), open loop control.

The automation domain has the following impact on non real-time WAN connections: addressing between multiple distributed address spaces, and redundant transmission for minimized downtime to ensure its availability for a running distributed application.

• •

Real-Time Communication in Automation Cyclic real-time communications (i. e. PROFINET IO data) for closed loop control and acyclic alarms (i. e. PROFINET IO alarms) as major manufacturing-specific services Transfer (and addressing) methods for RT data across WAN can be distinguished as follows:

Non real-time Configuration data record data

Real-time IO data

Alarms

IO O dev device

IO controller

Communication channel

CRs

Fig. 56.9 Remote communication channels over WAN (input/

output (IO); communication relation (CR))

993

Part F 56.5

TSMP supports star, tree, as well as mesh topologies. All nodes have the complete routing function (contrary to ZigBee). A self-organization mechanism enables devices to acquire information of neighboring nodes and to establish connections between them. The messages have their own network identifier. Thus, different networks can work together in the same radio area. Each node has its own list of neighbors,

56.5 Wide Area Communications

994

Part F

Industrial Automation

– MAC based: Tunnel (real-time class 1, partially real-time class 2 for longer send intervals, e.g. 512 ms), clock across WAN and reserved send phase for real-time transmission – IP based: Real-time over UDP (routed); web services based [56.49, 50].





Part F 56.5

The automation domain has the following impact on real-time WAN connections: a constant delay-sensitive and jitter-sensitive real-time base load (e.g. in LAN: up to 50% bandwidth reservation for real-time transmission). To use a wide area network for geographically distributed automation functions, the following basic design decisions were made following the definitions in Sect. 56.2:

the automation domain. To guarantee a defined QoS for data transmission between two application access points via a wide area network, written agreements between customer and service provider [service level agreements (SLA)] must be contracted. In cases where the provider cannot deliver the promised QoS, an alternative line must be established to hold the connection for the distributed automation function (this operation is fully transparent to the application function). This line should be available from another provider, independent from the currently used provider. The automation devices (so called VAN access points (VAN-APs)] should support functions to switch (either manually or automatically) to an alternative line [56.51]. There are different mechanisms to realize a connection between remote entities:







A virtual automation network (VAN) is an infrastructure for standard LAN-based distributed industrial automation concepts (e.g. PROFINET or other) in an extended environment. The productive automation functions (applications) are described by their object models used in existing industrial communications. The application service elements (ASEs), as they are specified in the IEC 61158 standard, can additionally be used. The establishment of the end-to-end connections between distributed objects within a heterogeneous network is based on web services. Once this connection has been established, the runtime channel between these objects is equivalent to the runtime channel within the local area by using PROFINET (or other) runtime mechanisms. The VAN addressing scheme is based on names to avoid the use of IP and MAC addresses during establishment of the end-to-end path between logically connected applications within a VAN domain. Therefore, the IP and MAC addresses remain transparent to the connected application objects. Since there is no new Fieldbus or real-time Ethernet protocol, no new specified application layer is necessary. Thus, the well-tried models of industrial communications (as they are specified in the IEC 61158 standard) can be used. Only the additional requirements caused by the influence of wide area networks have to be considered and they lead to additional functionality following the abovementioned design guidelines.

Most of the WAN systems that offer quality-ofservice (QoS) support cannot provide real guarantees, and this strongly limits the use of these systems within





The VAN switching connection: the logical connection between two VAN-APs over a WAN or a public network. One VAN switching connection owns one or more communication paths. VAN switching line is defined as one physical communication path between two VAN-APs over a WAN or a public network. The endpoints of a switching connection are VAN-APs. The VAN switching line: the physical communication path between two VAN-APs over a WAN or a public network. A VAN switching line has its provider and own QoS parameter. If a provider offers connections with different warranted QoS each of these shall be a new VAN switching line. VAN switching endpoint access: the physical communication path between one VAN-AP and a WAN or a public network. This is a newly introduced class for using the switching application service elements of virtual automation networks for communication via WAN or public networks.

These mechanisms are very important for the concept of VANs using heterogeneous networks for automation. Depending on the priority and importance of the data transmitted between distributed communications partners, the kind of transportation service and communication technology is selected based on economical aspects. The VAN provider switching considers the following alternatives:



Use case 1: For packet-oriented data transmission via public networks a connection from a corresponding VAN-AP to the public network has to be established. The crossover from/to the public network is represented by the VAN switching endpoint

Industrial Communication Protocols



Use case 2: For a connection-oriented data transmission (or data packages with high-level priority) the use of manageable data transport technology is needed. The VAN switching line represents a manageable connection. A direct known connection between two VAN-APs has to be established and a VAN switching endpoint access is not needed. The chosen provider guarantees the defined requirements for the complete line. When the current line loses the promised requirements it is possible to define the VAN-APs to build up an alternative line and hold on/disconnect the current line automatically.

56.6 Conclusions As discussed in the above sections, the area of industrial communication protocols has been experiencing a tremendous evolution over the last ten years, being strongly influenced by advances in the area of information technology and hardware/software developments. Existing industrial communication protocols have impacted very positively both in the operation of industrial plants, due to enhanced diagnostic capabilities, which has improved maintenance operations, as well as in the development of complex automation systems. The chapter has reviewed the main concepts of industrial communication networks and presented the most prominent wired and wireless protocols that are

already incorporated in a large number of industrial devices (from thousands to millions). Within this scope of a multitude of existing protocols and also motivated by the growth of the Internet and increasing possibilities of the World Wide Web network, and the increased demand for geographically distributed automation functions virtual automation networks (VAN), a very interesting approach would appear to be to allow integration via heterogeneous networks. The section presented the concepts of VAN domains and interfaces and the challenges on ensuring timely communication behavior, safety, and security across multiple VAN domains.

56.7 Emerging Trends The number of commercially available industrial communication protocols has continued to increase, despite some trials to converge to a single and unified protocol, in particular during the definition of the IEC 61178 standard; the automation community has started to accept that no single protocol will be able to meet all different communication requirements from different application areas. This trend will be continued by the emerging wireless sensor networks as well as the integration of wireless communication technologies in all mentioned automation-related communication concepts. Therefore, increasing attention has been given to concepts and techniques to allow integration among heterogeneous networks, and within this context virtual automation networks are playing an increasing role.

With the proliferation of networked devices with increasing computing capabilities, the trend of decentralization in industrial automation systems will increase in the future (Figs. 56.10 and 56.11). This situation will lead to an increased interest in autonomic systems with self-X capabilities, where X stands for alternatives as configuration, organizing, optimizing, healing, etc. The idea is to develop automation systems and devices that are able to manage themselves given high level objectives. Those systems should have sufficient degrees of freedom to allow a self-organized behavior, which will adapt to dynamically changing requirements. The ability to deal with widely varying time and resources demands while still delivering dependable and adaptable services with guaranteed temporal qualities is a key aspect for future automation systems.

995

Part F 56.7

access. The requirements made for this line have to be fulfilled by the service level agreements from the chosen provider. Within the public network it is not possible to influence the quality of service. The data package leaves the public network when the VAN switching endpoint access from the faced communication partner is achieved. The connection from the public network to the faced VAN-AP is also provided by the same or an alternative provider and guarantees defined requirements. The data exchange between two communication partners is independent of each other.

56.7 Emerging Trends

996

Part F

Industrial Automation

Part F 56.7

ion Locat ng i s n se

SCADA

Ethernet

UWB HSDPA UMTS

Control parameterization set-up

Actuators LBS Sensors

Just one power cable....

Fig. 56.10 The wireless factory (from [56.52])

• Ubisense UWB-realtime positioning system

• RFID grid for mobile workshop navigation

• Cricket ultrasonic indoor location system

Fig. 56.11 Indoor positioning systems in the SmartFactory (from [56.52])

Industrial Communication Protocols

56.8 Further Reading

56.8.1 Books







• • • •

J.W.S. Liu: Real-Time Systems (Prentice Hall, Upper Saddle River 2000) P.S. Marshall: Industrial Ethernet (ISA, 2004) P. Pedreiras, L. Almeida: Approaches to enforce real-time behavior in Ethernet. In: The Industrial Communication Technology Handbook, ed. by R. Zurawski (CRC, Boca Raton 2005) B. Schneider: Secrets and Lies – Digital Security in a Networked World (Wiley, New York 2000) L.R. Franco, C.E. Pereira: Real-time characteristics of the foundation Fieldbus protocol. In: Fieldbus Technology: Industrial Network Standards for Real-Time Distributed Control, ed. by N.P. Mahalik (Springer, Berlin Heidelberg 2003), pp. 57– 94

56.8.2 Various Communication Standards

• • • •

IEC: IEC 61508: Functional safety of electrical/electronic/programmable electronic safetyrelated systems (2000) IEC: IEC 61158 Ser., Edition 3: Digital data communication for measurement and control – Fieldbus for use in industrial control systems (2003) IEC: IEC 61784-1: Digital data communications for measurement and control – Part 1: Profile sets for continuous and discrete manufacturing relative to Fieldbus use in industrial control systems (2003) PROFIBUS Guideline: PROFInet Architecture Description and Specification, Version V 2.0 (PNO, Karlsruhe 2003)

• • • • • • • • • • • •

56.8.3 Various web Sites of Fieldbus Organizations and Wireless Alliances



IEEE 802: http://www.ieee802.org (last accessed April 6, 2009)



HART Communication Foundation: http://hartcomm.org (last accessed April 6, 2009) ODVA: http://www.odva.org (last accessed April 6, 2009) PROFIBUS Nutzer Organisation/PROFIBUS International: http://www.profibus.com (last accessed April 6, 2009) Interbus Club: http://www.interbusclub.com (last accessed April 6, 2009) Fieldbus Foundation: http://www.fieldbus.org (last accessed April 6, 2009) MODBUS: http://www.modbus.org/ (last accessed April 6, 2009) Actor-Sensor-Interface ASi: http://www.as-interface.net (last accessed April 6, 2009) Ethernet POWERLINK Standardization Group (EPSG): http://www.ethernet-powerlink.org (last accessed April 6, 2009) EtherCAT Technology Group: http://www.ethercat.org (last accessed April 6, 2009) Interessengemeinschaft SERCOS interface e.V.: http://www.ig.sercos.de (last accessed April 6, 2009) IEEE 802.11TM Wireless Local Area Networks: http://ieee802.org/11/ (last accessed April 6, 2009) ZigBee Alliance: http://www.zigbee.org (last accessed April 6, 2009) Bluetooth Special Interest Group: http://www.bluetooth.org (last accessed April 6, 2009) WISA: Wireless Interface for Sensors and Actuators: http://library.abb.com/global/scot/scot209.nsf/ veritydisplay/4e478bd7490a3f8bc12571f100 427dcb/$File/2CDC171017K0201.PDF (last accessed April 6, 2009) Virtual Automation Networks (VAN): http://www.van-eu.eu/ (last accessed April 6, 2009)

Part F 56.8

56.8 Further Reading

997

998

Part F

Industrial Automation

Part F 56

References 56.1

56.2

56.3

56.4

56.5 56.6

56.7

56.8 56.9 56.10

56.11

56.12

56.13

56.14 56.15 56.16

56.17

56.18

P. Neumann: Communication in industrial automation – what is going on?, INCOM 2004, 11th IFAC Symp. Inf. Control Probl. Manuf., Salvador da Bahia (2004) IEC 61508: Functional safety of electrical/electronic/ programmable electronnic safety-related systems (2000) P. Neumann, A. Pöschmann, E. Flaschka: Virtual automation networks, heterogeneous networks for industrial automation, atp Int. Autom. Technol. Pract. 2, 36–46 (2007) Virtual Automation Networks: European Integrated Project FP6/2004/IST/NMP/2 016696 VAN. Deliverable D02.2-1: Topology Architecture for the VAN Virtual Automation Domain (2006) HART: http://www.hartcomm2.org/ (last accessed April 6, 2009) R. Becker, B. Müller, A. Schiff, T. Schinke, H. Walker: A Compilation of Technology, Functionality and Application (AS International Association, Gelnhausen 2002) CAN: IEC 11898 Controller Area Networks: http://de. wikipedia.org/wiki/Controller_Area_Network (last accessed April 6, 2009), see also http://www.cancia.org/canopen IO-Link Communication Specification, V 1.0; PROFIBUS International 2.802 (2008) IO-Link Integration, Part 1, V. 1.00; PROFIBUS International 2.812 (2008) PROFIBUS: Technical description, http://www.profibus.com/pb/ (last accessed April 6, 2009) IEC 61158 Ser., Edition 3: Digital data communication for measurement and control – Fieldbus for use in industrial control systems (2003) IEC 61784-1: Digital data communications for measurement and control – Part 1: Profile sets for continuous and discrete manufacturing relative to fieldbus use in industrial control systems (2003) ANSI/TIA/EIA-485-A: Electrical characteristics of generators and receivers for use in balanced digital multipoint systems (1998) ODVA: http://www.odva.org/portals/ (last accessed April 6, 2009) MODBUS TCP/IP: IEC 65C/341/NP: Real-Time Ethernet: MODBUS-RTPS (2004) Ethernet IP: Ethernet/IP specification, Release 1.0., (ControlNet International and Open DeviceNet Vendor Association, 2001) High Speed Ethernet: HSE Specification documents FF-801, 803, 586, 588, 589, 593, 941 (Fieldbus Foundation, Austin 2001) P. Neumann: Communication in industrial automation. What is going on?, Control Eng. Pract. 15, 1332–1347 (2007)

56.19

56.20 56.21

56.22

56.23 56.24 56.25 56.26 56.27

56.28

56.29

56.30

56.31 56.32

56.33

56.34

56.35 56.36

PROFIBUS Nutzerorganisation: PROFInet Architecture Description and Specification, Version V 2.0., PROFIBUS Guideline (2003) P-Net on IP: IEC 65C/360/NP: Real-Time Ethernet: P-NET on IP (2007) PROFINET IO: IEC 65C/359/NP: Real-Time Ethernet: PROFINET IO, Application Layer Service Definition and Application Layer Protocol Specification (2004) P. Neumann, A. Pöschmann: Ethernet-based realtime communication with PROFINET IO, WSEAS Trans. Commun. 4(5), 235–245 (2005) Time-critical Control Network: IEC 65C/353/NP: Real-Time Ethernet: Tcnet (2007) Vnet/IP: IEC 65C/352/NP: Real-Time Ethernet: Vnet/IP (2007) POWERLINK: IEC 65C/356/NP: Real-Time Ethernet: POWERLINK (2007) ETHERCAT: IEC 65C/355/NP: Real-Time Ethernet: ETHERCAT (2007) W. Manges, P. Fuhr (Eds.): PROFINET IO/Isochronous Technology, IFAC Summer School Control, Computing, Communications, Prague (2005) J. Jasperneite, K. Shehab, K. Weber: Enhancements to the time synchronization standard IEEE-1588 for a system of cascaded bridges, 5th IEEE Int. Workshop Fact. Commun. Syst., WFCS2004, Vienna (2004) pp. 239–244 EtherNet/IP with time synchronization: IEC 65C/361/ NP: Real-Time Ethernet: EtherNet/IP with time synchronization (2007) IEC 61588: Precision clock synchronization protocol for networked measurement and control systems (2002) SERCOS III: IEC 65C/358/NP: Real-Time Ethernet: SERCOS III (2007) Wireless LAN: IEEE 802.11: IEEE 802.11 Wireless Local Area Networks Working Group for WLAN Standards, http://ieee802.org/11/ (last accessed April 6, 2009) Personal Area Networks: IEEE 802.15: IEEE 802.15 Working Group for Wireless Personal Area Networks, Task Group 1 (TG1), http://www.ieee802.org/ 15/pub/TG1.html (last accessed April 6, 2009), and: Task Group 4 (TG4), http://www.ieee802.org/15/pub/ TG4.html (last accessed April 6, 2009) Bluetooth: The Official Bluetooth Membership Site, https://www.bluetooth.org (last accessed April 6, 2009) ZigBee: http://www.zigbee.org/ (last accessed April 6, 2009) WISA: http://library.abb.com/GLOBAL/SCOT/SCOT209. nsf/VerityDisplay/4E478BD7490A3F8BC 12571F100427DCB/$File/2CDC171017K0201.PDF (last accessed April 6, 2009)

Industrial Communication Protocols

56.38 56.39

56.40

56.41

56.42

56.43

56.44

ABI Research Forecast and Information on Emerging Wireless Technologies: http://www.abiresearch.com (last accessed April 6, 2009) W. Stallings: IEEE 8O2.11. Wireless LANs from a to n, IT Professional 6(5), 32–37 (2004) IEEE 802.11 Tutorial: www.eecs.berkeley.edu/˜ergen/docs/ieee.pdf (last accessed April 6, 2009), see also http://ayman. elsayed.free.fr/msc-student/wlan.tutorial.pdf A. Willig, K. Matheus, A. Wolisz: Wireless technology in industrial networks, Proc. IEEE 93(6), 1130–1151 (2005) P. Neumann: Wireless sensor networks in process automation, survey and standardisation, atp 3(49), 61–67 (2007), (in German) I.F. Akyildiz: Key wireless networking technologies in the next decade, IFAC Conf. Fieldbus Technol. FeT 2005, Puebla (2005) K. Koumpis, L. Hanna, M. Andersson, M. Johansson: Wireless industrial control and monitoring beyond cable replacement, PROFIBUS Int. Conf. Coombe Abbey, Warwickshire (2005), Paper C1 Industrial wireless technology for the 21st century, Industrial Wireless Workshop, San Francisco (2002)

56.45

56.46

56.47 56.48

56.49

56.50

56.51

56.52

J.-L. Griessmann: HART protocol rev. 7 including WirelessHART, atp Int.-Autom. Technol. Pract. 2, 21–22 (2007) HART 7: HART Protocol Specification, Revision 7 (HART Communication Foundation, 2007), see also http://www.hartcomm.org/ (last accessed April 6, 2009) Dust Networks: TSMP Seminar. Online-Präsentation (2006) Dust Networks: Technical Overview of Time Synchronized Mesh Protocol (TSMP), White Paper (Dust Networks, 2006) IBM: Standards and Web services, http://www-128. ibm.com/developerworks/webservices/standards/ (last accessed April 6, 2009) L. Wilkes: The web services protocol stack, Report from CBDI Web Services Roadmap (2005), http://roadmap.cbdiforum.com/reports/protocols/ (last accessed April 6, 2009) Virtual Automation Networks: European Integrated Project FP6/2004/IST/NMP/2 016696 VAN. Deliverable D07.2-1: Integration Concept, Architecture Specification (2007) D. Zuehlke: SmartFactory from vision to reality in factory technologies, IFAC Congress 2008, Seoul (2008)

999

Part F 56

56.37

References

“This page left intentionally blank.”

1001

Automation a 57. Automation and Robotics in Mining and Mineral Processing

Mines and mineral processing plants need integrated process control systems capable of improving plant-wide efficiency and productivity. Mining automation systems today typically control fixed plant equipment such as pumps, fans, and phone systems. Much work is underway around the world in attempting to create the moveable equivalent of the manufacturing assembly line for mining. This technology has the goals of speeding production, improving safety, and reducing costs. Process automation systems in mineral processing plants provide important plant operational information such as metallurgical accounting, mass balances, production management, process control, and optimization. This chapter discusses robotics and automation for mining and process control in mineral processing. Teleoperation of mining equipment and control

57.1 Background ......................................... 1001 57.2 Mining Methods and Application Examples ..................... 1004 57.3 Processing Methods and Application Examples ..................... 1005 57.3.1 Grinding Control ........................ 1005 57.3.2 Flotation ................................... 1007 57.4 Emerging Trends .................................. 1009 57.4.1 Teleremote Equipment................ 1009 57.4.2 Evaluation of Teleoperated Mining 1011 57.4.3 Future Trends in Grinding and Flotation Control.................. 1011 References .................................................. 1012

strategies for grinding and flotation serve as examples of current development of field.

57.1 Background Mining is the act of extracting mineral determined to be ore from the earth to be processed in a mineral processing operation. All mining operations have a least some limited mineral processing available on site. Usually the sophistication of the complex is determined by unit process operations needed to make the product, or distribution and transportation costs (Fig. 57.1). The mineral extraction process can occur using many potential mining methods. Some of the methods include open pit, caving, bulk stoping, and/or selective mining techniques such as cut and fill, as well as room and pillar [57.1]. Each method and suite of mining equipment has the aim of extracting the mineral at a profit for processing. The aim of a mineral processing operation is to concentrate a raw ore for the subsequent metal extraction stage. Usually, the valuable minerals are first liberated

from the ore matrix by comminution and size separation processes (crushing, grinding, and size classification), and then separated from the gangue using processes capable of selecting the particles according to their physical or chemical properties, such as surface hydrophobicity, specific gravity, magnetic susceptibility, and color (flotation, magnetic or gravimetric separation, sorting, etc.) [57.2]. Process automation has always played a key role in the mineral process industries and is gaining momentum in mining extraction operations as mobile robotics techniques are being applied. The use of advanced technologies, including modeling, simulation, advanced control strategies, smart equipment, fieldbuses, wireless networks, remote maintenance, etc., is widespread in many sectors (Fig. 57.2). Informationbased technologies are responsible for making mineral

Part F 57

Sirkka-Liisa Jämsä-Jounela, Greg Baiden

1002

Part F

Industrial Automation

Extraction

Modular control (A-B SLC, PLC-5¤, ControlLogix“)

Bearings (DODGE EZLINK¤, UNIFIED“, SAF-XT, STAR“, HFH, IMPERIAL“, S-2000)

Device network (DeviceNet“)

Low-voltage motor management (A-B MCS“, SMM, SMC, SMP, contactors, overload protection)

Variable speed AC and DC drives (A-B 1336 PLUS, 1336 PLUS II, 1336 IMPACT“, SP500; Reliance electric GV3000/SE“, FlexPak¤ 3000) Drive systems (Rockwell automation)

Process and SCADA software Push buttons and signalling (A-B RediSTATION“, RediPANEL“) Graphic terminals/industrial computer products (A-B PanelView“, PanelBuilder“, RAC 6000 Series) Gearings (DODGE TORQUE-ARM“, MAXUM“, CST“)

Power quality and automation (A-B Powermonitor II, Line synchronization module“)

Motor control centers (A-B CENTERLINE¤) Medium voltage control (A-B PowerMAX AC drives, starters, SMC)

AC and DC industrial motors (A-B 1329R, 1329L; Reliance E-master¤, RPM“, RPM“III, IQ Intelligent“, XE“)

Process systems (A-B ProcessLogix“, Rockwell software ProcessPak“ : RSView32“, RSLogix Frameworks“, RSTune“)

Conveyor components (DODGE PARA-FLEX“, GRID-LIGN“, FLEXIDYNE“, Mine Duty Xtra“, engineered pulleys)

Part F 57.1

Flotation and thickeners

Smelting

Scavenger/cleaner flotation cells Cyclones Gyratory crusher

Stock pile

Concentrate thickener

Rougher flotation cells Filter press

Sag mill Ball mill

Smelter

Anode casting wheel Anode

Crushing and grinding

Electrowinning

Oxide ore Thickener Solvent extraction and leach tanks

Extraction and leaching

Strip tank

Refining Pregnant solution tank

Fig. 57.1 Mineral processing automation (courtesy of Rockwell Automation, Inc)

processing more efficient and reliable, and help the industry to adapt to new competitive environments in a safe and environmentally sound manner. One critical step in achieving these objectives is to develop and apply improved control systems across the full range of applications from mining to processing and utilization. While mineral processing has had extensive use of many advanced technologies, standard mining applications such as pumping, dewatering, hoist control, and power distribution remain the norm with some individual exceptions in ventilation systems and other mine wide systems. Overall, the complication and scale of mining operations has delayed the wide adoption of advanced technology. Several stand alone technologies have seen successful implementation in mining and pilot projects; full scale mine implementation have been attempted with extremely encouraging results. The Intelligent Mine Program in Scandinavia and the Mining Automation Program [57.3] in Canada were two main projects attempted in the 1990s and early 2000s. The main technology drivers were seen to be: telecommunications, positioning and navigation, integrated software systems, and mobile robotic equipment. The Intelligent Mine Program explored the issues from a rock and process characteristic point of view and the Mining Automation Program from an equipment point of view. The optimization of the economics of the process operations is the key driver for the application of advanced control. Many successful control strategy implementations in mineral processing have been

reported. The power of model-based control for industrial semi-autogenous grinding circuits was discussed by Herbst and Pate [57.4]. In the application, they used an expert system and online process models to find the optimum feed rate. A Kalman filter was used to estimate unmeasured variables such as mill filling and ore hardness that were required by the expert system. An 8% improvement in feed rate over manual control was achieved with the control system. In the multivariable control application on a two-stage milling circuit at the East Driefontein Gold Mine in South Africa, the average throughput t/h was increased from 73.1 to 79.2, and the average grain size % < 75 μm from 76.5 to 78.5. The standard deviation of the grain size values was reported to decrease from 3 to the 0.9 [57.5]. Successful economic results and benefits from 13 years of computer control in flotation have been reported by Miettunen [57.6]. The economics of the application of intelligent robotics for mining was seen as having substantial benefits. These were discussed in Baiden [57.7]. This report showed that the fundamental definition of ore would be altered by the projected results of cost reduction and mining rate improvements. Further, robotic operation would improve the safety of miners as it would drop exposure levels. Subsequently, the Intelligent Mine Program and Mining Automation Program showed through field feasibility experimentation that these projections were realistic. Several projects around the world now are investigating the opportunity for robotic and teleoperated equipment in particular applications.

Automation and Robotics in Mining and Mineral Processing

Operator workstations with Rockwell software RSView32“ active display

Operator workstations with Rockwell software RSView32 active display

57.1 Background

1003

Plant historian

Ethernet ¤, TCP/IP networks

Part F 57.1

Supervisory process control (Rockwell software RSView32 and RSLogix Frameworks“ software)

A-B ControlNet“, Ethernet, TCP/IP, A-B DH+“ networks

A-B PLC-5/80“ controller

A-B Powermonitor II Reliance electric medium voltage AC motor

A-B FLEX Ex“ module

A-B Dataliner message display

A-B RediStation pushbutton

Operator workstation

Reliance electric IQ intelligent“ motor with PreAlert“ technology

A-B DeviceLink“ adapter with limit switch DeviceNet

A-B FLEX I/O“ module

A-B Bulletin 1557/1557M SCI medium voltage drive

A-B ControlLogix“ system

DODGE EZLINK ¤ bearing

A-B DTAM“ message display A-B PanelView“ 550 operator terminal

A-B Photoelectric sensor 9000

A-B SMC DialogPlus“ controller

Reliance electric FlexPak ¤ 3000 drive

A-B 1336 IMPACT“ drive

Reliance electric GV3000/ SE“ drive

A-B 1329 AC motor

Remote site 1 Field device I/O Bridge or modem

DeviceNet Remote I/O

A-B SLC controller

A-B PanelView“ operator workstation A-B Redi PANEL“ terminal A-B Contactor with SMP-3“ solidstate overload relay

Reliance electric E-master AC motor

A-B 1336 Plus II drive

A-B CENTERLINE ¤ motor control center

Reliance electric RPM“ III DC motor

A-B SMM“ protection relay

A-B MV dialog Plus“ controller through 8 000 HP

A-B Medium voltage drive through 10 000 HP

Reliance electric RPM“ AC motor

Reliance electric E-master ¤ AC motor

DODGE TORQUE-ARM“ reducer

DODGE EZLINK bearing

Reliance electric E-master AC motor

Fig. 57.2 Mining automation architecture (courtesy of Rockwell automation)

However, the control of mineral processes is faced with many challenges. At the present time it is not possible to measure, on a real-time basis, the important physical or chemical properties of the material processes. This is particularly true for the fresh ore feed characteristics (mineral grain size distribution, mineral composition, mineral association, grindability) and the ground material properties (liberation degree, particle composition distribution, particle hydrophobicity). An essential feature of control and optimization strategies is the availability of mathematical models that accurately

describe the characteristics of the process. Satisfactory mathematical models are not, however, available for mineral processing unit processes due to the fact that the physics and chemistry of the sub-processes involved are poorly understood. Models for process analysis and optimization for comminution circuits are usually based on population balance models and the use of breakage and selection functions. Numerous empirical and phenomenological models based on various assumptions for flotation have been proposed in the literature. Among the many flotation models, the classical first-

A-B 1329 AC motor

Industrial Automation

order kinetic model is widely used and can be utilized to optimize the design of the flotation circuit and its control strategy. Recently progress has been made in

grinding circuit modeling using the discrete element method (DEM) [57.8–12], and efforts have been made in the CFD modeling of flotation [57.13].



s

em

yst

Positioning and navigation systems

ss s

e roc

Process engineering, monitoring and control

gp

nin

Telemining has the capability to reduce cycle times, improve quality and increase the efficiency of equipment and personnel, resulting in increased revenue and lower costs. Advanced high capacity mobile computer networks form the foundation of teleremote mining (Fig. 57.4). The mine may be connected via the telecommunication system so mines can be run from operation centers underground or on the surface. Several opportunities exist for communication, depending on the environment.

Mining methods

Mi



Advanced underground mobile computer networks Positioning and navigation systems Mining process monitoring and control software systems Mining methods designed specifically for telemining Advanced mining equipment.

pm ent

• • •

Surface mines have trended towards network systems such as the 802.11 standard [57.14]. Whereas underground mines have focused on much higher bandwidth systems consisting of a high capacity backbone linked to 2.4 GHz capacity radio cells for communication. The high capacity allows the operation of not only data systems but mobile telephones, handheld computers, mobile computers on board machines, and multiple video channels to run multiple pieces of mining equipment from surface operation centers [57.15, 16]. To apply mobile robotics to mining, accurate positioning systems are an absolute necessity. Positioning systems that have sufficient accuracy to locate the mobile equipment in real-time at the tolerances necessary for mining have been developed [57.17, 18]. Practical uses of such systems include machine set-up, hole location, and remote topographic mapping. Surface systems use GPS for location and several of these systems have been developed. In underground mines, some of the most advanced positioning equipment consists of laser reference positioning, ring-laser-gyro (RLG), and accelerometers. Units are mounted on all types of drilling machines so that operators can position the equipment. These types of systems are just beginning to make their presence known over conventional surveying; several manufacturers offer this new product [57.19]. RLG systems track the location of mobile machinery in the

qui

Mining in general has had little process control capability as mechanization of equipment was the only real opportunity that existed. For example, the absence of communication systems limited the types of process control that could be applied. In the last two decades work has been underway to change this. The portable size of computers and the availability of networks to connect them to spawned growth in the application of process control to mining. The basics of main distribution systems such as water and power are now the norm. Networks have further enabled the installation of rock mechanics systems such as microseismic systems. While these systems are important they do not get to the actual main production technologies because the machine systems for production are mobile. Both the Intelligent Mine Program and the Mining Automation Program worked to change this and the concepts behind telemining started to gain momentum in the mid to late 1990s. Telemining (mobile process control for mining) is the application of remote sensing, remote control, and the limited automation of mining equipment and systems to mine mineral ores at a profit. The main technical elements are (Fig. 57.3):

ge

Part F 57.2

57.2 Mining Methods and Application Examples

nin

Part F

Mi

1004

Underground telecommunication system

Fig. 57.3 Conceptual representation of the key technological components (after [57.1])

Automation and Robotics in Mining and Mineral Processing

Head end

DAT

DAT PLC

Fig. 57.4 Example of a high capacity cellular network (af-

ter [57.1])

mine. Accurate positioning systems mounted on mobile equipment will enable the application of advanced

manufacturing robotics to mining. Usually in advanced manufacturing, robotic equipment is fixed to the floor, allowing very accurate surveying and positioning of the equipment. The positioning systems being used for mining equipment allow accurate positioning of surface equipment using GPS, and inference techniques allow high accuracy positioning of mobile underground equipment. Mine planning, simulation, and process control systems are growing using the foundations of telecommunications, positioning, and navigation. Linking geology and engineering directly to operations is important for the successful application of these systems. Several systems such as Datamine, Gemcom, Mine 24D, to name a few, are in use around the world today. Further, process control systems for the day-to-day operation of pumping, dewatering, and power distribution are the norm. New systems for ventilation control are starting to emerge as the cost of the overall system infrastructure is reduced.

57.3 Processing Methods and Application Examples The overall objective of a grinding and flotation unit is to prepare a concentrate, which may be as simple as the net revenue of the plant. In practice, however, the links between the grinding and flotation circuits tuning and the economic objective are not obvious, and the objectives are always broken down into particle size reduction, mineral liberation, and mineral separation objectives. In the following, grinding and flotation areas are briefly discussed as application areas where automation has played an important role in mineral processing. These application areas serve as examples of current developments in the field of automation in mineral processing.

57.3.1 Grinding Control Grinding ore to the optimum size for mineral extraction by flotation or leaching is an essential but high energy intensive part of most mineral processing operations. The benefits from improved grinding control are substantial, primarily in the areas of improved milling efficiency, more stable operation, higher throughput, and improved downstream processing. Grinding an ore finer than is necessary leads to increased energy costs, reduced throughput, increased mill liner consumption, and increased consumption of grinding media and

reagents. Insufficient ore grinding, on the other hand, reduces the recovery rate of the valuable mineral. Instrumentation For grinding instrumentation both basic measurement and advanced indirect instruments are available. The most common measurements are: mass flow rate on a conveyor belt, volume flow rate, pipeline pressure, pulp density, sump level, mill motor power consumption, and mill rotation speed. Online particle size measurement is also a part of the well-instrumented grinding circuit. Indirect instruments are mostly used in mill or hydrocyclone operation monitoring. These measurements are based, for example, on acoustic measurements, vision-based monitoring, mill liner sensors, and mill power frequency analysis. Mass flow rate measurement on a conveyor belt is mainly performed by nuclear weight gauges. In pipeline flow measurements magnetic instruments are the most typical. Pulp densities can be measured by a nuclear density meter, soft sensors, or alternatively by certain particle size analyzers. Online particle size analysis can be performed using several techniques. The three most typical online particle size analysis methods in mineral processes are mechanical, ultrasonic, and laser diffraction-based de-

1005

Part F 57.3

DAT

57.3 Processing Methods and Application Examples

1006

Part F

Industrial Automation

Part F 57.3

vices. Outokumpu Technology’s PSI-200 has been one of the most popular mechanical devices since the 1970s. The measurement is based on a reciprocating caliper with high precision position measurement. The measurement technique limits accurate size measurement to the coarser end of the distribution. The ultrasonic-based measurements were also developed in the 1970s, for example the Svedala Multipoint PSM-400. However, the method requires frequent calibration and is susceptible to air bubbles. The laser diffraction method represents the latest technology in online particle size analysis. The PSI-500 particle size analyzer, manufactured by Outokumpu, uses laser diffraction-based measurement, with automatic sample preparation. The system enables the development of new advanced control employing the full scale of the particle size distribution [57.20]. Some vision-based measurements have recently been developed. Mintek has a product CYCAM for hydrocyclone underflow monitoring. The equipment measures the angle of the discharge and therefore the conditions; for example, roping can be detected. For particle size on-belt monitoring of the grinding and crushing circuit Metso has a product called VisioRock. Various methods are used for mill charge measurement. These include acoustic measurements [57.9], mill

liner sensors and mill power frequency analysis [57.22– 24]. The methods are mostly applied to specific processes, and there have been no significant commercial product breakthroughs. To summarize, an example of a grinding circuit with typical instrumentation is given in Fig. 57.5. Control Strategies Control of the wet mineral grinding circuits might have different objectives depending on the application. The most common control objectives are:

• • •

The particle size distribution of the circuit product is to be maintained constant at constant feed rate. The particle size distribution of the circuit product is to be maintained constant at maximum feed rate. Both the particle size distribution and solid contents circuit product are to remain constant.

The control strategy for the grinding circuit is based on a hierarchical structure. Basic controls mainly consist of traditional PI controllers and ratio controllers. The mill water feed typically has a ratio control with the ore feed. In many cases, sump levels are controlled by changing the pump speed. Furthermore, the pump speed is used to control the hydrocyclone feed pressure.

A F F

D

Hydrocyclon

F F

FI

FI D

F

FFI

Cone

P PI

Ball

Rod LI

F F

PT FI

AT DT FT JT LT PT

Analysis (particle size) Density Flow Power Level Pressure

JT J

Fig. 57.5 Typical flowsheet of a grinding circuit (after [57.21])

T

Automation and Robotics in Mining and Mineral Processing

57.3.2 Flotation In flotation the aim of the control strategy is adjustment of the operating conditions as a function of the raw ore properties and feed rate, metal market prices, energy, and reagent costs [57.25]. Usually these objectives require a certain amount of trade-off between the concentrate and tonnage, the impurity contents and the operating costs. Instrumentation In flotation, instrumentation is available for measuring flow rates, density, cell levels, airflow rate, reagent feed rates, pH, and conductivity. Slurry flow measurement is mainly performed by a magnetic flow meter, and density by a nuclear density meter. The most typical instruments used for measuring the slurry level in a cell are a float with a target plate and ultrasonic level transmitter, a float with angle arms and capacitive angle transmitter, and reflex radar. The instrument for measuring flotation airflow rate contains a thermal gas mass flow sensor or a differential pressure transmitter with a venture tube, pitot tube, or Annubar element. A wide range of different instrumentation solutions for reagent dosing exist. The best choice is to use inductive flow meters and control valves. Electrochemical measurements give important information about the surface chemistry of valuable and gangue minerals in the process. pH is the most commonly measured electrochemical potential, and sometimes pH measurement can be replaced by conductivity measurement, which gives approximately the same information as pH measurement. Recently, other electrochemical potential measurements have also been under study. The use of minerals as working electrodes makes it possible to detect the oxidation state of different minerals and to control their floatability. Stability of the electrodes, however, has been a problem in online use, but some good results have been reported. X-ray fluorescence is the universal method for online solid composition measurement in flotation. Equipment vendors now offer, however, more efficient,

compact, flexible and reliable devices than were available in the 1970s. To summarize, an example of a flotation circuit with typical basic instrumentation is given in Fig. 57.6. Conductivity and pH are measured in the conditioner. On-stream analyses are taken from the feed, tailings and concentrate, and also from several flows between the flotation sections. Flow rates, levels, and airflow rates are measured at several points. Most of the reagents are added in the grinding circuit, except for frother, which is added in the conditioner and additional sodium cyanide in the cleaner. Recent developments in instrumentation have provided new instruments, such as image analysis-based devices for froth characteristics measurement. Three different image analysis products have been reported to be available commercially: FrothMaster from Outokumpu, JKFrothCam from JKTech, and VisioFroth from Metso Minerals. Research has been carried out on developing image processing algorithms and on analyzing the correlations between image analysis and process variables, more recently also on flotation control based on image analysis. A comprehensive description of the flotation plant instrumentation has been reported by Laurila et al. [57.26]. Flotation Control Flotation control designed according to the classical control hierarchy of base level controls, stabilizing control, and optimizing control has been widely accepted as a mature technology since 1970. Basic controls consist of traditional PI controllers for cell levels and airflow rates. A feedforward ratio controller is used for reagent flow rates. For cell levels in series a combination of feedforward and multivariable control strategy has been also widely applied in industrial use [57.27]. Developing flotation control strategies is still an active research topic since the benefits to be gained in terms of improved metallurgical performance are substantial. However, flotation control is becoming more and more difficult due to the emergence of low grade and complex ores. Machine vision technology provides a novel solution to several of the problems encountered in conventional flotation control systems, like the effects of various disturbances appearing in the froth phase. Structural characteristics such as bubble diameter and froth mobility give valuable information for following the trend in metal grade and recovery.

1007

Part F 57.3

The cyclone feed density is stabilized by manipulating an additional water feed rate to the sump. Particle size measurement is also currently applied in grinding circuit control. The product particle size measurement can, for example, be used to manipulate the ore feed rate to the primary mill. In addition, higher level optimization methods are typically applied to maximize the throughput with desired constraints.

57.3 Processing Methods and Application Examples

1008

Part F

Industrial Automation

Frother Water

Air

Air

A pH Ore

F

F L

C

Grinding

L

Roughing

Part F 57.3

NAX Ca(OH)2 NaCN ZnSO4

Conditioning

Scavenging

A

Rougher tailings

Rougher concentrate

A

Scavenger concentrate

F

Cu-tailing

F

A

L

Cleaner tailings

F

F

A

L

NaCN

Air F L A C

Flow Pulp level Online analysis (Cu, Zn, Fe) Conductivity

L Cleaning

F A Concentrate

Fig. 57.6 Typical flowsheet of a flotation process (Cu circuit of Pyhäsalmi concentrator) (after [57.28])

In the FrothMaster-based control in the rougher flotation at Cadia Hills Gold Mine in New South Wales, Australia, three FrothMaster units measure froth speed, bubble size, and froth stability. The control strategy contains stabilizing and optimizing options. Stabilizing control strategy is logic based and manipulates the level, frother addition rate, and aeration rate to control the froth speed. Optimizing the control of the grade changes the setpoint values of the froth speed [57.29]. Many industrial implementations of the JKFrothCam system have been reported as well. The control system consists of PID controllers and/or an expert system. Measurements of bubble size, froth structure, and froth velocity are taken and reagent dosages, cell level, and aeration rates are used as manipulated variables [57.30]. VisioFroth is one module in the Metso Minerals CISA optimizing control system, by which froth velocity, bubble size distribution, and froth color can be measured. The largest VisioFroth installations are at Freeport, Indonesia, with 172 cameras and Minera escondida Phase IV, Chile, with 102 cameras. A combination of on-

stream analysis and image analysis technology seems to be the most efficient way to control flotation today. Better concentrate grade consistency, and thus improved plant recovery, have been reported using this combination [57.31]. Flotation, being a time variant and nonlinear process that usually also undergoes large unknown disturbances is, however, difficult to manage optimally by classical linear control theory applications. Operator support systems are needed to overcome these problematic situations. The latest applications of the operator support systems are concentrating on solving the issue of feed type classification. At many mines, changes in the mineralogy of the concentrator feed cause problems in process control. After a change in the feed type a new process control method has to be found. This is usually done by experimentation because the new type is often unknown. These experiments take time and the resulting treatment method might not be optimal. The monitoring system developed by Jämsä-Jounela et al. [57.28] uses SOM for online identification of the feed ore type and

Automation and Robotics in Mining and Mineral Processing

a knowledge database that contains information about how to handle a specific ore type. A self-learning algorithm scans historical data in order to suggest the

57.4 Emerging Trends

best control strategy. The key for successful implementations is the right selection of variables for the ore type determination.

Fig. 57.7 RLG and test-bed (after [57.32])

complete value-added chain from the mine to the end product, and to utilize the latest hardware and software technology advances in their systems (Fig. 57.12). Emerging trends in mining will likely take the form of moving towards advanced manufacturing techniques. Telecommunications systems on the surface and underground have opened the door to a completely new thinking in mining. The three biggest trends will be advances in positioning systems, telerobotic control of machinery, and the techniques that these systems will enable.

57.4.1 Teleremote Equipment Work continues in the research field in building the equivalent to global positioning systems for underground. This technology development was recently reported at Massmin 2008 in Lulea, Sweden [57.33]. This new development combined with gyro technology will alter current practices in ways not yet comprehended. If this technology is combined with a RLG and a laser scanners mounted on a mobile machine such as shown in Fig. 57.7; the machines can have knowledge of positioning in real time on board the machine. Tasks

Fig. 57.8 Software generated drift from test-bed machine data (after [57.32])

Part F 57.4

57.4 Emerging Trends Modern automation systems in plants that have to process ever more complex ore are faced with the challenge of incorporating the increasing capabilities of modern technology in order to be able to succeed in a very competitive and global market, in which product variety and complexity, as well as quality requirements, are increasing, and environmental issues are playing ever more important key roles. Mines and processing plants need integrated process control systems that can improve plant-wide efficiency and productivity. Advances in information technology have provided the capabilities for sharing information across the globe and, as such, process automation and control have become more directly responsible for assisting in the financial decision making of companies. The future aim of the system approach is to cover the

1009

1010

Part F

Industrial Automation

Part F 57.4

As the technology becomes more widespread, it will allow mining companies to consider the installation of mine operation centers such as the one shown in Fig. 57.10. Prototypes have been designed and installed around the world. The figure shows a mine operation center (MOC) that connects Stobie Mine, Creighton Mine, and the Research Mine at Inco. As seen in this picture, all are connected to the MOC. Three Tamrock Datasolo drills and five LHDs of various types are working or have worked from the MOC since its inception.

Fig. 57.9 Teleremote operation chair (after [57.32])

such as mapping, drill setup, and machine guidance systems will become simple to implement. Figure 57.7 shows a RLG and a concept of a machine for surveying. Figure 57.8 shows the actual mapping data collected by this surveying machine. At present, this unit is capable of surveying a 1 km drift (tunnel) in a few hours as opposed to several days using current work practices. The addition of an equivalent to GPS for underground will improve this technology and many more. Another important trend is enabled by advances being made in communications capacity. The operation of teleremote equipment is possible for all processes and equipment. An operator station as shown in Fig. 57.9 is connected to the machine via the telecommunications system. This allows the operator to run several machines simultaneously, and together with positioning and navigation systems will allow the operator to instantaneously move from machine to machine across multiple mine environments. Several mines around the world are attempting this technology in operation. The list includes Inco, LKAB, Rio Tinto, and Codelco.

Benefits Significant benefits of this teleremote style of operation lie in safety, productivity, and value-added time. Operators spend less time underground thus reducing exposure to underground hazards, and productivity is improved from the current one person per machine to one person per three machines. Initial tests indicate that 23 continuous LHD hours of operation in a 24 h period is possible, which is significantly better than the current 15 h. Clearly capital requirements in the latter situation are reduced. a) Conventional blasthole mining Tons/day Drifts accessible Ore TPD (×100) Rock TPD (×100)

0

365

730

1095

1460

b) Teleoperated blasthole mining Tons/day

Creighton

175 Test mine

Drifts accessible Ore TPD (×100) Rock TPD (×100)

0 Stobie

Fig. 57.10 Mines operation center

365

730

1095

1460

Fig. 57.11a,b Conventional (a) and teleremote mine (b) life

comparisons (after [57.1])

Automation and Robotics in Mining and Mineral Processing

Limestone or clay

Coal Calciner coal pump

Raw mill

Raw material storage

57.4 Emerging Trends

Pulverized coal bin Coal mill Cooler dust collector

Hammermill crusher

To raw mill

Kiln burner coal pump

Precipitator

1011

Alkali bypass precipitator

4-stage preheater

Vent fan Blending silo

Separatate line calciner

RSView32, RSTools, RSComponents Software

Clinker cooler

PanelView Terminals (PV900, PV550)

Rotary kiln

Motor protection (SMC, SMP, SMM) Motion control Allen-Bradley drives, reliance electric drives and rockwell automation drive systems Sensors (Photoswitch)

Gypsum

Reliance electric motors

Clinker

High efficiency classifier

Industrial control (push buttons, pilot lights, contactors, starters, terminal blocks, switches, relays)

Dust collector and fan

Cement pump Cement silos (Typ. 13)

Medium voltage control (starters, SMC controllers, medium voltage drives) Networks (Ethernet, ControlNet, DeviceNet, Remote I/O, Data Highway Plus)

Cement mill (Typ. of 12)

SCADA Power transmission

Bulk cement dispatch

Packing house

DODGE Belts, sheaves, couplings, gear boxes, mounted bearings BurnerMaster and CombustionMaster systems CENTERLINE Motor control centers

Bagged cement dispatch Central control room

Fig. 57.12 Automation of cement processing by coordinating needed software and hardware (courtesy of Rockwell Automation,

Inc)

The effectiveness of teleremote mining may be analyzed in the short term using computer-based simulation systems, which are powerful quantification and visualization tools of technology and operations. The following example shows the impact of the teleoperated mining technology on throughput, mine life, better resource utilization, and increased value generation for the organization.

57.4.2 Evaluation of Teleoperated Mining A simulation model was used to evaluate the impact of teleremote operations on mine life and provide outputs required to make planning decisions. Teleremote operations have been shown in an operating mine to be capable of 7 to 7.5 h of operation per 8 h shift as compared to 5 h in a conventional mine. Other significant differences between conventional and telerobotic mining are increased flexibility and safety. The comparative graphs shown in Fig. 57.11 show significant potential results from the application of robotics and automation. Mine life is reduced by 38% using teleremote mining versus conventional mining because of the higher mining rate from improved throughput and face utilization. Moreover, utilization of

LHD equipment is increased by 80% in teleremote mining compared to conventional settings. With a total of two LHDs, high rates of production were achieved.

57.4.3 Future Trends in Grinding and Flotation Control The optimization methods for grinding control are expected to be developed due to better particle distribution measurement systems, advanced mill condition measurements (e.g. frequency analysis), and the use of efficient grinding simulations based, for instance, on the discrete element method. Flotation is facing a new era in terms of process control and automation. Flotation cells have increased in size dramatically over the past years; flotation circuit design of multiple recycle streams will be replaced by simpler circuits, subsequently leading to a decreasing number of instruments with higher demands on reliability and accuracy. This will set new challenges for the control system design and implementation of these new plants. Process data driven monitoring methods, model predictive control (MPC), and fault tolerant control (FTC) will be among the most favorable methods to be applied together with the recently developed new measurement instruments.

Part F 57.4

PLC, SLC, MicroLogix and ControlLogix Controllers

1012

Part F

Industrial Automation

References 57.1

57.2

Part F 57

57.3

57.4

57.5

57.6

57.7 57.8

57.9

57.10

57.11

57.12

57.13

57.14

57.15

W.A. Hustrulid, R.L. Bullock: Underground Mining Methods – Engineering Fundamentals and International Case Studies (Society of Mining Engineers, Littleton 2001) D. Hodouin, S.-L. Jämsä-Jounela, M.T. Carvalho, L. Bergh: State of the art and challenges in mineral processing control, Control Eng. Pract. 9, 995–1005 (2001) G.R. Baiden, M.J. Scoble, S. Flewelling: Robotic systems development for mining automation, CIM Bulletin 86(972), 75–77 (1993) J.A. Herbst, W.T. Pate: The power of model based control for mineral processing operations, Proc. IFAC Symp. Autom. Min. Miner. Met. Process. (Pergamon, Oxford 1987) pp. 7–33 G.I. Gossman, A. Bunconbe: The application of a microprocessor-based multivariable controller to a gold milling circuit, Proc. IFAC Symp. Autom. Min. Miner. Met. Process. (1983) J. Miettunen: The Pyhäsalmi concentrator – 13 years of computer control, Proc. IFAC Symp. Autom. Min. Miner. Met. Process. (Pergamon, Oxford 1983) pp. 391–403 G.R. Baiden: A Study of Underground Automation. Ph.D. Thesis (McGill University, Montreal 1993) T. Inoue, K. Okaya: Grinding mechanism of centrifugal mills – A simulation study based on the discrete element method, Int. J. Miner. Process. 44(5), 425–435 (1996) A. Datta, B. Mishra, K. Rajamani: Analysis of power draw in ball mills by discrete element method, Can. Metall. Q. 99, 133–140 (1999) M.M. Bwalya, M.H. Moys, A.L. Hinde: The use of the discrete element method and fracture mechanics to improve grinding rate prediction, Miner. Eng. 14, 565–573 (2001) B.K. Mishra: A review of computer simulation of tumbling mills by the discrete element method: Part I – Contact mechanics, Int. J. Miner. Process. 115, 290–297 (2001) T. Inoue, K. Okaya: Grinding mechanism of centrifugal mills – A simulation study based on the discrete element method, Int. J. Miner. Process. 44(5), 425–435 (1996) P.T.L. Koh, M.P. Schwartz: CFD modeling of collisions and attachments in a flotation cell, Proc. 2nd Int. Flotat. Symp., Flotation’03, Miner. Eng. Int., Helsinki (2003) M.G. Lipsett: Information technology in mining: An overview of the Mining IT User Group, CIM Bulletin 1067, 49–51 (2003) G.R. Baiden, M.J. Scoble: Mine-wide information system development, Canadian Institute of Mining and Metallurgy - 93rd Annu. Gen. Meet. Bull., Montreal (1991)

57.16

57.17

57.18

57.19

57.20

57.21

57.22

57.23

57.24

57.25

57.26

57.27

57.28

57.29

A. Hulkkonen: Wireless underground communications system, Telemin 1 and 5th Int. Symp. Mine Mech. Autom., Sudbury (1999) P. Cunningham: Automatic toping system, Telemin 1 and 5th Int. Symp. Mine Mech. Autom., Sudbury (1999) Y. Bissiri, G.R. Baiden, S. Filion, A. Saari: An automated surveying device for underground navigation, Institute of Materials, Minerals and Mining IOM3 (2008) A. Zablocki: Long hole drilling trends in Chilean underground mine applications, capacities and trends, Massmin 2008: 5th Int. Conf. Exhib. Mass Min., Lulea (2008) T.J. Napier-Munn, S. Morrel, R.D. Kojovic: Mineral Comminution Circuits: Their Operation and Optimization (JKMRC, Queensland 1999) S.-L. Jämsä-Jounela: Modern approaches to control of mineral processing, Acta Polytech. Scand. Math. Comput. Sci. Ser. 57, 61 (1990) P. Koivistoinen, R. Kalapudas, J. Miettunen: A new method for measuring the volumetric filling of a grinding mill. In: Comminution Theory and Practice, ed. by S.K. Kaeatra (Society for Mining, Metallurgy and Exploration, Littleton 1992) pp. 563–574 J. Järvinen: A volumetric charge measurement for grinding mills, Preprints of the 11th IFAC Symp. Autom. Min. Miner. Met. Process. (1994) S.-J. Spencer, J.-J. Campbell, K.-R. Weller, Y. Liu: Acoustic emissions monitoring of SAG mill performance, Proc. 2nd Int. Conf. Intell. Process. Manuf. Mater. IPMM’99 (1999) pp. 939– 946 S.-L. Jämsä-Jounela: Current status and future trends in the automation of mineral and metal processing, Control Eng. Pract. 9, 1021– 1035(2001) H. Laurila, J. Karesvuori, O. Tiili: Strategies for instrumentation and control of flotation circuits. In: Mineral Processing Plant Design, Practice and Control, ed. by A.L. Mular, D.N. Halbe, D.J. Barratt (Society of Mining, Metallurgy and Exploration, Littleton 2002) P. Kampjarvi, S.-L. Jämsä-Jounela: Level control strategies for flotation cells, Miner. Eng. 16(11), 1061–1068 (2003) S.-L. Jämsä-Jounela, S. Laine, E. Ruokonen: Ore type based expert systems in mineral processing plants, Part. Part. Syst. Charact. 15(4), 200–207 (1998) M. van Olst, N. Brown, P. Bourke, S. Ronkainen: Improving flotation plant performance at Cadia by controlling and optimizing the rate of froth recov-

Automation and Robotics in Mining and Mineral Processing

57.30

57.32

57.33

P. Koivistoinen, J. Miettunen: Flotation control at Pyhäsalmi. In: Developments in Mineral Processing, Flotation of Sulphide Minerals, ed. by K.S.E. Forssberg (Elsevier, Amsterdam 1985) pp. 447–472 Y. Bissiri, A. Saari, G.R. Baiden: Real time sensing of rock flow in block cave mining, Massmin 2008: 5th Int. Conf. Exhib. Mass Min., Lulea (2008)

1013

Part F 57

57.31

ery using Outokumpu FrothMaster, Proc. 33rd Annu. Oper. Conf. Can. Miner. Process., ed. by M. Smith (Ottawa, 2001) pp. 25–37 P.N. Holtmam, K.K. Nguyen: On-line analysis of froth surface in coal and mineral flotation using JKFrothCam, Int. J. Miner. Process. 64, 163–180 (2002) Anon.: CICA OCS Expert System, http://www.metso-cisa.com/ (2005)

References

“This page left intentionally blank.”

1015

Automation i

58. Automation in the Wood and Paper Industry

Birgit Vogel-Heuser

58.1 Background Development and Theory .......................................... 1015 58.2 Application Example, Guidelines, and Techniques .................................... 1018 58.2.1 Timber Industry ........................... 1018 58.2.2 Paper-Making Industry ................. 1023 58.3 Emerging Trends, Open Challenges......... 1024 References .................................................. 1025 proprietary devices for real-time and machinerysafety-related tasks, and standard devices for the rest. Both industries belong to plant manufacturing industries with their typical business characteristics. Automation in this field is technology driven and its importance is growing because more functionalities are being implemented using automation software to increase systems flexibility. The interface from automation level to enterprise resource planning (ERP) systems is being standardized in international manufacturing companies. Engineering is the key factor for improvement that needs to be considered in the coming years, and therefore also modularity and reusability where applicable.

58.1 Background Development and Theory Both processes considered in this chapter are characterized by a large number of interrelated but independent processing steps as well as complex control parameters. Despite narrow limits and sophisticated process control, inhomogeneous properties of raw materials will cause variations in product quality. These variations cannot be measured directly at the time of production and can only be determined subsequently by destructive testing. An overview of the requirements of process automation in plant manufacturing industry is provided in Table 58.1.

The criteria can be structured according to process requirements, automation system architecture, and project. A process automation system controls a type of process, e.g., batch, continuous, or discrete. Sometimes processes are composed of different process types and are then known as hybrid process. Both processes discussed in this chapter are hybrid process. Hybrid processes require different control strategies and therefore also different modeling notations, e.g., block diagrams (continuous) or state charts (batch). Both processes demand a hybrid automation system architecture.

Part F 58

Plant automation in the timber (wood) industry and paper industry has many analogies due to the similar process characteristics, i. e., hybrid process. From a plant automation point of view these industries are challenging because of their technical requirements. The USA is the largest producer of paper and paperboard. In a census by the America Census Bureau, the paper industry is placed 7 among 21 different industry groups, with 6% of the total value of product shipments for the years 2005 and 2006 [58.1]. China, as the second largest paper producer after the USA, is expecting a growth rate of 12.4% per annum (for the forecast from 1990 to 2010) [58.2]. The German woodworking machinery sector, with more than 26% of the world market share, had a € 3.4 billion turnover in 2006 and 72% export quota [58.3]. In 2006, the German print and paper industry grew by more than 7%, to € 8.5 billion and more than 84% export quota ([58.4], more details in [58.5]). This chapter will not only highlight the specific requirements from a technical point of view but also from the marketing point of view. Observations from these two points of view will lead to a heterogeneous automation system with

1016

Part F

Industrial Automation

Table 58.1 Overview of the requirements of process automation

Categories/criteria

Functionality/notation aspects

Process (hybrid)

Batch (continuous) Discrete Real time Reliability

Automation system (heterogeneous)

Implementation

Hardware platform

Part F 58.1

System architecture heterogeneous Human–machine interface (HMI)

Transfer functions, block diagrams, differential equation Status model, flow chart, continuous function chart, Petri net Hard real-time and event-controlled system, synchronized drive Mapping of reliability aspects (failure mode and effects analysis: FMEA, fault tree analysis: FTA) Programming language (C, IEC 61131-3, PEARL) Operation system [proprietary real-time operating system (RTOS proprietary)], Windows CE or XT Programmable logic controller (PLC), distributed control system (DCS), personal computer (PC), microcontroller Central, decentralized, distributed PC-based standard HMI tools and proprietary tools for fast trending of process data

Specific requirements for both considered processes in terms of process automation are: 1. Wood, as the input material, fluctuates strongly due to the environment. 2. The required mechanical construction relies on heavy machinery. 3. They have hard and fast real-time requirements for the control of central machinery with a large number of control loops, which does not allow the use of one standard automation device, for example, a programmable logic controller (PLC) or a decentralized control system (DCS). As an example, during particle board manufacturing in a hydraulic press, up to 350 closed-loop controllers need to run within 10–20 ms cycle time. 4. Both timber and paper plants can consist of 3000–6000 analogue or digital inputs and outputs that are connected via a fieldbus. A paper plant needs to control up to 3500 control loops using 10–20 central processing units (CPUs) (PLCs or DCSs) that communicate via Ethernet-based bus systems. 5. The huge number of analogue control loops in a machine, in combination with requirements for fast real-time operation, results in the use of specific automation solutions. In the timber industry a versa module eurobus (VMEbus)-based control system is used, whereas in the paper(making) industry, decentralized control systems (DCS) with proprietary automation devices are used.

6. Worldwide environmental conditions lead to different automation architectures, i. e., decentralized and centralized design. 7. Consideration of both cross section and longitudinal section in control is required. The width of a pressed board is up to 2.9 m and in a paper machine up to 11 m, therefore the control and operation task has to deal with these two dimensions. 8. The need for precise synchronization between several drives in the machine, else the paper may be ripped, the timber mat may be ripped or compressed, or the steel belt of the hydraulic press may be damaged, thus causing damage to the surface of the panels. Speed of production depends strongly on product thickness, and ranges from 300 mm/s to 1.5 m/s in the timber industry and up to 25 m/s in the paper industry. Older solutions used vertical shafts to synchronize the drives accurately. 9. Continuous production around the clock, 365 days a year, demands high availability of plant automation system, i. e., about 90% in the timber industry and 99.9% in the paper industry. 10. Plant automation can automate almost 100% of tasks, but some important measurements such as moisture can still not be measured precisely, while other values such as internal bonding and bending strength are only available through laboratory experiments such as destructive testing. 11. Implementation of closed-loop controllers for mat weight or square weight, moisture, temperature, and thickness is required. Quality criteria for the sur-

Automation in the Wood and Paper Industry

58.1 Background Development and Theory

1017

Table 58.2 Languages of the IEC 61131-3 standard

Name/Functionality

Application

Ladder logic/circuit diagram Instruction list/assembler type Function block language/Boolean operations and functions Sequential function chart/state diagram Structured text/higher programming language

On/off, lamps Time-critical modules Interlocking, controller, reusable functions, communication Sequences Controller, technological functions

After the discussion of technical requirements the typical market requirements should be mentioned. The company- and/or product-specific technological knowhow for both considered domains are of great value. The market is very competitive, which is why technological knowhow is kept within different production companies. Technological feedback to the machinery supplier is weak and therefore it is difficult to achieve technical control improvement. Suppliers are mostly situated in high-wage countries but the market is global, with growing competition from low-wage countries, therefore engineering efficiency and reduced engineering times are important for suppliers. Additionally, reduced start-up times and improved plant reliability are growing challenges in every part of the world. This means that engineering processes need to be optimized through modularity and reuse, as well as data integration along the engineering lifecycle. Modularity and reusability in modeling and simulation provide the opportunity to increase software quality while reducing start-up time.

During the design of each plant location, various requirements need to be taken into account, regarding: standards, type, and stability of power supply from power companies; ground connection; and the different qualifications of operator and service personnel. Due to the availability requirement (see item 9 above) and the protection of technological knowhow while optimizing production, there is a need to provide maintainable and adaptable systems for the worldwide market. As far as possible standardized automation systems should be used, i. e., PLCs in the timber industry and DCSs in the paper industry. The end customer usually specifies the brand of PLC, human–machine interface (HMI), or DCS, and sometimes also the drives used (if technologically possible). PLC and DCS systems are programmed according to the International Electrotechnical Commission (IEC) 61131-3 standards (Table 58.2). Customers in the USA prefer ladder logic as the programming language whenever possible. Technologically complex functions will be encapsulated in function blocks. However, standard PLCs and DCSs are not appropriate for fast real-time requirements and they can be manipulated by end customers; this should not be allowed as they are complex devices and there is the risk of machine hazards. This is why heterogeneous automation systems are standard in both industries. These heterogeneous systems consist of standard devices, standard HMIs, and fieldbus solutions, enriched with domain- or even company-specific solutions for fast real-time control. The important difference between the two industries is that the requirement for intrinsic safety is more relevant to the paper industry compared with the timber industry. The intrinsic safety requirement has a strong impact on the selection of appropriate equipment, especially sensors and actuators as well as fieldbus solutions. Some green-field plants for the timber industry include glue production and/or paper machines that produce paper necessary for lamination.

Part F 58.1

face structure include color and gloss in the paper industry and varnishing in the timber industry. 12. From the control-theoretic point of view, today both processes still lack a method that can model the whole process and the central machinery, i. e., the continuous hydraulic press in the timber industry and the paper machine in the paper industry. A first attempt to develop a model for the central machine has been made for the timber industry [58.6]. A method to model the process has not yet been developed due to lack of information concerning the process. Support from the operator and technologist is needed to bridge this gap, and to do this they would need, for example, a fast trending tool (sample time 10 ms for 450 analogue inputs) to analyze and optimize control loops in the entire machine or process.

1018

Part F

Industrial Automation

Most machinery will be shipped in parts and is only commissioned on site, therefore machines or machine components will only be tested at the supplier’s site. The final test is necessary after commissioning on site.

Training of maintenance personnel and operators takes place during commissioning and start-up. Operator training systems (OTS), which include simulation of the process, will be developed in the near future.

58.2 Application Example, Guidelines, and Techniques

Part F 58.2

As discussed, the requirements of the timber and paper industries are similar. This Chapter will first explain the continuous hydraulic press as an application example to show these requirements in more detail and appropriate automation solutions. After that, one solution for a paper machine will be introduced to highlight the similarities in terms of requirements and solutions.

58.2.1 Timber Industry The production of fiber boards in the timber industry is a hybrid production process which generates a product (wooden panels) that has to meet many quality requirements. The thickness and density of the board or the consistency of the material are target values that need to be controlled within the tight intervals. The process Storage, debarking

Chip washing

Defibration and gluing

Hogging, Sifting, Bunker

Glue preparation Paraffin preparation

consists of multiple steps where wood as the input material is prepared (Fig. 58.1). In the subsequent steps, the fiber mat is formed through continuous pressing process, heated, hardened, and sawn into discrete boards. Wood is a natural material that is strongly influenced by temperature and humidity conditions. The input material’s characteristics for the process varies widely. The most costly piece of equipment to acquire and operate is the hydraulic press. It usually determines the maximum capacity of the production line [58.8]. The simplified press principle is described as follows. For the finished board, the material (already mixed with glue) has to be pressed with a specific pressure to obtain a certain thickness that is related to a set value. Wood is a natural material with underlying strong disturbing influences such as temperature or humidity Fibre preparation

Matforming

Fibre drying

... Squeeze out water Steam supply to digester Filling level of digester Digester temperature Digester steam pressure Cooking time Chip quantity Paraffin supply Refiner power consumption Refiner temperature Refiner steam pressure Refiner grinding gap Refiner disc age Blowout valve outlet pH value of fibers Glue quantity ...

Fig. 58.1 Overview of the fiber board production process (after [58.7])

... Fibre discharge quantity Spreading height Height of scalping roll Forming belt speed Mat weight per unit area Mat moisture Spreading width Prepress pressures Prepress gap Mat density Spray water quantity Height of mat Mat temperature Sprayer height Mat rejection ...

Hotpressing

Automation in the Wood and Paper Industry

conditions. Thereby the characteristic of the input material to the press varies widely and cannot be measured with sufficient accuracy for automatic control. Hence the operator should be able to influence the automatic control strongly in order to compensate for such influences, based on his experience. The operator must be able to recognize that the situation is no longer in the range of the selected recipe and that he has to react by a)

G Y4L PIC Y4L

GIC 5L

GIC 3R

1

Part F 58.2

P Y4R

G Y4R PIC Y4R

Frame

P Y4M

P Y4HR

G Y4HR PIC Y4HR

GIC 2R

changing the distance or pressure parameters in a specific frame or group of frames (Fig. 58.2a). Therefore the operator needs a good overview of the real values of pressure and distance in cross-section and longitudinal directions (Fig. 58.2b). Inclination of the press, which would produce an improper board, can be monitored on the distance profile (Fig. 58.2b right, section 6 slight inclination).

P Y4HL

G Y4M PIC Y4M

GIC 4R

2 Frame e Fram

GIC 5R

3

d0 SL



S0

SR

e4

Fram

me

Fra

b)

5

1 Sys_0 Sys_02 ys_03 4 S Sys_0

Sys_03 middle: 220.361

02 3 _01 Sys Sys_ Sys_0 ys_04 s_05 s_06 S _07 Sy Sy Sys

S

08 ys_

_09

5 Sys_0 Sys_06 7 Sys_0

8

Sys_0

9

Sys_0

10

Sys_0 31

010

Sys

_ Sys 250

Middle

28

Inclination

200 Right 150

25 100 50

22

0 19

Pressure profile

1019

P Y4L

G Y4HL PIC Y4HL

GIC 1R

58.2 Application Example, Guidelines, and Techniques

Distance profile

Fig. 58.2 (a) Process and instrumentation diagram of a continuous thermohydraulic press (only distance and pressure control, working direction from left to right). (b) Pressure and distance profile of a specific hydraulic press in 3-D; x-axis

shows working direction from left to right, y-axis displays pressure profile (left) and distance profile (right) (after [58.9])

Part F

Industrial Automation

Part F 58.2

Torsion of the press table would be identified easily using this presentation. It is possible to identify if the pressure is too high along and/or across the press using the pressure profile (Fig. 58.2b left). The shape allows evaluation of whether the mat will be pressed regularly and symmetrically (across the cross section). Due to technical requirements a maximum pressure is set in order to achieve the thickness of the material. Distance control is realized by several hydraulic systems that consist of pressure transmitter and proportional valve with position sensor. One frame may consist of five hydraulic systems. The distance is measured on the left and right edge of the frame. A press may have up to 70 or more frames. Therefore, up to 350 pressure values and roughly 140 distance values, all with a spatial relation to each other, need to be controlled and displayed. The process and instrumentation diagram for five frames (Fig. 58.2a) shows the hydraulic cylinders, the pressure measurement, and the distance measurement. Real-time requirements for the entire loop need to be taken into account (Fig. 58.2a).

Servomotor

Trans- =P mitter =I

≈ 1–5 ms

= P Trans= I mitter

=A =D

=A =D

Bus coupler

Bus coupler

Bus

Bus

I/O-module (bus)

I/O-module (bus)

5 ms

Transducer

Actuator (proportional valve)

Process variable Pressure transmitter

1020

up to 75 ms

2 ms

≈ 1–5 ms

Bus adapter

PLC

≈ 20 ms

Automation device

Fig. 58.3 System components of the control loop that needs to be considered for (hydraulic press) cycle time calculation. I/O – intput/output

The controllers are more or less simple proportional– integral–differential (PID) controllers with filters or nested PID controllers. Complexity depends on their interdependency. Hydraulic systems are mechanically coupled to a thick steel plate to heat the mat so that the glue will harden. Besides the pressure control and distance control, temperature control and controllers to synchronize different drives at the inlet and the outlet of the press are also included. These drives need to be synchronized with the different forming line drives (mat forming and prepress) to avoid material problems in the press inlet. Additionally the upper and lower steel belts need to be controlled depending on the position of the material in the press during first inlet or product changes. At the outlet of the press, synchronization between the cut-tosize saw and the cooling and stacking line is required. The endless board needs to be cut into pieces at high speed, e.g., 1.5 m/s. In the timber industry a cut-to-size saw is included for this task. Additional controllers in the forming line or press may be added depending on product requirements and the speed of the production process. Figure 58.3 shows the usual time delays in the entire control cycle from data measurement to its effective influence on the process. It is necessary to reduce the cycle time for each distance controller in the controller itself to under 20 ms as hydraulic systems are highly dynamic, especially when the press is operated at top speed. In addition, synchronization between different frames is required if switch to another control mode is necessary. Currently there is one supplier who provides a method to calculate the tension in the heating plate. The calculation is done by a machine-safety-related controller based on a finite-element calculation in the automation system. Specific process control systems, which depends on the supplier’s chosen solution, e.g., Motorola and VMEbus-based or personal computer (PC)-based systems with real-time operating system (RTOS), are needed to fulfill the strict time requirement. Only one supplier delivers a PLC solution, which needs less calculation power for a continuous press because it uses a different mechanical concept. All distance control needs a data delay less than 10–20 ms in order to optimize the control loops for thin board. In order to optimize a controller, it is necessary to use a data sampling rate that is 5–10 times faster. This results in an optimized data gathering strategy and the development of proprietary trending systems [58.10].

Automation in the Wood and Paper Industry

ERPinterface

cause hazards can be detected and tracked. Password protection alone is not sufficient. Regarding safety requirements, a strategy to overcome loss of power or press stoppage with material in the press is required because the material may start burning after a long time. Uninterruptible power supply (UPS) and emergency power supply are standard equipment. The cooling and stacking line (sanding and trimming of particle boards) is needed to cool down the panel and prepare it for the finishing line with lamination or panel division, sorting, and packing. Intermediate and delivery storages in timber industry often follow a simple but chaotic storage strategy. However, tracking and tracing of boards is becoming increasingly important to the customer. For this reason, ERP and production management are becoming more important and a more precise storage system is needed. Board handling is often realized by using forklift trucks. The boards are chaotically stacked in a building until the truck from the customer arrives. They are identified only by a piece of paper with a printed barcode. Due to the manual handling strategy, tracking of faulty material is nearly impossible. Two strategies will be discussed and evaluated later (Sect. 58.2): radiofrequency identiStatistical process (quality) control (entire plant)

MES

Fast trending press control

Forming line/ Forming line/ Forming line/ press press press

HMI

Trending

HMI

HMI

HMI

HMI

PLC preparation (S7)

(S7)

Fieldbus Material preparation

PLC

Fieldbus Forming line control

(S7) Modem remote maintenance

PLC press

HMI for recipe input

Press control distance/pressure

VMEbus based or PC based Fieldbus

Press forming line process net (TCP/IP)

Fieldbus

Modem remote maintenance

Press control

Fig. 58.4 Automation architecture (example from one supplier). TCP/IP – transmission control protocol/Internet protocol (MES – manufacturing execution system)

1021

Part F 58.2

Classically, HMI trending functionality is limited to the fastest sample time of 3 s or even slower because they focus on long-term trending. PLCs are connected with an Ethernet-based network (Fig. 58.4). Sensors and actuators are connected with a fieldbus system to ensure deterministic behavior. The cut-to-size saw is realized on PLCs with controllers for servo drives, or on more or less computer numerical control (CNC)-type devices to enable the required high speed. Due to customer requirements in plant operation, such as long mean time between failures (MTBF), plant maintenance should be carried out by their plant service personnel. They should have the possibility to manipulate the PLC program within certain limits and to continue the optimization of processes. Therefore PLC is the preferred automation approach. The customer can specify the PLC supplier and bus systems supplier according to the market shares in his company and/or country, the service structure of the PLC supplier, and the skills of his maintenance personnel. It is a marketing advantage to implement all control functionality on PLC. Due to the growth in system manipulation, a new challenge for PLC is emerging: the ability to track operator input and manipulations made on the PLC so that improper manipulations that may

58.2 Application Example, Guidelines, and Techniques

1022

Part F

Industrial Automation

Part F 58.2

fication (RFID) of every board and global positioning system (GPS) detection of every stack. There are also automated intermediate storage systems that allow handling of different board sizes on a mobile electrically powered rail-bound device using steel pallets [58.13]. The material flow is tracked and the product data are available. In production of thin medium-density fiber (MDF), board pallets need to be equipped with top and bottom protection panels to keep the product flat and protect its surface. The quality of the board is not only influenced by the press but in all sections of the plant, particularly by the properties of the wood mixture and glue used [58.14]. The plant sections involved in the entire manufacturing process – starting with the hogged chip manufacturing, flaking or defibrating, drying, blending, straining, mat forming, up to prepressing and pressing sections – are all interdependent and, like the properties of the raw materials used, are subject to fluctuations. There are more than 100 parameters that have various intensities of effects on a plant’s productivity and the quality of the product (Fig. 58.5). These parameters are input values for a process model based on a statistical algorithm [the three-stage least-squares (3SLS) algorithm [58.15, 16]].

Prerequisites for the analysis of data detailing the production history of the product, so-called material tracking and correspondingly time-correlated process data, need to be calculated. First implementations of a model-based quality-prediction and cost-optimization process control are running successfully [58.11, 12]. The quality of relevant process data and control parameters are taken into account to predict the resulting quality. Optimized process settings Yopt for control parameters Y are calculated to ensure that nominal required quality in terms of costs is met cost(Y ) → Minimum under the condition Q nom (t + 1)     < Q pred X(t), Yopt (t + 1) + Spred X(t), Yopt (t + 1) and Q nom (t + 1)     > Q pred X(t), Yopt (t + 1) − Spred X(t), Yopt (t + 1) . To close the loop, these optimized process settings are entered as new nominal values for the control parameters.

Data storage X(t –1), X (t –2), ... Y(t –1), Y(t –2), ... Q(t –1), Q(t –2), ...

Online quality control Qnom (t +1) < Qpred (t) + Spred (t)

X(t): (quality relevant) process parameter Y (t): control parameter

Yopt (t +1) Controller

Ynom (t +1) = Yopt (t +1)

Qpred (t): prediction of quality parameter

Predictor (process model)

Spred (t): statistical safety reserve Qpred (X(t), Yopt (t +1)) Spred (X(t), Yopt (t +1))

Optimization cost (Y ) → min Qnom (t +1) < Qpred (X(t)) + Yopt (t +1)) + Spred (x (t), Yopt (t +1))

Nom. value storage Y nom (0) Qnom

Process optimization Model-based (quality) predictive process control

Fig. 58.5 Global control loop using model-based quality-predictive and cost-optimized process control (after [58.11, 12])

Automation in the Wood and Paper Industry

58.2.2 Paper-Making Industry

tomation device and will be connected with a controller area network bus (CANbus) to the cross-section control and over a measurement server (PC) to the HMI. Voith, for example, developed its own weight profile control system software named Profilmatic. Profilmatic cross-direction control software continuously and automatically aligns each actuator against its respective measurement position from the downstream scanner. An automapping algorithm monitors the movement of a normal profile control and aligns the cross-direction measurement data boxes against the actuator control zones. Each control output array compares the actual profile change against the expected profile change. A software model continuously updates the processmapping model using the difference between measured and expected response. Model-based soft sensors deliver high-quality data for superior longitudinal control of the paper machine. Similar to in the wood industry, a multivariate statistical approach is implemented to forecast, for example, the

a) Grammage

Moisture

Wet end control Stock consistency; extender; retention; workload; gas (machine longitudinal)

Longitudinal control Closed-loop control walls; thick-matter flow regulator grammage, separation of steam pressure; coordinated change of speed, moisture; production output maximization

Scan Moisture temperature

b) Coat weight

Scanner Moisture grammage

Selective elimination of wet strips

Track inspection system

One side scanner Moisture

Scanner Grammage , strip weight moisture, gloss, caliper

Track inspection system

Fig. 58.6 Example of a paper machine: process overview with sensors and actuators (after [58.17])

1023

Part F 58.2

Heterogeneous automation systems are implemented in the paper industry to control force, torque, temperature, moisture, and vibration. Required reliability is 0.9999 (24 h/365 days per year). An overview of process sections, controllers, and most importantly sensors is given in Fig. 58.6 (working direction: left to right, with the process divided into two figures). The paper machine is a device for continuously forming, dewatering, pressing, and drying a web of paper fibers [58.18]. The automation system is mainly realized using a DCS system. In the given example, the automation system was being realized using PCS 7 Siemens based on the 400 CPUs. The connection to the sensors and actuators is realized using the PROFIBUS DP fieldbus. Specific automation devices are implemented for cross-section control and transmission control. Most measuring devices, e.g., gloss, moisture, caliper and basis weight, and color, are equipped with their own au-

58.2 Application Example, Guidelines, and Techniques

1024

Part F

Industrial Automation

weight profile at the end of the paper machine. Some basic constraints for the implementation of statistical process (quality) control in paper industry are given in [58.12], e.g., this assumes that all of the important variables are measured in a timely manner . . . Another point to consider is the sampling frequency. Generally, the more variability in a material, the more often it should be sampled.

Part F 58.3

Blatzheim gave an example of the benefit of such system: 15–16 km of waste material reduction was achieved after implementing this system, corresponding to an approximate increase of 200 000 of sellable paper per year.

Laukkanen mentioned OTS and appropriate workflow guidelines as being one of the keys to reduce commissioning and start-up, especially abroad [58.3]. Through the engineering life cycle, depending on the project phase, different tools and methods are used. In the worst case, the corresponding data have to be re-entered during the transition from one phase to the next because there are no appropriate interfaces between the individual tools. The ideal is to strive for a higher-level tool that consistently provides all system information in a model and enables the design to be realized both at an abstract level and in a conventional environment (e.g., electrical engineering computer aided engineering (E-CAE), IEC 61131-3).

58.3 Emerging Trends, Open Challenges There are many open challenges in the timber and paper industries. Some will be discussed in this section, i. e., engineering lifecycle and data integration, reduction of complexity for operators, improvement of operator training, as well as evaluation of new technologies. Open challenges are to increase engineering efficiency while reducing costs and start-up time by applying modularity and reuse. Communication is a major factor to consider when improving engineering quality because different disciplines such as sales, technology, mechanical engineering (including hydraulic), electrical engineering, and computer science are involved through different phases in the engineering process. Communication could be supported by a comprehensive model. Unfortunately modeling of hybrid systems in process automation is still a field for research and development, especially for reuse and modularity, and when the target group is engineers or technicians coming from different disciplines. One promising approach is to apply a comprehensive modeling notation such as the systems modeling language (SysML) [58.19] that is based on the unified modeling language (UML) and developed for systems engineering. This basically solves the deficiencies of UML for automation, i. e., modeling of hardware aspects, integration, and tracking of requirements. The advantage of UML in terms of supporting modularity through an object-oriented mechanism is fully available. The task is to evaluate SysML in terms of ease of application by engineers and technicians in automation depending on the availability of strong tools. One of the first steps

to integrate modeling into IEC 61131-3 is already in progress in a research and development (R&D) project in Germany. Various UML diagrams are implemented in one of the market leading IEC 61131-3 tools [58.20]. Presenting the modules throughout the engineering life cycle from customers’ requirements to operation is still not solved and will not only be a task for research but also for the development department of leading automation companies. Plant manufacturing companies need an easy way to evaluate whether a new plant concept could be realized by reusing existing modules or to determine the number of new modules that need to be developed. Data integration throughout the engineering life cycle is theoretically solved but still far away from realization in the applied tools. Coupling of computer-aided engineering (CAE) system on the basis of a tool-to-tool interface is not sufficient for the two considered industries. There is also huge potential for cost saving during start-up by integrating engineering data into an ERP system, and offshoring in a global market. Additional elaboration on logistics, e.g., just-in-time machine or component to site, is needed. Today mainly mechanical construction has been subject to offshoring. This will change during the next few years. A lot of challenges for the engineering workflow will consequently be affected. Operation and maintenance, which is challenging to improve, is also a very important phase in the engineering life cycle. Application of three-dimensional (3-D) visualization can successfully reduce complexity

Automation in the Wood and Paper Industry

whether Profinet should also be used for communication between PLC, sensors, and actuators, also in hazardous areas. Market shares outside Europe are hard to predict but this is a prerequisite before coming to a general decision for one bus system based on Ethernet standard. The use of RFID to optimize material handling from the press outlet to customers is being discussed. There are some constraints that need to be evaluated before a test, e.g., placement of the RFID (how it can be fixed to the board before the press or after the cut-to-size saw); temperature resistance, as the boards are still at about 100 ◦ C at the press outlet; and costs. The idea is to store all relevant data relating to the board during its production process on the RFID tag so that customers have access to the production data on request. Board-handling satellite data to define the position of a panel stack in a chaotic storage system may also be helpful.

References 58.1 58.2

58.3 58.4 58.5

58.6

58.7

58.8

58.9

58.10

US Census Bureau: http://factfinder.census.gov D. He, C. Barr: China’s pulp and paper sector: an analysis of supply-demand and medium term projections, Int. For. Rev. 6(3–4), 254–266 (2004) I. Laukkanen: Visions and requirements of automation engineering, interview not published http://www.VDMA.org VDMA: Volkswirtschaft und Statistik. Statistisches Handbuch für den Maschinenbau (Eigenverlag, Frankfurt 2007), in German, Transl.: National economics and statistics: statistical handbook for mechanical engineering H. Thoemen, P.E. Humphrey: Modeling the physical processes relevant during hot pressing of woodbased composites – Part I. Heat and mass transfer, Holz Roh- Werkst. 64(1), 1–10 (2005) B. Scherff, G. Bernardy: Prozessmodellierung führt zu Online-Qualitätskontrolle und Prozessoptimierung bei der Span- und Faserplattenproduktion, Holz Roh- Werkst. 55(3), 133–140 (1997), in German, Transl.: Process optimization leads to online process control and process optimization in particle and fiber board production H. Thoemen, C.R. Haselein: Modeling the physical processes relevant during hot pressing of woodbased composites – Part II. Rheology, Holz RohWerkst. 64(2), 125–133 (2005) D. Pantförder, B. Vogel-Heuser: Nutzen von 3-D-Pattern in der Prozessführung am Beispiel geeigneter Anwendungsfälle, Autom.-Tech. Praxis 11, 62–70 (2006), in German, Transl.: Development and application of 3-D pattern in process plant operation – benefit and state of the art http://www.siempelkamp.com

58.11

58.12

58.13 58.14

58.15

58.16

58.17

58.18 58.19

IEEE: Proceedings of the 24th Annual Conference of IEEE (Industrial Electronics Society, Aachen 1998) G. Bernardy, B. Scherff: SPOC – process modeling provides online quality control and predictive process control in particle and fibreboard production, Proc. 24th Ann. Conf. IEEE (Industrial Electronics Society, Aachen 1998) pp. 1703–1707 http://www.metsopanelboard.com/panelboard H.-J. Deppe, K. Ernst: Taschenbuch der Spanplattentechnik, 4th edn. (DRW, LeinfeldenEchterdingen 2000), in German, Transl.: Paperback of Particle Board Technique G. Bernardy, B. Scherff: Savings potential in chipboard and fibreboard, cost reduction by the integration of process control technology and statistical process optimisation, Asian Timber 1, 37–40 (1996) G. Bernardy, A. Lingen: Prozessdatenbasierte Online-Qualitätskontrolle für die kontinuierliche Überwachung von Prozessen mit zerstörender Stichprobenprüfung, Autom.-Tech. Praxis 9, 44–51 (2002), in German, Transl.: Process data based online quality control for processes with destructive test of samples M. Blatzheim: Automatisierungstechnik in der Papierindustrie, Stand der Technik und besondere Anforderungen, Autom.-Tech. Praxis 2, 61–63 (2007), in German, Transl.: Automation in the paper industry, State of the Art and other special requirements C.J. Biermann: Handbook of Pulping and Papermaking, 2nd edn. (Academic, San Diego 1996) http://www.sysml.org

1025

Part F 58

for operators and at the same time enhance their mental model of the process [58.9, 21]. An expert evaluation has proved the benefit of 3-D visualization. It would also be beneficial to implement a sliding mechanism to analyze data offline. Real process data presented in 3-D, e.g., a surface plot (Fig. 58.1b), may be analyzed in slow or fast motion. By selecting the time frame to be analyzed the technologist can view the data like a data player. This is integrated into standard HMI systems and allows analysis of critical situations as well as faulty situations in order to increase understanding of the process. Recent work focuses on application of this approach for operator training. Regarding new technological trends, Ethernet-based fieldbus systems as well as identification technologies (RFID) need to be evaluated. A first implementation of Profinet in the cooling and stacking line has been realized. The upcoming question and design decision is

References

1026

Part F

Industrial Automation

58.20 58.21

http://www.es.eecs.uni-kassel.de/forschung/ projekte/uml2iec61131/e_index.html B. Vogel-Heuser, K. Schweizer, A. van Burgeler, Y. Fuchs, D. Pantförder: Auswirkungen einer drei-

dimensionalen Prozessdatenvisualisierung auf die Fehlererkennung, Z. Arbeitwiss. 1, 23–34 (2007), in German, Transl.: Benefits of 3-D process visualization on fault detection in process plant operation

Part F 58

1027

Welding Auto 59. Welding Automation

Anatol Pashkevich

59.1 Principal Definitions ............................. 1027 59.2 Welding Processes ................................ 1028 59.2.1 Arc Welding ................................. 1028 59.2.2 Resistance Welding ...................... 1029 59.2.3 High-Energy Beam Welding........... 1030 59.3 Basic Equipment and Control Parameters 1031 59.3.1 Arc Welding Equipment................. 1031 59.3.2 Resistance Welding Equipment ...... 1032 59.4 Welding Process Sensing, Monitoring, and Control.......................................... 1033 59.4.1 Sensors for Welding Systems .......... 1033 59.4.2Monitoring and Control of Welding. 1035 59.5 Robotic Welding ................................... 1035 59.5.1 Composition of Welding Robotic System ............ 1035 59.5.2Programming of Welding Robots .... 1037 59.6 Future Trends in Automated Welding ..... 1038 59.7 Further Reading ................................... 1039 References .................................................. 1039

59.1 Principal Definitions Welding is a manufacturing process by which two pieces of materials (metals or thermoplastics) are joined together through coalescence. This is usually achieved by melting the workpieces and adding a filler material that causes the coalescence and, after cooling, forms a strong joint. Sometimes, pressure is applied in combination with heat, or alone. At present, heat welding is the most common welding process, which is widely used in automotive, airspace, shipbuilding, chemical and petroleum industries, power generating, manufacturing of machinery, and other areas [59.1–3].

For heat welding, many different energy sources can be used, including a gas flame, an electric arc, a laser or an electron beam, friction, etc. Depending on the mode of energy transfer, the American Welding Society (ASW) has grouped welding/joining processes and assigned them official letter designations, which are used for identification on drawings and in technological documentation. In particular, the ASW distinguishes arc welding, gas welding, resistance welding, solidstate welding, and other welding processes. Within each group, processes are distinguished depending on the influence of capillary attraction (which is the ability of

Part F 59

This Chapter focuses on automation of welding processes that are commonly used in industry for joining metals, thermoplastics, and composite materials. It includes a brief review of the most important welding techniques, welding equipment and power sources, sensors, manipulating devices, and controllers. Particular emphasis is given to monitoring and control strategies, seam-tracking methods, integration of welding equipment with robotic manipulators, computer-based control architectures, and offline programming of robotic welding systems. Application examples demonstrating state-of-the-art and recent advances in robot-based welding are also presented. Conclusions define next challenges and future trends in enhancing of welding technology and its automation potential, modeling and control of welding processes, development of welding equipment and dedicated robotic manipulators, automation of robot programming and process planning, human–machine interfaces, and integration of the automated robotic stations within the global production system.

1028

Part F

Industrial Automation

a substance to draw another substance into it). For instance, the arc welding group includes gas metal arc (GMAW), gas tungsten arc (GTAW), flux cored arc

welding (FCAW), and other types of welding. Detailed and complete classification of the welding processes is given in [59.4].

59.2 Welding Processes 59.2.1 Arc Welding

Part F 59.2

This group uses an electric arc between an electrode and the base material in order to melt metals at the welding point. The arc is created by direct or alternating current using consumable or nonconsumable electrodes. The welding region may also be protected from atmospheric oxidation and contamination by an inert or semi-inert gas (shielding gas). The oldest process of this type, carbon arc welding (CAW), uses a carbon electrode and has limited applications today. It has been replaced by metal arc welding. A typical example is shielded metal arc welding (SMAW), in which a fluxcovered metal electrode produces both shielding (CO2 from decomposition of the covering) and filler metal (from melting of the electrode core). This process is widely used in manual welding and is rather slow, since a) Gas metal arc welding (GMAW)

the consumable electrode rods (or sticks) must be frequently replaced. Automatic arc welding is mainly based on the gas metal arc welding (GMAW) process, also known as metal inert gas (MIG) or metal active gas (MAG) welding [59.5]. The process uses a continuous wire feed as a consumable electrode and an inert or semi-inert gas mixture as shielding (Fig. 59.1a). The wire electrode is fed from a spool, through a welding torch. Since the electrode is continuous, this process is faster compared than SMAW. Besides, the smaller arc size allows making overhead joints. However, the GMAW equipment is more complex and expensive, and requires more complex setup. During operation, the process is controlled with respect to arc length and wire feeding speed. GMAW is the most common welding process in industry today; it is suitable for all thicknesses of steels, b) Flux-cored arc welding (FCAW)

Electrode wire feed

Electrode wire feed Nozzle

Nozzle Flux core

Consumable tubular electrode

Consumable electrode Shielding gas

Workpieces

Electric arc

Shielding gas (optional)

Weld metal

c) Gas tungsten arc welding (GTAW)

Workpieces

Nozzle

Workpieces

Weld metal

d) Plasma arc welding process (PAW) Shielding gas

Shielding gas Consumable electrode

Electric arc

Tungsten electrode (nonconsumable) Electric arc

Consumable electrode

Weld metal

Fig. 59.1a–d Schematics of typical arc welding processes: (after [59.4])

Workpieces

Nozzle Tungsten electrode (nonconsumable) Arc constricting orifice Plasma stream

Weld metal

Welding Automation

A related process, plasma arc welding (PAW), uses a slightly different welding torch to produce a more focused welding arc. In this technique, which is also based on a nonconsumable electrode, an electric arc transforms an inert gas into plasma (i. e., an electrically conductive ionized gas of extremely high temperature) that provides a current path between the electrode and the workpiece (Fig. 59.1d). Similar to the GTAW process, the workpiece is melted by the intense heat of the arc, but very high power concentration is achieved. To initiate the plasma arc, a tungsten electrode is located within a copper nozzle. First, a pilot arc is initiated between the electrode and nozzle tip, then it is transferred to the workpiece. Shielding is obtained from the hot ionized gas (normally argon) issuing from the orifice. In addition, a secondary gas is used (argon, argon/hydrogen or helium), which assists in shielding. PAW is characterized by extremely high temperatures (30 000 ◦ F), which enables very high welding speeds and exceptionally high-quality welds; it can be used for welding of most commercial metals of various thicknesses. A variation of PAW is plasma cutting, an efficient steel cutting process.

59.2.2 Resistance Welding Resistance welding is a group of welding processes in which the heat is generated by high electrical current passing through the contact between two or more metal surfaces under the pressure of copper electrodes. Small pools of molten metal are formed at the contact area, which possess the highest electrical resistance in this circuit. In general, these methods are efficient and produce little pollution, but their applications are limited to relatively thin materials. There are several processes of this type; two of them are briefly described below. Resistance spot welding (RSW) is used to join overlapping thin metal sheets, typically, of 0.5–3.0 mm thickness. It employs two nonconsumable copper alloy electrodes to apply pressure and deliver current to the welding area (Fig. 59.2a). The electrodes clamp the metal sheets together, creating a temporary electrical circuit through them. This results in rapid heating of the contact area to the melting point, which is transformed into a nugget of welded metal after the current is removed. The amount of heat released in the spot is determined by the amplitude and duration of the current, which are adjusted to match the material and the sheet thickness. The size and shape of the spots also depend on the size and contour of the electrodes. The main advantages of this method are efficient energy use,

1029

Part F 59.2

aluminum, nickel, stainless steels, etc. This process has many variations depending on the type of welded metal and shielding gas, and also the metal transfer mode. A related process, flux-cored arc welding (FCAW), uses similar equipment but is based on a continuously fed flux-filled electrode, which consists of a tubular steel wire containing flux (a substance which facilitates welding by chemically cleaning the metals to be joined [59.1]) at its core (Fig. 59.1b). The heat of the arc decomposes the electrode core producing gas for shielding and also deoxidizers, ionizers, and purifying agents. Additional shielding may be obtained from externally supplied gas. Obviously, this cored wire is more expensive than the standard solid one, but it enables higher welding speed and greater metal penetration. Another variation is submerged arc welding (SAW) that is also based on the consumable continuously fed electrode (solid or flux cored), but the arc zone is protected by being submerged under a covering layer of granular fusible flux. When molten, the flux generates protective gases and provides a current path between the electrode and the base metal. Besides, the flux creates a glass-like slag, which is lighter than the deposited metal from the electrode, so the flax floats on the surface as a protective cover. This increases arc quality, since atmospheric contaminants are blocked by the flux. Also, working conditions are much better because the flux hides the arc, eliminating visible arc light, sparks, smoke, and spatters. However, prior to welding, a thin layer of flux powder must be placed on the welding surfaces. For nonferrous materials (such as aluminum, magnesium, and copper alloys) and thin sections of stainless steel, welding is performed by the gas tungsten arc welding (GTAW) process, also referred to as tungsten inert gas (TIG) welding. The process uses a nonconsumable tungsten electrode with high melting temperature, so the arc heat causes melting of the workpiece and additional filling wire only (Fig. 59.1c). As an option, the filling metal may not be used (autogenous welding). The weld area is protected from air contamination by a stream of inert gas, usually helium or argon, which is fed through the torch. Because of the smaller heat zone and weld puddle, GTAW yields better quality compared with other arc welding techniques, but is usually slower. The process also allows a precise control, since heat input does not depend on the filler material rate. Another advantage is the wide range of materials that can be welded, so this process is widely used in the airspace, chemical, and nuclear power industries.

59.2 Welding Processes

1030

Part F

Industrial Automation

59.2.3 High-Energy Beam Welding

a) Resistance spot welding (RSW) F

Workpieces

Electrode Current supply Electrode

Weld metal F

b) Resistance seam welding (RSEW) F Workpieces

Electrode roller Current supply Electrode roller

Weld metal

Part F 59.2

F

Fig. 59.2a,b Schematics of typical resistance welding pro-

cesses (after [59.4])

low workpiece deformation, no filler materials, and no requirements for the welding position. Besides, this process allows high production rates and easy automation. However, the weld strength is significantly lower than for other methods, making RSW suitable for certain applications only (it is widely used in the automotive industry where cars can have up to several thousand spot welds). Resistance seam welding (RSEW) is a modification of spot welding where the bar-shaped electrodes are replaced by rotating copper wheels. The rotating electrodes are moved along the weld line (or vice versa, the workpiece is moved between the electrodes), progressively applying pressure and creating an electrical circuit (Fig. 59.2b). This allows obtaining long continuous welds (for direct current) or series of overlapping spot welds (for alternative or pulsed current). In seam welding, more complicated control is required, involving coordination of the travel speed, applied pressure, and electrical current to provide the overlapping welds. This process may be automated and is quite common for making flange welds, watertight joints for tanks, and metal containers such as beverage cans. There are a number of process variants for specific applications, which include wide wheel seam, narrow wheel seam, consumable wire seam welding, and others.

Energy beam welding is a relatively new technology that has become popular in industry due to its high precision and quality [59.6]. It includes two main processes, laser beam welding and electron beam welding, differing mainly in the source of energy delivered to the welding area. Both processes are very fast, allow for automation, and are attractive for high-volume production. Laser beam welding (LBW) uses a concentrated coherent light as the heat source to melt metals to be welded. Due to the extremely high energy concentration, it produces very narrow and deep-penetration welds with minimum heat-effective zones. Welds may be fabricated with or without filler metal; the molten pool is protected by an externally supplied shielding gas. It is a versatile process, capable of welding most commercially important metals, including steel, stainless steel, titanium, nickel, copper, and certain dissimilar metal combinations with a wide range of thickness. By using special optical lenses and mirrors, the laser beam can be directed, shaped, and focused on the workpiece surface with great accuracy. Since the light can be transmitted through the air, there is no need for vacuum, which simplifies equipment and lowers operating cost. The beam is usually generated using a gas-based CO2 solid-state Nd:yttrium–aluminum– garnet (YAG) or semiconductor-based diode lasers, which can operate in pulsed or continuous mode. Furthermore, the beam is delivered to the weld area through fiber optics. For welding, the beam energy is maintained below the vaporization temperature of the workpiece material (higher energy is used for hole drilling or cutting where vaporization is required). Advantages of LBW include high welding speed, high mechanical properties, low distortion, and no slag or spatter. The process is commonly used in the automotive industry. A derivative of LBW, dual laser beam welding, uses two equal power beams obtained by splitting the original one. This leads to a further increase in welding speed and improvement of cooling conditions. Another variation, laser hybrid welding, combines the laser with metal arc welding. This combination also offers advantages, since GMAW supplies molten metal to fill the joint, and a laser increases the welding speed. Weld quality is higher as well, as the potential for undercutting is reduced. Electron beam welding (EBW) is a welding process in which the heat is obtained from high-velocity electrons bombarding the surfaces to be joined. The electrons are accelerated to a very high velocity (about

Welding Automation

50% of the speed of light), so beam penetration is extremely high and the heat-affected zone is small, allowing joining of almost all metals and their combinations. To achieve such a high electron speed and to prevent dispersion, the beam is always generated in high vacuum and then delivered to the workpiece located in a chamber with medium vacuum or even out of vacuum. In the last case, specially designed orifices separate a series of chambers at various vacuum levels. Because of the vacuum, a shielding gas is not

59.3 Basic Equipment and Control Parameters

1031

used, while a filler metal may be used for some materials (for deoxidizing the melted plain carbon steel that emits gases, to prevent weld porosity). The EBW process provides very narrow and high-quality welds; it is commonly used for joining stainless steels, superalloys, and reactive and refractory metals. The primary disadvantage of the EBW is high equipment cost and high operation price (due to the need for vacuum). Besides, location of the parts with respect to the beam must be very accurate.

59.3 Basic Equipment and Control Parameters The described welding technologies utilize various types of equipment and control units. However, since arc and resistance spot welding are used in manufacturing most widely, they are expanded upon in more detail.

Arc-welding processes employ the basic electrical circuit, where the currents typically vary from 100 to 1000 A, and voltage ranges from 10 to 50 V. The power supply can produce either direct current (DC) or alternating current (AC), and usually can maintain either constant current or constant voltage. Consumableelectrode processes (such as GMAW) generally use direct current, while nonconsumable-electrode processes (GTAW, etc.) can use either direct current (with negative electrode polarity) or alternating current (with square-wave AC pattern) [59.1, 3, 5]. For arc welding processes, the voltage is directly related to the arc length, and the current is related

Welding guns: Wire feed

Gas

Power supply and control Water cooling

Power supply

Anode cable, gas, water, electrode wire

for robotic welding

Welding gun (+)

Workpiece (–)

for manual welding

Cathode cable

Fig. 59.3 Composition of a typical GMAW machine and its components (http://www.robot-welding.com/

welding_torch.htm, http://www.binzel-abicor.com)

Part F 59.3

59.3.1 Arc Welding Equipment

to the amount of heat produced. So, constant-current power supplies are most often used for manual welding, because they maintain a relatively constant heat output even if the voltage varies due to imperfect control of electrode position. Constant-voltage power supplies are usually utilized for automated welding, since the electrode spatial position (and arc length) is proper controlled and the current sensor can be used for adjusting the electrode position in the feedback loop. Typical welding equipment for the GMAW process is shown in Fig. 59.3. It includes a power supply, welding cables, a welding gun, a water cooling unit, a shielding gas supplier, wire feed system, and a process control unit. Here, the cathode (negative) cable is connected to the workpiece, and the anode (positive) cable is connected to the welding gun. The consumable welding wire is continuously fed through the gun cable and the contact tube inside the gun, where an electrical connection is made to the power supply. In addition, the shielding gas and cooling water are also fed through the gun cable. The welding gun can be operated either man-

1032

Part F

Industrial Automation

50 Hz

DC

50 kHz

50 kHz

50 kHz

DC

Rectifier

Filter

Switch

Transformer

Rectifier

Filter

Fig. 59.4 General structure of the

inverter-based welding power supply

Control feedback

Part F 59.3

ually or automatically, by a welding robot or some other automated setup. The gun shape is usually a swan-neck or straight. Guns with low current and light duty cycle are generally gas-cooled whereas those with higher current are water-cooled. Formerly, welding machines were based on simple transformers with the operational frequency of the main energy source (i. e., 50 or 60 Hz). For DC welding, the transformer was equipped with a rectifier and an additional low-pass filter to suppress the ripples and produce a process-stabilizing effect. In modern inverter-type equipment (Fig. 59.4) the main conversion is performed at much higher frequency (approximately 20–50 kHz) allowing to decrease transformer weight, size, and magnetic losses (by about tenfold). The output stage of the power supply may also include a controlled on/off switch circuit. By varying the on/off period (i. e., the pulse duty factor), the average voltage may be perfectly adjusted. For AC welding, the power source implements additional features such as pulsing the welding current, variable frequencies, variable ratio of positive/negative halfcycles, etc. This allows adjusting the square-wave shape to minimize the electrode thermal stress and the cleaning effect. In some cases, an AC sine wave is combined with high-frequency high voltage in the neighborhood of zero-crossing, to ensure noncontact arc reignition. Other variants use pulsed DC current of low-frequency (1–10 Hz) to reduce weld distortions and compensate cast-to-cast variations. By relevant settings of welding parameters, it is possible to select three possible modes of operation (short arc mode, spray mode, and globular mode), which are distinguished by the way in which metal is transferred. The weld orientation relative to gravity, torch travel speed, and electrode orientation relative to the welding joint also have considerable influence on the weld formation. For most materials, electrode angles of 60–120◦ give welds with adequate penetration-depthto-width ratio. In some cases, electrode cross-oscillation (weaving) is necessary. Other important control parameters are electrode feed speed, distance between the workpiece and contact nozzle, travel motion parameters

(straight or weaving type), composition of shielding gas, and delivery of cooling gas/water.

59.3.2 Resistance Welding Equipment The implementation of resistance spot welding involves coordinated application of force and current of the proper magnitude and time profile. Typically the current is in the range of 1–100 kA, and the electrode force is 1–20 kN. For the common combination “1.0 + 1.0 mm” sheet steel, the corresponding voltage between the electrodes is only 1.0–1.5 V, however the voltage from the power supply is much higher (5–10 V) because of the very large voltage drop in the electrodes [59.2–4]. The spot welding cycle is divided into four time segments: squeeze, heat (weld), cool (hold), and off, as shown in Fig. 59.5. The squeeze segment provides time to bring the electrodes into contact with the workpiece and develop full force. The heat segment is the interval during which the welding current flows through the circuit. The cool segment, during which the force is still held, allows the weld to be solidified, and the off segment is to retract the electrodes, and remove or reposition the workpiece. Typical values for the heat and hold times are 0.1–0.5 s and 0.02–0.10 s, respectively. In industry, the segment duration is often expressed in cycles of the main frequency (50 or 60 Hz). Typical equipment for resistance welding includes the power supply with secondary lines, the electrode pressure system, and the control system. This structure applies to both spot and roller seam welding machines. Differences are in the type of electrode fittings and in the electrode shapes. For spot welding, the guns normally include a pneumatic or hydraulic cylinder and are designed to fit a particular assembly. The most common are C-type and X-type guns (Fig. 59.6), which differ in shape and force application mechanisms (in the first case, the cylinder is connected directly to the moving electrode; in the second case, it is connected via the lever arm). However, some new welding guns incorporate built-in electromechanical actuators for force generation.

Welding Automation

Squeeze

Heat (weld)

Cool (hold)

Off

Force Current

Fig. 59.5 Spot welding cycle

Fig. 59.6 Spot welding guns (X-type and C-type)

(http://www.spotco.com)

joining materials, and also on the type of equipment used. Weld current shape is usually rectangular, but can also be trapezoid type with programmed rise/fall times. For some thick materials, several current pulses may be applied.

59.4 Welding Process Sensing, Monitoring, and Control Automated welding requires accomplishing a number of tasks (such as weld placement, weld joint tracking, weld size control, control of the weld pool, etc.) that are based on real-time monitoring and control of relevant parameters. These actions must be performed in the presence of disturbances caused by inaccurate joint geometry, misalignment of workpiece and welding tool, variations in material properties, etc. The main challenge is that the observable data is indirectly related to final weld quality, so sensing and feedback control relies on a variety of techniques. Basically, they are divided into groups (technological and geometrical), which provide correspondingly control/monitoring of the welding process and positioning of the workpiece relative to the energy source [59.7, 8].

1033

59.4.1 Sensors for Welding Systems For welding, technological parameters typically include voltage, current, and wire feed speed. The arc voltage is usually measured at the contact tube within the weld torch, but the voltage drop between the tube and the wire tip (where the arc starts) must be compensated. Another method is to measure the voltage on the wire inside the feeding system, which provides a more accurate result. The welding current can be measured using two types of sensors, the Hall-effect sensor and current shunt. The former is a noncontact device that responds to the magnetic field induced by the current. The second sensor type employs a contact method where the current flows through a calibrated resistor (shunt) that converts the current into a measured voltage.

Part F 59.4

Resistance welding may employ several power supply architectures that differ in the type of the current (AC/DC) and frequency of voltage conversion: AC power source based on a low-frequency (50 or 60 Hz) step-down transformer; DC power source with a low-frequency (50 or 60 Hz) transformer and rectifier; impulse capacitive-discharge source, where the rectified primary current is stored in capacitors and is transformed into high welding currents; inverterbased power source, where the primary supply voltage (50 or 60 Hz) is rectified and is converted to a midfrequency (20–50 kHz) square wave. Similar to arc welding, the inverter-based method gives essential reduction of power supply size and weight. All methods may be used with single- or three-phase mains supply. From a compositional point of view, there are two main types of resistance welding equipment. In the first type, an AC power unit with electric transformer is built directly into a welding gun. The second type uses a DC power unit with welding cables connected to the gun. Modern computer-based control units allow programming of all essential process parameters, such as current magnitude, welding cycle times, and electrode force. Some sophisticated controllers also allow regulation of current during welding, control of pre/post-heat operations, or adjustment of the clamping force during the cycle. Particular values of the welding parameters depend on the physical properties and thickness of the

59.4 Welding Process Sensing, Monitoring, and Control

1034

Part F

Industrial Automation

Part F 59.4

The wire feed speed is usually estimated by measuring the speed of the drive wheel of the feeder unit. However, this must be complemented with special features of the feeder mechanics, which ensures robustness with respect to wire diameter variations and bending/twisting of the wire conduit. Sensors for geometrical parameters provide the data for seam tracking during welding and/or seam searching before welding. These capabilities ensure adaptation to the actual (i. e., nonnominal) weld joint geometry and the workpiece position/orientation relative to the torch. The most common geometrical sensors are based on tactile, optical or through-arc sensing principles. Tactile sensors implement purely mechanical principles, where a spring-loaded guide wheel maintains a fixed relationship between the weld torch and the weld joint. In more sophisticated sensors the signals from the mechanical probe are converted into electrical signals to acquire the geometrical data. Optical sensors usually use a laser beam, which scans the seam in linear or circular motions, and a charge-coupled device (CCD) array that captures features of the weld joint (Fig. 59.7a). By means of scanning, the sensor acquires a two-dimensional (2-D) image of the joint profile. When the welding torch and sensor are being moved, a full three-dimensional (3-D) description of the weld joint is created. By applying appropriate image processing techniques and the triangulation method, it is possible to compute the gap size and weld location with respect to the welding torch [59.9]. A laser-based optical sensor is typically a)

mounted on the weld torch, ahead of the welding direction, and a one-degree-of-freedom mechanism is required to maintain this configuration during welding. A typical laser scanner provides a sweep frequency of 10–50 Hz and an accuracy of ±0.1 mm, which is sufficient for most of welding processes. However, high price often motivates the use of alternative sensing methods. Through-arc sensing is based on the measurement of the arc current corresponding to weaving (i. e., scanning) torch motions (Fig. 59.7b). This is a popular and cost-effective method for seam tracking in GMAW and related processes [59.10]. This method employs the relation between variations of the arc current and the electrode/workpiece distance, which is negative proportional for constant arc voltage. Typically, triangular-, sinus- or trapezoid-type motions are used, with a few millimeters of weaving amplitude, to achieve accuracy of about ±0.25 mm. For this method, geometrical information can be retrieved using continuous current measurement or its measurements at the turning and/or center points of the weaving motion. Correspondingly, different control principles are applied based on difference computing or template matching. In practice, the tracking capability is usually combined with a search function (i. e., preweld sensing of the joint location), where the torch gradually moves in a predefined direction until detecting the weld joint. There are two basic methods for this function, which differ in terms of sensors and search patterns: b)

Imager (CCD or CMOS)

Laser diode L

C

R

a Weave direction Collecting lens

Joint

Laser stripe

Part A

Part B

Fig. 59.7a,b Seam tracking using laser scanning (a) and through-arc sensing with weaving (b) (http://www.robot-

icsonline.com, http://www.thefabricator.com)

Welding Automation

1. Approaching the workpiece without weaving, detecting electrical contact between the electrode and the weld plates, and calculating the starting position from this information 2. Approaching the workpiece with weaving, detecting the arc current, and tracking the seam in a normal way For welding automation, other sensors can also be used, for example: inductive proximity sensors or eddycurrent sensors, infrared sensors, ultrasonic sensors, and also sophisticated computer vision. However, they are not so common in this application, which is characterized by harsh environment with high temperatures, intense light, and high currents.

59.4.2 Monitoring and Control of Welding

mean values allows the detection of the metal transfer mode (short circuit, globular or spray transfer). In pulsed GMAW, the peak current is monitored and compared with preset values. For short-circuit GMAW, the monitoring features includes short-circuit time or frequency, as well as the average short-circuit current and the average arc current. In the general case, the features used for monitoring may be dependent on the specific algorithm and the welding condition. For process feature analysis, various strategies are applied. The simplest ones employ deterministic decision making based on nominal values and tolerances, where any deviation from these is considered a potential cause of quality decrease. More sophisticated techniques employ template matching or treat the measured features as random variables and apply statistical methods such as control charts or spectrum analysis. However, the user must realize that increasing the detection probability often leads to false alarms that regularity interrupt the process. So, most current commercial monitoring systems utilize simple and robust algorithms, in which process features are averaged within user-defined time segments, filtered, and compared with a predefined threshold corresponding to normal welding conditions. Welding process deviations detected via monitoring are to be compensated by control actions [59.12]. However, because of process complexity and indirect relevance of the observable data, simple feedback loops cannot be implemented. So, in addition to seam tracking, model-based strategies must be applied to enable adjustment of the welding equipment settings. However, in spite of its tremendous practical significance, this is still an active research area that employs various sophisticated decision-making techniques based on artificial intelligence and knowledge-based modeling.

59.5 Robotic Welding Most industrial automated welding systems employ robotic manipulators, which are integrated with standard welding equipment that provides energy supply and basic control of welding parameters. The manipulators replace the human operator by handling the welding tool and positioning the workpiece. Usually this leads to an increase in quality and productivity, but poses a number of additional problems related to robot control, programming, calibration, and maintenance [59.13, 14].

59.5.1 Composition of Welding Robotic System Currently, robots are mainly used for arc and spot welding processes. However, some recent applications deal with laser and plasma welding and also with friction stir welding. Typically, a robotic welding station includes a robot, a robot controller, welding equipment with relevant sensors, and clamping devices (fixture), allowing the workpiece to be held in the desired position in spite

1035

Part F 59.5

Using data obtained from the sensors, it is possible to evaluate the weld quality and detect (or even classify) different weld defects, such as porosity, metal spatter, irregular bead shape, incomplete penetration, etc. These capabilities are implemented in monitoring systems, which usually use high-speed online analysis of welding voltage and/or current that are compared with preset nominal values or time patterns. Based on this analysis, an alarm is triggered if any difference from the preset values exceeds the given threshold. More sophisticated installations use computer-based image processing to evaluate the welding pool geometry and penetration depth [59.11]. To judge weld quality, the monitoring system relies on physical or statistical models, allowing the definition of alarm thresholds correlated with real weld defects or welding process specifications; for instance, for all GMAW processes, the voltage and current shape and

59.5 Robotic Welding

1036

Part F

Industrial Automation

a)

b)

Fig. 59.8a,b Composition of arc welding robotic stations with floor-mounted (a) and column-mounted (b) robots (af-

ter [59.15, pp. 595, 602])

Part F 59.5

of thermal deformations. In addition, there are a variety of auxiliary mechanisms that provide an increasing in the robot workspace, better weld positioning, safety protection, and workpiece transportation between workstations. The most common design of an arc welding robotic station is shown in Fig. 59.8. Usually, the robot has six actuated axes, so it can access any point within the working range at any orientation of the welding torch. In most cases robots are implemented with a serial architecture with revolute joints, which ensures larger workspaces (Fig. 59.9). Typical arc welding robots have a working envelope of 2000 mm and payload capacity of about 5 kg, which is sufficient for handling welding tools. To extend the working range, robots may be installed in an overhead position. A further extension of the working range can be achieved by installing the robot onto a linear carriage with auxiliary actuated axes (track, gantry or column). The wire feed unit and the spool carriers for the wire electrodes are often fixed to the robot, but can also be placed separately. In many cases the torch is equipped with shock aba)

b)

sorption devices (such as springs) to protect it against collisions. The workpiece positioners allow the location of seams in the best position relative to gravity (i. e., downhand) and to provide better weld accessibility. They usually have one or two actuated axes and may handle payload from a few kilograms to several hundred tons. The most common positioners are turnover, turn– tilt, and orbital tables, but turning rolls are also used to rotate the workpiece while making circular seams (in tank manufacturing, for instance). Positioners with orbital design have an advantage for heavy parts, allowing rotation of the workpiece around its center of gravity. In some cases, positioners are implemented with a multitable architecture, in which the operator feeds and removes the welded workpiece on one side, while the robot is welding on the other side. The positioner axes may either turn to certain defined positions (index-based control) or be guided by the robot controller and moved synchronically with the internal axes. For spot welding, the robot payload capacity is essentially higher (about 150 kg), being defined by rather c)

d)

Fig. 59.9a–d Mechanical components of an arc welding robotic station: (a) robotic manipulator, (b) positioner; (c),(d) robots with translational motion units (http://www.kuka.com)

Welding Automation

Fig. 59.10 Robotic spot welding line for the automotive industry (http://tal.co.in/solutions/equipments/roboticsautomation.html)

suming and may be longer than the actual welding phase [59.16]. For selection of welding parameters, there are at present a number of generally accepted databases. They allow the definition of optimal values of the welding current, voltage, welding speed, wire diameter, and number of weld beads/layers depending on type of weld, welding position, properties of materials, plate thickness, etc. Also, these databases usually provide interface to computer-aided design (CAD) models of the joining components to simplify extraction of geometrical information. For robot programming, two basic methods exist: online (programming at the robot) and offline (programming out of the robot cell). The former method, which is also referred to as manual teaching, requires extraction of the robot from the manufacturing process and involves operator-guided implementation of all required motions. The operator uses a dedicated teach-pendant to move the welding torch to notable points of the weld, to store the torch position and orientation, and to create corresponding motion commands with necessary attributes (defining velocity, type of interpolation along the path, weave pattern, welding parameters, etc.). The simplest implementation of the offline programming uses an external computer to create a text file describing the sequence of motions, but the command arguments (i. e., torch position and orientation) are obtained via manual teaching. Nevertheless, this offer essential shortening of programming time because of extensive use of standard macros. Advanced offline programming systems provide fully autonomous program generation, completely outside of the manufacturing cell. They rely on so-

59.5.2 Programming of Welding Robots To take advantage of robotic welding, especially in small-batch manufacturing, it is necessary to reduce the prewelding phase (or setup time), which includes selection of welding parameters and generation of control program defining motions of the robot, positioner, and other related mechanisms. This process is time con-

Fig. 59.11 Simulation and programming environment of

eM-Workplace (Robcad) (http://www.ugs.com)

1037

Part F 59.5

heavy equipment mounted on the robotic manipulator arm. Usually, each spot welding station includes several robots working simultaneously to provide the same cycle time along the manufacturing line. An example of a spot welding line for car manufacturing is presented in Fig. 59.10. For such applications, robots usually perform several thousands welds on over a hundred parts with a cycle time of about 1 min. Besides the joining and handling operations, the robots also ensure online measurement and inspection by means of dedicated laser sensors. To ensure coordination of all components of the automated welding system, a relevant multimicroprocessor control architecture usually comprises two hierarchical levels. At the lower level, the local controllers implement mainly position-based algorithms that can receive a desired trajectory and run it continuously (for each actuated axis separately, but simultaneously and coordinated). High-level controllers ensure trajectory correction in real time, as a function of the observed results of the welding process. Some robot controllers can be connected via the Internet with telediagnostic systems to support service personnel during troubleshooting.

59.5 Robotic Welding

1038

Part F

Industrial Automation

phisticated photorealistic 3-D graphical simulation of the robotic system and parts to be welded, allowing the required torch coordinates/orientations to be obtained directly from the models. Moreover, modern CAD-based robotic programming systems [such as emWorkplace (Robcad), IGRIP, CimStation, etc.] provide an interface to all standard 3-D modeling systems and incorporate a number of additional tools for robotic cell design, layout optimization, graphical simulation of the movements, program debugging and verification with respect to collisions and cycle time, program downloading to the robot controller, unloading of existing programs for optimization, etc. An example view of a CAD-based simulation and programming environ-

ment is presented in Fig. 59.11. However, while using the offline programming, it is necessary to ensure good correspondence between the nominal CAD model of the robot and its actual geometrical parameters. In practice, this is not a trivial problem, which is solved via calibration of all geometrical parameters describing the workcell components and their spatial location. Automation of robot programming is still an active research area, which is targeted to replace movementoriented program development to task-oriented programming. The final goal is automatic generation of robot programs from CAD drawings and welding databases, similar to programming methods for computer numerical control (CNC) machines.

59.6 Future Trends in Automated Welding Part F 59.6

At present, welding automation is on the rise because of stricter customer demands and a shortage of skilled welders. So, equipment manufactures and system integrators are enhancing their production and implementing more advanced technologies. The most important technology-oriented directions defining future trends in welding automation are the following [59.17–20]:







• •

Improvement of traditional welding processes with respect to productivity and environmental issues (including development of better controllable power sources, new electrodes, shielding gases and flaxes; using twin-arc and tandem-arc torches) Industrial implementation of new efficient and environment-friendly processes, such as laser beam and electron beam welding, friction stir welding, and magnetic pulse welding (including development of new energy sources, relevant control algorithms, manipulating equipment) Creating new knowledge-based welding process models with ability for online learning and capability for online feedback control of essential process features (such as molten pool geometry, heat distribution, surface temperature profile, thermal deformations) Development of advanced sensors and intelligent seam-tracking control algorithms (to compensate for parts’ mechanical tolerances in 3-D or 6-D space, and making welds in nonflat positions) Development of new process monitoring and nondestructive evaluation methods (using model-based

condition monitoring and failure analysis techniques, and online ultrasonic and laser-based testing) Concerning mechanical components (robotic manipulators, positioners, etc.), it is recognized that their current performance satisfies the requirements of most welding processes with respect to ability to reproduce the desired trajectory with given speed and accuracy. Future developments will focus on automation of robot programming and integration with other equipment:



• •

Task-oriented offline programming and integration with product design (using simulation-based methods; simultaneous product and fixture design; implementing 3-D virtual-reality tools and concurrent engineering concepts; developments of human–machine interfaces) Standardization of mechanical components, control platforms, sensing devices and control architectures (to reduce the system development time/cost and simplify its modification) Monitoring of the welding equipment and robotic manipulators (to predict or detect machine failures and reduce downtime using predefined exceptionhandling strategies)

In addition, there are a number of essential issues that are not directly linked with welding technology and equipment. These include marketing aspects, and also networking and collaboration using modern e-Manufacturing concepts.

Welding Automation

References

1039

59.7 Further Reading • • • • • • •

• •

• • • • • • • • • • • •

G. Bolmsjö, M. Olsson, P. Cederberg: Robotic arc welding – trends and developments for higher autonomy, Ind. Robot 29(2), 98–104 (2002) J. Villafuerte: Advances in robotic welding technology, Weld. J. 84(1), 28–33 (2005) T. Yagi: State-of-the-art welding and de-burring robots, Ind. Robot 31(1), 48–54 (2004) A. Benatar, D.A. Grewell, J.B. Park (eds.): Plastics and Composites Welding Handbook (Hanser Gardner, Cincinnati 2003) W. Zhang: Recent advances and improvements in the simulation of resistance welding processes, Weld. World 50(3–4), 29–37 (2006) P.G. Ranky: Reconfigurable robot tool designs and integration applications, Ind. Robot 30(4), 38–344 (2003) W.G. Rippey: Network communications for weld cell integration – status of standards development, Ind. Robot 31(1), 64–70 (2004) The Welding Institute, UK. http://www.twi.co.uk American Welding Society, USA. http://www.aws.org ASM International: Materials Information Society. http://www.asminternational.org M. Sciaky: Spot welding and laser welding. In: Handbook of Industrial Robotics, ed. by S.Y. Nof (Wiley, New York 1999) pp. 867–886 J.A. Ceroni: Arc welding. In: Handbook of Industrial Robotics, ed. by S.Y. Nof (Wiley, New York 1999) pp. 887–905

References 59.1 59.2 59.3

59.4

59.5 59.6

L.F. Jeffus: Welding: Principles and Applications, 6th edn. (Delmar, New York 2007) H.B. Cary, S.C. Helzer: Modern Welding Technology, 6th edn. (Prentice Hall, New Jersey 2004) W.A. Bowditch, K.E. Bowditch, M.A. Bowditch: Welding Technology Fundamentals (GoodheartWillcox, South Holland 2005) AWS: AWS Welding Handbook. Vol. 1: Welding Science and Technology. Vol. 2: Welding Processes, 9th edn. (American Welding Society, Miami 2001) H.B. Cary: Arc Welding Automation (Marcel Dekker, New York 1995) J. Norrish: Advanced Welding Processes (IOP, London 2006)

59.7

59.8

59.9

59.10

G. Bolmsjö, M. Olsson: Sensors in robotic arc welding to support small series production, Ind. Robot 32(4), 341–345 (2005) Z. Yan, D. Xu, Y. Li, M. Tan, Z. Zhao: A survey of the sensing and control techniques for robotic arc welding, Meas. Control 40(5), 146–150 (2007) S.-M. Yang, M.-H. Cho, H.-Y. Lee, T.-D. Cho: Weld line detection and process control for welding automation, Meas. Sci. Technol. 18(3), 819–826 (2007) U. Dilthey, L. Stein, M. Oster: Through-the-arc sensing – a universal and multipurpose sensor for arc welding automation, Int. J. Join. Mater. 8(1), 6–12 (1996)

Part F 59



AMS: ASM Handbook. Vol. 6: Welding, Brazing and Soldering, 10th edn. (ASM International, Metals Park 1993) K. Weman: Welding Processes Handbook (Woodhead, Boca Raton 2003) J. Pan: Arc Welding Control (CRC, Boca Raton 2003) R. Hancock, M. Johnsen: Developments in guns and torches, Weld. J. 83(5), 29–32 (2004) F.M. Hassenkhoshnaw, I.A. Hamakhan: Automation capabilities for TIG and MIG welding processes, Weld. Cutt. 5(3), 154–156 (2006) M. Fridenfalk, G. Bolmsjö: Design and validation of a universal 6-D seam tracking system in robotic welding based on laser scanning, Ind. Robot 30(5), 437–448 (2003) A.P. Pashkevich, A. Dolgui: Kinematic control of a robot-positioner system for arc welding application. In: Industrial Robotics: Programming, Simulation and Applications, ed. by K.-H. Low (Pro Literatur, Mammendorf 2007) pp. 293–314 G.E. Cook, R. Crawford, D.E. Clark, A.M. Strauss: Robotic friction stir welding, Ind. Robot 31(1), 55– 63 (2004) X. Chen, R. Devanathan, A.M. Fong (eds.): Advanced Automation Techniques in Adaptive Material Processing (World Scientific, River Edge 2002) U. Dilthey, L. Stein, K. Wöste, F. Reich: Developments in the field of arc and beam welding processes, Weld. Res. Abroad 49(10), 21–31 (2003)

1040

Part F

Industrial Automation

59.11 59.12

59.13

59.14

59.15

Y.M. Zhang (ed.): Real-Time Weld Process Monitoring (Woodhead, Cambridge 2008) J.P.H. Steele, C. Mnich, C. Debrunner, T. Vincent, S. Liu: Development of closed-loop control of robotic welding processes, Ind. Robot 32(4), 350– 355 (2005) J.N. Pires, A. Loureiro, G. Bolmsjö: Welding Robots: Technology, System Issues and Application (Springer, London 2006) R.C. Dorf, S.Y. Nof (eds.): International Encyclopedia of Robotics: Applications and Automation (Wiley, New York 1988) B.-S. Ryuh, G.R. Pennock: Arc welding robot automation systems. In: Industrial Robotics: Programming, Simulation and Applications (Pro Literatur, Mammendorf 2007) pp. 596–608

59.16

59.17

59.18

59.19 59.20

J.N. Pires: Industrial Robots Programming: Building Applications for the Factories of the Future (Springer, New York 2007) T.J. Tarn, S. Chen, C. Zhou (eds.): Robotic Welding, Intelligence and Automation, Lecture Notes in Control and Information Sciences, Vol. 362 (Springer, Berlin 2007) G.E. Cook: Robotic arc welding: research in robotic feedback control, IEEE Trans. Ind. Electr. 30(3), 252– 268 (2003) M. Erickson: Intelligent robotic welding, Tube Pipe J. 17(3), 34–41 (2006) U. Dilthey, L. Stein, C. Berger, K. Million, R. Datta, H. Zimmermann: Future prospects of shape welding, Weld. Cutt. 5(3), 164–172 (2006)

Part F 59

1041

Automation i 60. Automation in Food Processing

Darwin G. Caldwell, Steve Davis, René J. Moreno Masey, John O. Gray

60.1

The Food Industry............................... 1042

60.2 Generic Considerations in Automation for Food Processing ............................ 60.2.1 Automation and Safety .............. 60.2.2 Easy-to-Clean Hygienic Design ... 60.2.3 Fast Operational Speed (High-Speed Pick and Place) ....... 60.2.4 Joints and Seals ........................ 60.2.5 Actuators ................................. 60.2.6 Orientation and Positioning ....... 60.2.7 Conveyors.................................

1043 1043 1043 1044 1045 1045 1045 1046

60.3 Packaging, Palletizing, and Mixed Pallet Automation .............. 60.3.1 Check Weight ............................ 60.3.2 Inspection Systems .................... 60.3.3 Labeling................................... 60.3.4 Palletizing ................................

1046 1047 1047 1048 1048

60.4 Raw Product Handling and Assembly.... 60.4.1 Handling Products That Bruise .... 60.4.2 Handling Fish and Meat ............. 60.4.3 Handling Moist Food Products .... 60.4.4 Handling Sticky Products ............

1049 1050 1051 1052 1053

60.5 Decorative Product Finishing ............... 1054 60.6 Assembly of Food Products – Making a Sandwich ............................ 1055 60.7 Discrete Event Simulation Example....... 1056 60.8 Totally Integrated Automation ............. 1057 60.9 Conclusions ........................................ 1058 60.10 Further Reading ................................. 1058 References .................................................. 1058

problems/risks/demands associated with food handling and to provide an insight into the solution, thereby demonstrating that in most instances the difficult/impossible can indeed be achieved.

Part F 60

Factory-based food production and processing globally forms one of the largest economic and employment sectors. Within it, current automation and engineering practice is highly variable, ranging from completely manual operations to the use of the most advanced manufacturing systems. Yet overall there is a general lag in the use of automation technology compared with other industries. There are many reasons for this lack of uptake and this chapter will initially discuss the factors that make automation of food production so essential and at the same time consider counterinfluences that have prevented this automation uptake. In particular the chapter will focus on the diversity of an industry covering areas such as bakery, dairy, confectionary, snacks, meat, poultry, seafood, produce, sauce/condiments, frozen, and refrigerated products, which means that generic solutions are often (considered by the industry) difficult or impossible to obtain. However, it will be shown that there are many features in the production process that are almost completely generic, such as labeling, quality/safety automation, and palletization, and others that do in fact require an almost unique approach due to the natural and highly variable features of food products. In considering these needs, this chapter has therefore approached the specific automation requirements of food production from two perspectives. Firstly, it will be shown that in many cases there are generic automation solutions that could be valuably used across the industry ranging from small cottage facilities to large multinational manufacturers. Examples of generic types of automation well suited across the industry will be provided. In addition, for some very specific difficult handling operations, customized solutions will be shown to give opportunities to study the

1042

Part F

Industrial Automation

60.1 The Food Industry Food and drink manufacturing forms one of the largest global industry sectors. In the European Union (EU), it is in fact the largest manufacturing sector with an annual turnover (in 2006) in excess of € 830 billion and a workforce of 3.8 million people [60.1]. However, unlike in other manufacturing sectors, there is still a very high level of low-skilled, low-paid labor. Before considering the detailed use of automation in food processing, it is important to understand the nature of the industry. The food industry is not one single sector making a range of broadly similar products. It is in fact wide and diverse both in terms of the products and in structures and is characterized by:

• • • • •

A very large number of small and medium enterprises (SMEs) operating in a highly competitive environment Rapid changes in product lines (often several changes per day) Generally low profit margins Extensive use of manual labor in often unattractive operating environments Low uptake of automation procedures.

Part F 60.1

It is also very important to note that, except for a few multinationals:

• • •

Engineering research and development activity is low Ability to exploit and maintain advanced automation equipment is fairly poor Information technology (IT) and e-Commerce infrastructure is generally weak.

• •

However, despite these very significant and compelling driving influences, only a small number of companies are yet making significant use of automation for raw and in-progress product handling. The question therefore arises as to why the food sector should not be making extensive use of automation. There is no single simple answer to this question, but the answers seem to be embedded in a number of technical, financial, and cultural issues [60.2, 3]. Although the limited use of automation is certainly a reflection of a conservative investment policy in a low-margin industry, it is equally clear that in many instances the use of labor-intensive manual techniques is a deliberate policy because of: i) The flexibility provided by the human worker. Humans handle manipulative complexities with ease, by combining dextrous handling capabilities (the human hand), advanced sensing, and behavioral models of the product accumulated with experience. ii) A lack of understanding of the properties of the food product as an engineering material. The handling characteristics of many (most) food products cannot be adequately described with geometry-based information, as is usually the case with conventional engineering materials since the geometry of food product is:



Within the industry there is a strong feeling that in the medium term (3–10 years) the number of people willing to work for the current low wages will decline and the industry will have to change to survive. Faced with this problem the industry has identified the application of automation and robotic systems as a major growth area with the aims of:

• •





• •

Improving production efficiency and impacting on yield margins and profitability Reducing waste on all levels: product, energy, pollution, water, etc. Enhancing hygiene standards, and conforming to existing and future legislation pertaining to food production, including enhancing hygienic operation and product traceability

Improving working conditions to improve retention of high-quality, motivated staff Improving the consistency of product quality.

• •

In many/most instances nonrigid, often delicate, and/or perishable Variable in texture, color, shape, and size Often variable as a function of time and the forces applied Affected by environmental conditions including temperature, humidity, and pressure Easily bruised and marked when it comes into contact with hard and/or rough surfaces Susceptible to bacterial contamination.

iii) The product deforming significantly during handling. Any system developed to handle such food items therefore needs to react accordingly to this deformation and there is a lack of handling strategies and end-effectors designed to cope with the variable characteristics of food products.

Automation in Food Processing

iv) The perceived inabilities of current automation systems to cope with the variation in product and production demands. In addition, the food sector often feels that there are significant issues relating to automation including:

• •

Robotic systems and application technology have been developed for the engineering manufacturing industry and they cannot transfer across into food manufacture without significant changes. Robot manufacturers and system integrators often have a poor understanding of the economics, payback rationale, and operating pressures in the

• •

60.2 Generic Considerations in Automation for Food Processing

1043

food industry, which are very different from those in other sectors that make more use of automation/robotics. This results in the wrong products being offered for sale at the wrong price and with the wrong sales model. Support for complex IT-based systems is largely absent in smaller food manufacturers and there is no cost-effective outsourced support available. The space and flexibility requirements of, in particular, the smaller food manufacturer require that any automation fits around, and works with, existing manual operations. This is in contrast to most engineering automation which is physically separated from people.

60.2 Generic Considerations in Automation for Food Processing

• •

ufacturing process, rather than through end-of-line and finished product testing/inspection. This goal is achieved by identifying potential food safety risks in the manufacturing process and acting on these critical control points (CCPs), e.g., by cooking, to prevent the hazard being realized. HACCP forms part of the whole manufacturing process including packaging, and distribution [60.4]. Current good manufacturing practice (cGMP) deals with the control, quality assurance/testing, and management of food, pharmaceutical, and medical products in a manufacturing environment [60.5]. ISO 22000 aims to bring the structures and benefits of ISO 9000, from which it is derived, to the food and drink processing and manufacturing sectors [60.6].

60.2.1 Automation and Safety Issues relating to food safety through accidental or deliberate contamination are of paramount concern in all food manufacturing facilities. To address these concerns and ensure public confidence there are a number of national and international standards. Depending on the country where the food is being manufactured these standards may be compulsory or voluntary and may be more or less strictly enforced. Among the most readily recognized of these standards are



Hazard analysis and critical control points (HACCP) has been developed as a systematic preventive approach to food safety that addresses physical, chemical, and/or biological hazards during the man-

The introduction of automation and robotic equipment must of course conform to these standards, ideally without introducing any new hazards, but at the same time it is clear that the introduction of automation can have a positive impact since it permits humans, who are the most significant and certainly the most unpredictable contamination source, to be removed from the hazard consideration.

60.2.2 Easy-to-Clean Hygienic Design Machinery to be used for direct handling of food can be designed following a set of hygienic design guidelines that ensure good standards of hygiene in production [60.7, 8], yet there is often a certain degree of

Part F 60.2

When considering automation within the sector it is clear that there are very large differences in the exact nature of the work and the level and form of automation. For instance, unlike in the car industry, which is generally homogenous, making one easily recognizable product, in the food industry this is not true. The sector can be broken down in many ways, e.g., bakery, dairy, confectionary, snacks, meat, poultry, seafood, produce, sauce/condiments, frozen, and refrigerated. Within these areas there are of course many more subdivisions and these subdivisions mean that it is almost impossible to consider the industry as a whole and certainly from the viewpoint of automation this is extremely difficult, although there are several key aspects that have commonality.

1044

Part F

Industrial Automation

Part F 60.2

confusion regarding what constitutes hygienic design and how hygienic a particular piece of machinery needs to be. Fundamentally this is product/task specific but it is clear that products such as raw meat, fish, and poultry are highly susceptible to contamination from microorganisms and require very high levels of hygienic design, while for dry foods such as biscuits or cakes lower levels of hygienic design may be more than adequate. Routine cleaning and disinfection procedures involve the use of acidic, alkaline, and chlorinated cleaning chemicals. The need for frequent wash-downs makes a sealed, waterproof structure essential to enclose and protect internal components. The preferred material for food processing machinery is 304 or 316 grade stainless steel (BS EN 10088:2005), polished to a unidirectional satin polish finish [60.9]. Aluminum is not sufficiently corrosion resistant to commonly used cleaning chemicals and its use should be avoided for food-contact applications. Surfaces should be nonporous and free from cracks, crevices, scratches or pits that could harbor microorganisms after cleaning. Painted or coated surfaces should be avoided on foodcontact parts; however, if used, the finish must be resistant to flaking or cracking. All parts likely to come into contact with food should be readily visible for inspection and accessible for cleaning. Joints that are screwed or bolted together inherently have crevices that cannot be adequately cleaned. A rubber seal or gasket should be used between components joined in this way. Exposed threads and fasteners such as screws, bolts, and rivets should be avoided if possible in food contact areas. All corners should be radiused and sharp internal corners should be avoided. The use of plastics can offer certain advantages over stainless steel in some applications. However, plastics are generally more susceptible to failure from a range of different causes [60.7]. A database of plastics approved for food use by the US Food and Drug Administration (FDA) is available online [60.10]. In Europe, the use of plastics for food-contact applications is regulated by EU Commission Directive 2002/72/EC. One significant disadvantage of plastics is that they are easily scratched through manual cleaning. Surface scratches can accumulate over time and harbor microorganisms. A study by Midelet and Carpentier [60.11] suggests that microorganisms also attach themselves more strongly to plastics than to stainless steel. Rubber compounds used for seals, gaskets, and suction cups should also be food approved. Nitrile butyl rubber (Buna-N), fluoroelastomers (Viton), and silicone rubber are among those commonly used in the food industry. Likewise lu-

bricants, adhesives, and any other materials that may come into contact with food should be approved for food use [60.10, 12].

60.2.3 Fast Operational Speed (High-Speed Pick and Place) High speed becomes important when an automation/robotic solution must compete on economic terms with hard automation or human workers. Low profit margins in food manufacturing mean that throughput must be maximized in order to increase profit. Increased production capacity also leads to reduced production costs. Arguably the most common task in food manufacturing is pick-and-place handling, where an object is picked from a conveyor belt and placed into its primary packaging. The pick-and-place speed of industrial robots is based on a standard 25 × 300 × 25 mm3 cycle and has been steadily rising. Speeds of between 80 and 120 picks/min, which are comparable to that of a human operator, are now becoming commonplace. Conveyor belts used in the food industry are generally no more than 50 or 60 cm wide. This width corresponds to the maximum distance that an operator, standing on one side of the conveyor, can comfortably reach to pick an object on the opposite side. This need for high-speed handling is most acutely observed in the bakery and confectionary subsectors, where there is a need for high-speed handling of products that do in general have relatively good structural formal and repeatability and can be moved at high speed without disintegrating. Biscuits/cookies form a particularly good example of this type of product but other breaded products, e.g., croissants and even meat precuts such as pepperoni for a pizza can be considered. In these tasks human operators are required to identify the product (visually), grasp the product, and place it either into a container or on to a secondary product. The operating frequencies are typically high (over 100 picks/min) with motions in the range of 30–50 cm one way. The mass of the objects are typically very low (only a few grams) and when undertaken by humans the user will often pick up several objects at one instance to minimize the movements to and from the conveyor. Recently the ABB IRB 340 FlexPicker robot has been extensively and generally very successfully used in this type of application to pick up multiple products as a group, or one at a time. With recent advances in vision technology, robotic packing lines can handle varying or irregular products (Fig. 60.1). Automation of this type often integrates

Automation in Food Processing

60.2 Generic Considerations in Automation for Food Processing

1045

with a variety of sensor systems, e.g., checkweighers, vision, and metal detectors to enhance the handling process and combine this with online inspection.

60.2.4 Joints and Seals Careful attention should be paid to the design of joints in automation/robotic systems to ensure that they are both waterproof and hygienic, and avoid deep, narrow crevices at the joints which are impossible to clean. This is illustrated in Fig. 60.2a. An improved design using a spring-energized polytetrafluoroethylene (PTFE) face seal is shown in Fig. 60.2b. Commercial seals are available where the spring groove is filled with silicone for use in food processing applications. Cover plates, which provide access to the inside of food processing machinery, are sealed using rubber gaskets. The screws securing the cover plates should also be sealed. Small screws can be sealed using a food-grade sealant. The screws should have plain hexagon heads, which are easier to clean. Fig. 60.1 An ABB IRB 340 used to pick and place biscuits

60.2.5 Actuators sector than elsewhere but in this sector a number of factors conspire to place a low value on this information. Figure 60.3 shows a very common example of an ordered layup of product that is taken from the production line and placed in bins that have complete disorder. To automate the process of recreating order from this chaos is at best difficult and expensive and at worst currently technically impossible, but humans easily cope with this disorder. In considering examples of this type it is clear that within a food plant many processes that are currently considered difficult or impossible could be automated if greater attention were paid to the retention of position and orientation data. In some instances this will involve changes to the handling process while a)

b)

60.2.6 Orientation and Positioning For all forms of automation knowledge of the exact position of a product is vital. This is no less true in the food

Fig. 60.2a,b Unhygienic and hygienic robot joint design. (a) Uncleanable gap, (b) PTFE face seal

Part F 60.2

Pneumatic cylinders are low cost and commonly used in the food industry to actuate fixed automation machinery. Accurate position control of pneumatic actuators without the use of mechanical stops is, however, difficult to achieve under either proportional or pulse width modulation control schemes [60.13, 14]. In addition, position control using pulse-width modulation requires rapid cycling of the solenoid valves used to drive the actuator and this wears out the valves extremely quickly. Hydraulic actuators are not used in the food industry, as there is a risk that hydraulic fluid may contaminate the product. Electric motors are comparatively easy to control and reliable in service. Brushless direct-current (DC) motors, despite their higher initial cost, have a service life many times greater than that of brushed motors, leading to increased reliability, lower maintenance costs, and less down time. This makes brushless motors more economical to use over the lifetime of the automation. The most significant operational issue with motors is ensuring adequate sealing to prevent the ingress of water/solvents during cleaning etc.

1046

Part F

Industrial Automation

food processing also. Indeed in the food sector the relatively low tolerances (due to the product variability) mean that vision-linked handling systems could potentially be even more successful in these applications than elsewhere.

60.2.7 Conveyors Fig. 60.3 Food automation will often reverse traditional manufac-

turing aims that create disorder from the manufactured order

in others simple remedies such as properly adjusting guides, transfer conveyors or feed rates will be sufficient to create the order needed to satisfy the downstream automation. This is a process that has already been learned (often by hard experience) in other industries but has yet to be fully appreciated by the food processing sector. At the same time it is possible to deal with aspects of orientation and positional inaccuracies and the vision systems that are becoming increasingly common in other industry sectors are finding useful outlets in

Conveyors are typically belted transport machines to carry products, containers, packs or packaging along a production line or between production centers (Fig. 60.4). There are a very large number of types of conveyor designed to operate with different products, and selection of the correct conveyor system is essential to good automation in the food sector [60.15]. Conveyors can be formed as stand-alone linear or curved units or they can be integrated into complex transportation networks custom-designed for each factory application. In their simplest forms the conveyor merely moves product from point A to B but they can be integrated with control systems, advanced drives, programmable logic controllers (PLCs), sensors etc. and form an integral part of good automation.

Part F 60.3 Fig. 60.4 Conveying solutions

60.3 Packaging, Palletizing, and Mixed Pallet Automation One area of food production that has seen significant use of automation is end-of-line operations. For many years, food manufacturers have successfully used traditional hard automation, including wrappers, top loaders, and side loaders, to package easy-to-handle

products, e.g., cartons, boxes, trays, bags, and bottles. In this area of the food production cycle the product has been changed from the highly variable food product into a containerized unit. Within the end-of-line packaging operations there are therefore a number of

Automation in Food Processing

60.3 Packaging, Palletizing, and Mixed Pallet Automation

1047

key areas that have wide application across the sector (Fig. 60.5). These include aspects such as labeling, checkweighing, inspection (visual, metal detection etc.), and palletizing.

60.3.1 Check Weight

60.3.2 Inspection Systems Other inspection stations within the typical production line include metal detection and also on occasion

Part F 60.3

The checkweigher is an automatic machine for measuring the weight of packaged commodities and hence ensuring that the product is within specified limits (Fig. 60.6). Any packs that are outside the tolerance are ejected from the line automatically and may be reworked. Although there are many forms of checkweigher they generally follow a fairly common format. From the main production flow line the product is transferred to an accelerating belt that spaces products that are often closely located on the line. This means that individual products can be weighed without interference from neighbors. The weigh station is an instrumented conveyor belt incorporating a high-speed transducer (typically a load cell), with user interface and often data ports for Ethernet etc. At the outflow of the checkweigher there is a reject conveyor to remove out-oftolerance packs without disrupting the normal flow. The reject mechanism is automatic and may involve a variety of approaches such as air jets and mechanical arms. Checkweighers can have a throughput of up to 750 products per minute. The communication ports ensure that the checkweigher can be integrated into the whole plant operation, communicating production data etc. and forming part of a full SCADA (supervisory control and data acquisition) system. By controlling and monitoring the throughput of the checkweigher it is possible to detect out-of-performance upstream operations and to dynamically change the performance of the upstream operations by adjusting their set-points. Unfortunately this is seldom achieved and machines often run with poor adjustments that increase reject rates or overfill and hence gives away product. In addition, by integrating production of several lines or monitoring data over time, it is possible to permit some underweight product, as the overall average is within tolerance and the data from the checkweigher can validate this. This can significantly reduce wastage and has potential enormous savings.

Fig. 60.5 End-of-line automation

x-ray machines (Fig. 60.6). These systems are primarily installed as safety systems to prevent physical contamination of food. In the instance of metal detectors which operate by enclosing the whole of the production conveyor belt, the product passes through the detector, which is tuned to detect small metal shards that may have become located in the food product. If the sensor is triggered the product is automatically rejected but in this instance there is no rework and indeed the product is usually inspected closely to discover the type of contamination and to ensure that this is eliminated. Metals detection is present on almost every production line. X-ray machines, although slightly less common, are used to check for nonmetallic contamination, e.g., glass, plastics, bone, and fibres, and also in some meat products as a quality control system to identify gristle.

1048

Part F

Industrial Automation

processing industry self-adhesive labelers are by far the more common, using preglued labels that are supplied on a reel of release paper or film. This method of application enables labels to be applied at medium/high speed to soft packages as well as rigid containers. This is very suited to the food sector.

60.3.4 Palletizing

Fig. 60.6 Combined check weight and metal detection sta-

tion

60.3.3 Labeling

Part F 60.3

Labels are used on every kind of product to brand, decorate or provide information, and in the food sector it is not uncommon that a label fulfils all three functions simultaneously (Fig. 60.7). Labeling is one of the final aspects of the production process and may be independent or integrated with other systems such as the checkweigher and inspection systems. There are two main types of labeling machine: wet glue and selfadhesive (pressure-sensitive) applicators. For the food

Fig. 60.7 Labeling stations

The pallet is the fundamental loading and transportation unit for most food operations. As such, automation of the warehousing and palletizing operations for food companies, as in most industry sectors, is potentially one of the most profitable areas. As with most industry sectors many features influence the selection of automation for palletizing, including line speeds, factory layout, space at the end of production lines, and of course cost, but in food operations there is also the advantage that, by the time the products reach the palletizing stage, the packaging has usually created a fairly repeatable form that is missing in many other upstream areas and this is therefore one of the easiest areas to automate. While it can be recognized that there are many features in common with other industry sectors, one recent trend that is particularly strongly driven in the food sector is the assembly of mixed product pallets, which reflected demand from retailers for custom pallet loads that suit the store rather than the shipper. The mixed load pallet is therefore emerging as one of the most ef-

Automation in Food Processing

Fig. 60.8 Robotic palletizing

tantly, the retailer. These software systems can be fully integrated with external systems, e.g., machine-vision systems and image processing or other sensors to detect the presence of the product and its position and orientation, and this information can be directly communicated to a manipulation system, which is typically robotic, to allow flexibility in programming and motion control. This comprehensive integration of all components into one platform facilitates efficient communication and guarantees reliable robot operation. These software packages typically integrate only with one robot manufacturer’s product line and it is therefore necessary to use combined hardware and software solutions. Integration suppliers can often integrate nonstandard units but this has a significant cost implication. To address the increasing need for and use of robots in the food industry a number of robot manufacturers have or are developing products specifically for these applications. However, to date very few commercial robots have been developed specifically for the food industry. Often, existing models have simply been upgraded for use in food production and this has created a negative impression among sections of the food industry. Examples of industrial robots that are currently used for primary packaging and assembly of foods include the ABB IRB 340 FlexPicker (probably the most common robot in high-speed pick-and-place applications and well suited to handling wrapped and baked products) and Bosch Sigpack Delta robots, the FANUC LR Mate 200iB food robot, Gerhard Schubert’s TLM-F4, and more recently the FANUC M-430iA/2F, which has a sleek profile with no food particle retention areas.

60.4 Raw Product Handling and Assembly While the use of robots and advanced automation for end-of-line operations such as case packing and palletizing is already well established, robotics/automation of primary handling and assembly of foods has so far been limited. However, since financial justification for the installation of an automation/robotic system is typically based on the reduction of labor costs and the bulk of manual labor in a food production line is generally concentrated in primary packaging and assembly operations, this is the area that requires the greatest concentration of effort. As already noted products handled by traditional automation are usually homogenous in terms of size,

shape, and weight and also tend to be rigid; however, some or all of these conditions do not prevail in food processing. Food is very often fragile and, unless extreme care is taken during handling, products can be damaged and in the worst case this can mean they have to be discarded. This means that the handling techniques used in traditional automation are generally not suited to the handling of raw food products and the mechanism of grasping the food product (rather than basic motion) is often the key to successful automation. Taylor [60.15] classified the gripping techniques for nonrigid materials into three separate classes defined by the mechanism of the grasp:

1049

Part F 60.4

ficient technologies available in the food supply chain process. To address these demands and opportunities and the use of multiple feeder lines and rapid pattern changes, the automation industry has focused on the development of software needed to pick the product and design the pallet, the hardware to recognize the product (sensors which are often visual based), hardware to manipulate the product (often robots), and the integration of the hardware–software solutions (Fig. 60.8). Within the robotic community there have been important developments in the software to optimize picking, placement, and overall construction of diverse pallets, with many robot manufacturers, software houses, and systems developers introducing dedicated software that will allow online pallet preparation to meet the demands of the manufacturer and, more impor-

60.4 Raw Product Handling and Assembly

1050

Part F

Industrial Automation

Part F 60.4

Mechanical techniques – the product is firmly clamped between two or more mechanical fingers and held due to the friction contact. To minimize the grip force the gripper jaws can be compliant or specifically shaped to the particular object. This can only be used where variation between products is relatively small. Intrusive grippers – pins are fed into the surface or body of the material to be lifted. The pins are precisely located so that when inserted the object becomes locked to the gripper. This technique is generally unsuitable for food products, as it would often cause unacceptable levels of damage. Surface attraction – adhesives or a vacuum are used to create a bonding force between the gripper and product. Vacuum grippers have been successfully used in the food industry and are well suited to objects with regular or flat surfaces, such as biscuits. However, not all food items can be handled with such grippers due to difficulties in achieving an airtight seal, bruising, and the inflow of particles that could lead to microbial growth unless equipment is sterilized regularly. As can be seen above designing mechanisms to grasp food products is not straightforward and the techniques used in other industries cannot be directly applied. Different types of food product present different challenges and as a result there are numerous examples of grippers that have been developed for use in the food industry which address these challenges.

60.4.1 Handling Products That Bruise There are many food products that are easy to bruise. These are typically fruits and vegetables, but other products can also develop unsightly marks if grasped too firmly. For this reason handling techniques that minimize forces and pressures must be developed. One example of a product that is particularly susceptible to bruising is the mushroom. Although not immediately obvious a bruise can appear on a mushroom as long as several days after being handled. This can mean that, while a product may appear acceptable when dispatched from a factory/farm, it can appear damaged by the time it reaches the retailer/customer. Mushroom harvesting is typically performed manually and, despite the delicate capabilities of the human hand, mushrooms do become bruised during manual harvesting. An automated system for the harvesting of mushrooms was produced by Reed et al. [60.16] with the aim of reducing labor but also reducing product damage. The design of the system paid par-

ticular attention to the delicacy of the mushroom contact. The mushroom harvesting process consists of four main stages: first the position of an individual viable mushroom is obtained, followed by picking and trimming of the mushroom before placing it in a container. The location of the mushroom is obtained from a vision system mounted vertically over the mushroom bed. Image-processing software identifies and numbers each mushroom and then determines how best to pick them. Mushrooms below a certain size threshold are disregarded and are left to be harvested another day. An isolated mushroom is easy to pick, but this is not typically the case and usually mushrooms touch or overlap. The control software must therefore determine the best way to extract each mushroom without disturbing those around it. This is achieved by bending the mushroom away from those that surround it before picking. The mushrooms are grasped using a vacuum cup mounted through a compliant link to a rack and pinion allowing the cup to be positioned on the surface of the mushroom. The cup is then twisted about the vertical axis to break the mushrooms base and allow it to be removed. A turret mechanism was also included, which allowed the most appropriately sized cup to be used for the particular mushroom being grasped. The contact between the vacuum cup and the mushroom is the source of potential produce bruising and so determining the optimum vacuum force is critical. Experiments revealed that the force of the vacuum on the mushroom produced a faint mark on the mushroom during grasping but this was not considered by the industry to be unacceptably severe. However, if slip occurred between the mushroom and vacuum cup during rotation this resulted in unacceptable shear damage on the mushroom’s surface. Once a mushroom has been removed from the ground it is placed in a fingered conveyor with the stalk pointing vertically downwards. A blade then removes the lower section of stalk which is discarded and the trimmed mushroom is placed in a plastic tray ready for dispatch. The mushrooms are not dropped as this would result in denting and bruising. The complete system was trialled at a commercial mushroom farm in The Netherlands and by the Horticultural Research International in the UK. The average picking speed of the system was nine mushrooms per minute and in both of these trials the amount of mushroom bruising and damage was found to be significantly lower than when manual picking was used.

Automation in Food Processing

60.4.2 Handling Fish and Meat

to handle and manipulate a broad range of meat types including both bone-in and boneless portions, fish, cheese, and sliced products. Meat is fed to the system on a conveyor where a vision system determines the position and orientation of the product to be handled. An ABB IRB 340 FlexPicker robot fitted with a novel endeffector developed by AEW Delford is then used to pick each product and transfer it to packaging or a further processing machine. The end-effector’s design is simple with a low number of parts, making it well suited to the needs of the food industry. The end-effector is essentially a highspeed parallel jawed gripper. Each jaw consists of a very thin plate which, when the gripper activates, is forced under the product as can be seen in Fig. 60.9a,b. The low profile of the jaws means they can be inserted under the product without damaging it. Although the lateral force applied to the product as the jaws are closed is relatively low it is still possible that this might dislodge the product slightly. In order to prevent this, a spring-loaded guide plate rests on the upper surface of the product being lifted whilst the jaws close. Fish pieces can be particularly difficult to handle as, due to their structure, they can crumble when handled, breaking into many pieces. Gjersted [60.19] developed a needle gripper for the picking and packing of pieces of fresh, cooked and uncooked fish. The gripper operates using a surface hooking principle [60.19] and uses numerous pins which enter the product simultaneously from opposite sides. The pins are angled slightly towards the center of the product a)

Chicken portion

Guide plate

b)

Jaw

Fig. 60.9a,b AEW Delford gripper raised (a) and lowered with jaw closed (b)

1051

Part F 60.4

While less susceptible to bruising than fruits and vegetables, meat and fish present their own grasping challenges. Due to the ease with which such products deform a traditional parallel jaw gripper is typically unable to grasp them with sufficient firmness. Similarly vacuum grippers have had only limited success grasping meats since the fleshy nature of meat means that unsightly peaks can be produced when a vacuum force is applied. Also moisture on the surface of the meat can be drawn into the vacuum system, causing blockages and contamination as well as reducing the moisture content of the product. For the above reasons a number of alternative approaches have been proposed to address the problem of handling meat. Khodabandehloo [60.17] proposed a gripper with similar functionality to the human hand which could use its fingers to grasp a product. A full dexterous hand would be unnecessarily complex and as yet no such system has been demonstrated in an industrial environment, however the principle still appeared promising and a multifingered gripper was developed [60.17], formed from a solid piece of flexible rubber. An internal cavity was created at the finger’s knuckle which could be pressurized by an external air supply. As the cavity was filled with air it expanded, causing the rear surface of the finger to elongate. Due to the location of the cavity the front surface of the finger remained unextended. As the finger was formed from one solid piece of rubber this difference in extension caused the knuckle to flex. A hand consisting of four such fingers was developed and tested at the University of Bristol, UK. It was positioned so that two fingers were located on each side of the piece of meat to be lifted. When activated, the fingers curled around the meat, creating a grasp. Due to the compliant nature of the fingers they did not create damage to the surface of the meat as there was no hard contact. Due to the low number of mechanical parts and lack of moving linkages the gripper was very well suited to the hygiene requirements of the food industry as the gripper could be washed or hosed down without risk of damage. Whilst proving effective at handling some cuts of meat the Bristol University gripper was unsuited to grasping steaks or thin slices of meat as they deform too much for the fingers to produce a secure grasp. An alternative approach is the Intelligent Portion Loading Robot produced by AEW Delford Systems Ltd. [60.18]. This system is robot based and is able

60.4 Raw Product Handling and Assembly

1052

Part F

Industrial Automation

and as a result when inserted they physically lock the fish firmly in place, which means it can be handled and accelerated rapidly without fear of being dropped. The only way that the product can be dropped whilst the pins are still inserted is if the product breaks apart, but this is unlikely to happen as, whilst the pins are in the product, they form an internal support structure which helps keep the product in one piece. The gripper was developed according to European Hygienic Engineering and Design Group (EHEDG) principles for hygienic design, meaning it meets with the stringent requirements of the food industry. The gripper has been tested successfully with both salmon and cod and demonstrates excellent holding capability with minimal impact on the product surface and the overall product quality. In fact the impact on product appearance and quality was judged to be less than when conventional human handling was used.

60.4.3 Handling Moist Food Products

Part F 60.4

Within the food manufacturing industry it is extremely common that the materials to be handled are moist. This can be a result of washing, cooking or cutting or indeed just due to the nature of the product. This moisture can often make traditional grippers ineffective and so a number of novel techniques have been developed. Sliced tomatoes and cucumbers used in a wide variety of products, e.g., salads and sandwiches, are typically washed and sliced in a secondary part of a factory using large-scale slicing machines capable of processing many kilos per minute. Once sliced the product is deposited into trays and delivered to production lines. The high water content of most vegetables and the nature of the cutting process means that slices have a high residual moisture on their surfaces and cannot be placed directly into the product, which would become soggy reducing customer appeal, although it has no significant hygiene issues. To reduce this sogginess and improve shelf life the sliced vegetable trays are left to drain, for at least 2 h, before being used. The effectiveness of this method is highly variable, with the upper layers of ingredients draining more thoroughly than those towards the middle or bottom of the tray. After draining, the trays are delivered to the assembly lines, where operators pick individual slices from the trays and place them in the assembled product, e.g., sandwiches. It is extremely difficult to do this without further damaging the slices and as a result it is not uncommon for the center of tomatoes to become detached. Furthermore the moisture causes the slices to stick to-

gether and the operators have to separate them, slowing the overall process. For this reason a production line working at 50 sandwiches per minute can typically have four operators just placing tomato slices and a similar number handling cucumber. Davis et al. [60.20] proposed an automated system for the handling of sliced tomato and cucumber based on a novel end-effector. The solution involves cutting slices on the actual assembly line for immediate use. A slice would only be cut when required and thus the need to pick an individual slice from a tray is removed. Once cut, each slice is grasped using a noncontact Bernoulli gripper and a robot places it as required (Fig. 60.10). A Bernoulli gripper operates using compressed air and a flat gripping face. Deflectors on the surface of the gripper direct the supplied air so that it radiates from the center of the gripper across the surface. When the gripa)

b)

Fig. 60.10 (a) Noncontact Bernoulli gripper. (b) Gripper handling tomato

Automation in Food Processing

60.4.4 Handling Sticky Products Many food products are sticky and, whilst there is usually no problem developing automated systems for grasping such objects, releasing them can often present a challenge. The glacé cherry is an example of one such product. When handled with a traditional two-jaw gripper the cherry is found to stick to one of the jaws when released [60.23]. This meant that the cherry could not be positioned accurately. Reed et al. [60.23] developed a unique gripper for the production of Bakewell tarts. These small cakes require a decorative cherry to be place at the center of each cake and therefore a method

of picking and reliably releasing a single cherry was developed. The gripper developed is a two-fingered parallel jaw mechanism as shown in Fig. 60.11a. As with a standard gripper the jaws are closed and an object is held by a frictional grasp. However, the unique feature of this gripper is that the contact surface of each jaw is covered in a polyester film. This film takes the form of a narrow tape which is wound onto spools (Fig. 60.11). When the gripper releases an object a length of tape is wound off the inner spools and onto the outer spools as shown in Fig. 60.11. To release an object the spools are rotated and the resultant motion of the polyester tape on each jaw causes the object being grasped to be transported downwards. At the tips of the jaws the tape doubles back on itself and this causes it to peel away from the object being held and therefore release it. The sharpness with which the tape doubles back on itself is vital. If insufficiently sharp it would be possible for the object to remain stuck to one of the tapes and be transported along the outside of the jaw. An appropriately tight turn ensures that the contact area between the tape and object is so small that the resulting adhesive force is not large enough to support the weight of the object. In addition to its ability to handle sticky objects this gripper can be used to position objects in confined spaces as the jaws of the gripper do not need to be opened during product release. This makes the gripper particularly well suited to placing objects into boxes. Reed et al. demonstrated how the gripper could be used to place petits fours and fondants into presentation boxes [60.23]. Another sticky product that has a reputation of being particularly difficult to handle is fresh sheets of lasagne. Clamping-type end-effectors cannot be used as a)

b)

Spools Jaws Polyester tape

Fig. 60.11a,b Parallel jaw gripper grasping (a) and releasing (b) a sticky object

1053

Part F 60.4

ping surface is brought close to an object to be grasped the gap through which the air travels becomes reduced. To maintain the volumetric air flow through the gripper this results in an increase in air velocity. The rapid flow of air between the object and gripper generates an attractive force in line with Bernoulli’s principle. It is this force which allows the object to be grasped. As well as lifting the products the gripper is also able to remove moisture from the object being handled using the air-knife principle where moisture is atomized by the air and blown off the surface. Another technique developed for lifting moist products is the cryogenic gripper. Stephan and Seliger [60.21] developed a freezing gripper for use in the textile industry which created a bond between the gripper and products by freezing moisture on the surface of the product using a Peltier element. The reported grip forces were as high as 3.5 N/cm2 after 3 s of freezing with release after 1 s. Although this gripper was developed for use in the textile industry the technique appeared to have potential in the food industry. To assess this, the Food Refrigeration and Process Engineering Research Center at the University of Bristol, UK [60.22] carried out tests on cryogenic grippers for the food industry. They were particularly interested to determine whether such techniques could be used to lift sheet-like food materials such as lasagne, sliced fish, cheese, and ham. Similar results to the work of Stephan et al. [60.21] regarding grasp times were obtained, however, unforced release times were found to be poor and mechanical release methods were found to produce unacceptable damage to the products’ surface. Nonetheless it is suggested that with further development work a viable gripper can probably be developed that has the potential to be very useful in some sectors of the food industry, e.g., frozen foods.

60.4 Raw Product Handling and Assembly

1054

Part F

Industrial Automation

a)

b)

1.

Roller

Blade

1.

2.

3.

4.

2.

Pasta

Conveyor 3.

4. ω vr r

vc

Fig. 60.12 (a) Lasagne lifting. (b) Motions in the automated handling of a lasagne pasta sheet

Part F 60.5

they would damage the surface of the pasta, and for similar reasons vacuum cups are also unsuitable. Moreno-Masey et al. investigated the possibility of automating the manufacture of lasagne ready (microwave) meals and developed a method based on the rolling action common in making pastry [60.24]. By rolling a sheet of lasagne onto a roller and then gradually unrolling it above a product it was shown that the sheet could be positioned accurately and with little damage. The conceptual design with the envisaged sequence of operations is shown in Fig. 60.12a, with the automation system shown in Fig. 60.12b. The gripper is initially positioned so that the spatula arm, needed to lift the front edge of the pasta, is located close to the pasta sheet. The gripper then moves horizontally a short distance towards the pasta, forcing

the spatula under the leading edge of the sheet. The gripper continues moving in the horizontal direction and simultaneously rotates the roller. By coordinating the two motions the pasta is rolled onto the gripper in a controlled manner. To release the pasta and deposit it into a tray the roller is simply rotated in the opposite direction. The weight of the sheet causes the lasagne to peel free of the roller in an equally controlled manner. The machine constructed based on this design is shown in Fig. 60.12b. The motion of all actuators are pneumatically powered with PLC control over the joints using input sensing on the position of the lasagne sheets. The machine is sufficiently simple and low cost that nine identical machines could be used to produce ready meals at a typical production rate of 60 per minute.

60.5 Decorative Product Finishing Many food products include components or features which add nothing to the taste or quality of the product but are purely decorative. Cake manufacture is an example of a production process where the product’s appearance is as (perhaps even more) important as its flavor. There are many decorative features used, ranging from discrete components which are place on the surface of the cake to intricate patterns or text produced using icing.

Park Cakes in the UK is a large bakery producing cakes for special occasions which often include hand-written messages on their upper surface such as “Happy Birthday” or “Congratulations”. These messages are produced by skilled staff using icing-filled pastry bags. The operators apply pressure to the bags in order to produce a constant flow of icing with which to write the messages. In order to be able to undertake this task to the required high standard requires both ex-

Automation in Food Processing

perience and training and this increases the overall staff costs. At certain times of the year (Christmas, Valentines’ day, and Easter) the demand for cakes increases dramatically and can mean production rates at the Park Cakes factory can increase by as much as 300%. This presents a significant problem as additional labor is needed but finding skilled staff is difficult and additional training is often required. This is costly and requires detailed planning to ensure labor levels are available at just the right time. A robot-based solution was proposed and developed [60.25] in which the messages were initially produced in a computer-aided design (CAD) package which converted the written text into a series of coordinate positions used to programme the robot. A four-degree-of-freedom SCARA (selective compliance assembly robot arm) design was sufficient to achieve the icing task. In addition to the basic motions involved in writing, the appearance of the icing is also dependent on the relative distance between the depos-

60.6 Assembly of Food Products – Making a Sandwich

1055

itor and the surface of the cake. To ensure knowledge and maintenance of correct separation a laser range finder was used to measure the distance to the cake’s surface and allowed the robot’s height to be adjusted to correspond to the individual profile of each cake. The robot carries the icing head, which was capable of producing a steady stream of chocolate icing. It was essential that the icing depositor could be switched off with a clean cutoff (i. e., when stopped the icing flow would instantly cease without any stringing). This was achieved using a stainless-steel 2200-245-Series KISS Tip Seal Valve. Whilst the robot system operated as intended there were some initial problems with the depositing system caused by the flow characteristics of the icing, and input from a chocolate technologist allowed the icing to be produced more consistently resolving the problems. The quality of the final products exceeded Park Cakes’ expectations and the system removed some of the pressures on the company to find large numbers of skilled laborers during periods of high demand.

60.6 Assembly of Food Products – Making a Sandwich cluded: two slices of bread, butter, mayonnaise, chicken (diced), lettuce (shreaded), four slices of tomato, and four slices of cucumber. This is considered by the industry to represent the most difficult sandwich handling scenario and does not benefit from the binding effects found in the pastes used on the Lieder line. The automated system consisted of a continuously running corded conveyor which transports the bread slices and sandwich assemblies between workstations. This conveyor is separated into two lanes with each lane handling half of the sandwich (top and bottom slice). A piece of bread is placed in each lane and as they progress along the line additional ingredients are added to the bottom (supporting) slice. After all the ingredients are added the second slice must be lifted, inverted, and then placed on the top of the first slice. Studies of current production lines identified a number of individual processes needed to construct the sandwich: 1. Ingredient placement – individual ingredients are placed onto a single slice of bread. 2. Topping – a second slice of bread is placed on top of the first. 3. Cutting – the sandwich is positioned and cut once diagonally to form two triangular sandwiches.

Part F 60.6

The instances already studied have shown uses of automation in applications involving containerized food products and individual or discrete food product handling. However, one of the major challenges in the automation of food production is the assembly of food products from a large number of discrete components. The assembly of a sandwich is one such problem. Until recently sandwich production has been performed almost entirely manually with lines employing up to 80 people. The little automation that is used typically takes the form of slicers or depositors. The high level of labor means that there is a real incentive to look to automation. However, the only successful example of an automated sandwich line is that developed for Uniq Plc. by Lieder [60.26]. This system uses industrial robots and an indexing system to automate the entire process from buttering to packing but operates most successfully for products with paste fillings. Due to the use of robots there are significant safety issues and as such the line must be enclosed by guarding. This means it cannot operate alongside humans and also leads to a large machine footprint. An alternative approach was described by Davis et al. based on simpler dedicated yet more flexible concepts [60.27, 28]. In this system the ingredients in-

1056

Part F

Industrial Automation

a)

Into skillet Ingredient placement

Cubed chicken Butter

Tomato and cucumber

Cutting Lettuce

Clapping

Slice A Slice B Topping

b)

Part F 60.7

Fig. 60.13a,b Chicken salad sandwich production processes

4. Clapping – one triangular sandwich is placed on top of another prior to top packing. 5. Packing – the two sandwiches are placed into a skillet.

Figure 60.13a shows these five individual operations, while Fig. 60.13b shows the developed system. An industry-standard programmable logic controller (PLC) is used to control the basic functions of the process. All sensors, variable-speed drives, stepper drives, the vision system and pneumatic control valves are connected to and controlled by this PLC. A touchscreen human–machine interface (HMI) is used to operate the machine and to give the operator feedback about the operating state. The HMI also has an engineer mode that allows qualified personnel to change conveyor speeds and perform basic sequencing checks. Stepper drives are used where controlled movement of the sandwiches is required. A stepper drive is used at the optimum cut station to precisely align the sandwich before the sandwich is cut. The clapping station uses three stepper drives to place the two halves of the cut sandwich precisely on top of each other prior to being packed. The packing station uses a stepper drive to rotate the assembled sandwich through precisely 90◦ before being dropped into the awaiting skillet. All the stepper drives are controlled by the PLC and all the sensors are connected to the PLC. To establish the validity of each principle a series of trials over a 10 week period on 250 000 sandwiches were conducted within a sandwich factory. The aim of the trails was to analyze each process critically and establish whether these principles worked with real products and in a true factory environment. For each of the individual results there were very satisfactory outcomes with high levels of acceptance and reliability.

60.7 Discrete Event Simulation Example With automation projects being expensive, and the cost of lost production during the installation of equipment being high, it is vital that any potential problems with an automation project be identified as early as possible. Discrete event simulation is a software tool which allows machines, production lines or even entire factories to be produced, tested, and assessed on a computer before any real equipment is purchased or installed. The simulations provide accurate representations of production processes and product flow. This means an appropriately constructed simulation can be used to identify bottlenecks in existing or planned layouts

(Fig. 60.14). This is particularly useful when installing a discrete piece of automation onto a line as it allows the effect of the machine on the remainder of the line to be assessed. Simulation can also be used to determine if labor is being used efficiently. The example described below is of a sandwich production line. From video footage of the line it was determined how long each operator took to perform their particular task. The simulation was then created and sandwiches were input onto the line at the appropriate rate. Analysis was then performed to determine what percentage of each operator’s time was spent idle. It was shown that each of the three operators tasked

Automation in Food Processing

60.8 Totally Integrated Automation

1057

Fig. 60.14 Simulation of sandwich production line

with placing lettuce on the sandwiches was only busy for 60% of their time. This meant that either one of the operators could be removed or the line speed could be increased. The simulation then showed that increasing the line speed produced problems elsewhere as other operators no longer had enough time to perform their tasks. This is just one example of how simulation can be useful. More complex simulations allow the introduction of variation of task time, variability of product flow, and changes in shift patterns, to mention just three factors.

60.8 Totally Integrated Automation and the techniques used in the food sector vary little from those in other sectors. Although the levels of uptake do vary across the industry, in general, at the managerial and administrative level most food processing companies do make extensive use of at least some aspect of control systems. This is particularly important with regard to production scheduling, logistics, and distribution as in the food sector the concept of just in time is taken to perhaps its greatest extreme. In food product manufacture it is not

ERP Enterprise resource planning Business modeling factory

MES Manufacturing execution system

Enterprise asset management Plant maintenance management Dispatch Trace and tracking HMI

Automation Networks CNC, PLC, DCS Sensors, actuators

Process automation

Factory automation

Fig. 60.15 A totally integrated approach to factory and production automation

Machine automation

Part F 60.8

While production hardware forms the most obvious feature on the food factory landscape, it is only part of a larger automation scheme which can ultimately deliver a totally integrated form of automation encompassing management and strategic functions (logistics, sales, orders, dispatch, traceability, energy, maintenance etc.), production functions including SCADA, networking, HMI, distributed process control (DPC), and (at the machine level) PLC, sensors, actuators etc. A typical format for this type of layout is shown in Fig. 60.15,

1058

Part F

Industrial Automation

unusual that orders are placed at midnight for dispatch in under 12 h. These orders can amount to tens or even hundreds of thousands of units. While the manufacturers do try to predict demand it is not unknown for the customer (supermarkets) to vary the orders by 50% or more. Indeed it is not unknown for expected orders to be canceled with only hours of warning. In these instances efficient and effective process control and management is essential. In terms of process automation there is use of SCADA, DCS, PLC integrated networks, etc. In areas such as batch production, for the beverage sector

etc., the use of this technology is common, but in the broader food sector dealing with discrete components the use of process automation is more patchy. Certainly most of the hardware which is developed for the food sector now comes with PLC, DCS, and networking capability and can be integrated into a full SCADA system, however, a fully integrated and networked operation is certainly not the normal practice, although it does represent best practice. There are several reasons for reduced uptake even when automation machinery has been installed that has advanced control functionality.

60.9 Conclusions The food processing sector is the largest manufacturing industry in many countries, but to date it has been one of the least effective at using automation, and particularly following the newer automation trends. This has been driven by many factors that are both commercial and technical and it is certainly true that in many instances food products pose questions that are not present when handling traditional materials. Yet those within the in-

dustry are acutely aware of the pressure to reduce food costs while maintaining quality and it is also true that when there is a genuine desire from the food processing organization there are many ways in which automation can be used to enhance the performance of the business. It is particularly hoped that SMEs that have least experience (and confidence) with advanced automation will find the necessary guidance to reap the benefits.

Part F 60

60.10 Further Reading • • •

R.G. Moreira: Automatic Control for Food Processing Systems (Springer, Berlin, Heidelberg 2000) L.M. Cheng: Food Machinery – For the Production of Cereal Foods, Snack Foods And Confectionery (Woodhead, Cambridge 1992) M. Kutz: Handbook of Farm, Dairy, and Food Machinery (William Andrew, Norwich 2007)

• •

G.D. Saravacos, A.E. Kostaropoulos (Eds.): Handbook of Food Processing Equipment (Kluwer Academic Plenum, Norwell 2003) B. Siciliano, O. Khatib (Eds.): Springer Handbook of Robotics (Springer, Berlin, Heidelberg 2008)

References 60.1

60.2

60.3

60.4

CIAA: Data and Trends of the European Food and Drink Industry (Confederation of the Food and Drink Industry of the EU, Brussels 2006) P.Y. Chua, T. Ilschner, D.G. Caldwell: Robotic manipulation of food products – a review, Ind. Robot. 30(4), 345–354 (2003) BRA/DTI Technology: Market Review of the Robotics Sector, Final Report, 5th March (BRA/DTI, London 1997) J. Taylor: HACCP Made Easy (Practical HACCP, Manchester 2006)

60.5 60.6

60.7

60.8

J.M. Farber: Safe Handling of Foods (CRC, Boca Raton 2000) ISO: ISO 22000:2005, Food safety management systems – Requirements for any organization in the food chain (ISO, Geneva 2007) Materials of Construction Subgroup of the EHEDG: Materials of construction for equipment in contact with food, Trends Food Sci. Technol. 18(S1), S40–S50 (2007) H.L.M. Lelieveld, M.A. Mostert, J. Holah, B. White (Eds.): Hygiene in Food Processing (Woodhead, Cambridge 2003)

Automation in Food Processing

60.9

60.10

60.11

60.12

60.13

60.14

60.15

60.16

60.18 60.19

60.20

60.21

60.22

60.23 60.24

60.25

60.26 60.27

60.28

IPL: Marel Food Systems (2008), www.marel.com/company/brands/AEW-Delford/ T.B. Gjerstad, T.K. Lien: New gripper technology for flexible and efficient fish processing, Proc. Food Factory of the Future 3 (Gothenburg 2006) S. Davis, J.O. Gray, D.G. Caldwell: An end effector based on the Bernoulli principle for handling sliced fruit and vegetables, Int. J. Robot. Comput. Integr. Manuf. 24(2), 249–257 (2008) F. Stephan, G. Seliger: Handling with ice – the cryo-gripper, a new approach, Assem. Autom. 19(4), 332–337 (1999) FRPERC: Food Refrigeration and Process Engineering Research Centre, Univ. Bristol, UK (2008) http://www.frperc.bris.ac.uk/ C. Connolly: Gripping developments at Silsoe, Ind. Robot J. 30(4), 322–325 (2003) R.J. Moreno-Masey, D.G. Caldwell: Design of an automated handling system for limp, flexible sheet lasagna pasta, IEEE Int. Conf. Robot. Autom. ICRA (Rome 2007) pp. 1226–1231 B. Rooks: The man-machine interface get friendlier at Manufacturing Week, Ind. Robot. J. 25(2), 112–116 (1998) Robot Food Technologies Germany GmbH. Wietze (2008) http://www.robotlieder.de/ S. Davis, M.G. King, J.W. Casson, J.O. Gray, D.G. Caldwell: Automated handling, assembly and packaging of highly variable compliant food products – Making a sandwich, IEEE Int. Conf. Robot. Autom. ICRA (Rome 2007) pp. 1213–1218 S. Davis, M.G. King, J.W. Casson, J.O. Gray, D.G. Caldwell: End effector development for automated sandwich assembly, Meas. Control. 40(7), 202–206 (2007)

1059

Part F 60

60.17

C. Honess: Importance of surface finish in the design of stainless steel. In: Stainless Steel Ind. (British Stainless Steel Association, Sheffield 2006) pp. 14–15 FDA: Indirect food additives: Polymers, Code of Federal Regulations, CFR Title 21, part 177 (FDA, Rockville 2007), Available online from http://www.cfsan.fda.gov/˜lrd/FCF177.html G. Midelet, B. Carpentier: Transfer of microorganisms, including listeria monocytogenes, from various materials to beef, Appl. Environ. Microbiol. 68(8), 4015–4024 (2002) FDA:. Indirect food additives: Adhesives and components of coatings. Code of Federal Regulations, CFR Title 21, part 175 (FDA, Rockville 2007), Available online from http://www.cfsan.fda.gov/˜lrd/FCF175.html B.M.Y. Nouri, F. Al-Bender, J. Swevers, P. Vanherck, H. Van Brussel: Modelling a pneumatic servo positioning system with friction, Proc. Am. Control Conf., Vol. 2 (2000) pp. 1067–1071 R.B. van Varseveld, G.M. Bone: Accurate position control of a pneumatic actuator using on/off solenoid valves, Proc. IEEE Int. Conf. Robotics and Automation ICRA, Vol. 2 (1997) pp. 1196–1201 P.M. Taylor: Presentation and gripping of flexible materials, Assem. Autom. 15(3), 33–35 (1995) J.N. Reed, S.J. Miles, J. Butler, M. Baldwin, R. Noble: Automatic mushroom harvester development, J. Agric. Eng. Res. 78(1), 15–23 (2001) K. Khodabandehloo: Robotics in food manufacturing. In: Advanced Robotics and Intelligent Machines, ed. by J.O. Gray, D.G. Caldwell (IEE, Stevenage 1996) pp. 220–223

References

“This page left intentionally blank.”

1061

Part G

Infrastruc Part G Infrastructure and Service Automation

61 Construction Automation Daniel Castro-Lacouture, Atlanta, USA

69 Space and Exploration Automation Edward Tunstel, Laurel, USA

62 The Smart Building Timothy I. Salsbury, Milwaukee, USA

70 Cleaning Automation Norbert Elkmann, Magdeburg, Germany Justus Hortig, Magdeburg, Germany Markus Fritzsche, Magdeburg, Germany

63 Automation in Agriculture Yael Edan, Beer Sheva, Israel Shufeng Han, Urbandale, USA Naoshi Kondo, Kyoto, Japan 64 Control System for Automated Feed Plant Nick A. Ivanescu, Bucharest, Romania 65 Securing Electrical Power System Operation Petr Horacek, Prague, Czech Republic

71 Automating Information and Technology Services Parasuram Balasubramanian, Bangalore, India 72 Library Automation Michael Kaplan, Newton, USA

66 Vehicle and Road Automation Yuko J. Nakanishi, New York, USA

73 Automating Serious Games Gyula Vastag, Budapest, Hungary Moshe Yerushalmy, Petach Tikva, Israel

67 Air Transportation System Automation Satish C. Mohleji, McLean, USA Dean F. Lamiano, McLean, USA Sebastian V. Massimini, McLean, USA

74 Automation in Sports and Entertainment Peter Kopacek, Vienna, Austria

68 Flight Deck Automation Steven J. Landry, West Lafayette, USA

1062

Infrastructure and Service Automation. Part G Without automation, certain infrastructure and services could not even be imagined, as in space exploration and secure electric power distribution. In others, automation is essential in improving them so fundamentally, that their benefit influences tremendous social and economic transformation and even revolution, as with transportation, agriculture and entertainment. Chapters in this part explain how automation is designed, selected, integrated, justified and applied, its challenges and emerging trends in those areas and in the construction of structures, roads and bridges; of smart buildings, smart roads and intelligent vehicles; cleaning of surfaces, tunnels and sewers; land, air, and space transportation; information, knowledge, learning, training, and library services; and in sports and entertainment. With the enormous increase in the importance of the service sector in the global economy, this infrastructure and service automation part clarifies not only how it has evolved and is being enabled, but also how automation will influence future growth and further innovations in these domains.

1063

Daniel Castro-Lacouture

The construction industry is labor intensive, project based, and slow to adopt emerging technologies. Combined, these factors make the construction industry not only one of the most dangerous industries worldwide, but also prone to low productivity and cost overruns due to shortages of skilled labor, unexpected site conditions, design changes, communication problems, constructability challenges, and unsuitability of construction means and techniques. Construction automation emerged to overcome these issues, since it has the potential to capitalize on increasing quality expectations from customers, tighter safety regulations, greater attention to computerized project control, and technological breakthroughs led by equipment manufacturers. Today, many construction operations have incorporated automated equipment, means, and methods into their regular practices. The Introduction to this chapter provides an overview of construction automation, highlighting the contribution from robotics. Several motivations for automating construction operations are discussed in Sect. 61.1, and a historical background is included in Sect. 61.2. A description of automation in horizontal construction is included in Sect. 61.3, followed by an overview of building construction automation in Sect. 61.4. Some techniques and guidelines for construction management automation are discussed in Sect. 61.5, which also presents several emerging trends. Section 61.6 shows some typical application examples in today’s construc-

Construction automation has been continuously redefined throughout the past two decades. In 1988, construction automation was defined as “the work to increase the contribution of machines or tools while decreasing the human input” [61.1]. Another defini-

61.1 Motivations for Automating Construction Operations . 1064 61.2 Background ......................................... 1065 61.3 Horizontal Construction Automation....... 1066 61.4 Building Construction Automation ......... 1068 61.5 Techniques and Guidelines for Construction Management Automation.......................................... 1070 61.5.1 Planning and Scheduling Automation ................................. 1070 61.5.2 Construction Cost Management Automation ................................. 1071 61.5.3 Construction Performance Management Automation.............. 1071 61.5.4 Design–Construction Coordination Automation ................................. 1073 61.6 Application Examples ............................ 1073 61.6.1 Grade Control System for Dozers ..... 1073 61.6.2 Planning and Scheduling Automation ................................. 1074 61.6.3 Construction Cost Estimating Automation ................................. 1074 61.6.4 Construction Progress Monitoring Automation ................................. 1075 61.7 Conclusions and Challenges ................... 1076 References .................................................. 1076

tion environment. Finally, Sect. 61.7 briefly draws conclusions and points out challenges for the adoption of construction automation.

tion states that it is “the technology concerned with the application of electronic, mechanical and computerbased systems to operate and control construction production” [61.2]. Construction automation was further characterized as [61.3]:

Part G 61

Construction 61. Construction Automation

1064

Part G

Infrastructure and Service Automation

Part G 61.1

the work using construction techniques including equipment to operate and control construction production in order to reduce labor, reduce duration, increase productivity, improve the working environment of labor and decrease the injury of labor during construction process. From a systemic perspective, construction automation is the technology-driven method of streamlining construction processes with the intention of improving safety, productivity, constructability, scheduling or control, while providing project stakeholders with a tool for prompt and accurate decision making. This method must not be limited to replicating skilled labor or conventional equipment performance. The latter is the purpose of single-task robots, which have been an important component of construction automation. In the year 2000, robotics applications in the construction industry completed 20 years of research, exploration, and prototyping, as documented in the first book on robotics in civil engineering [61.4]. These applications made important contributions to replicating single tasks, which could be completed faster and safer, since

no laborers were operating equipment. However, initial and operating costs have been a problem for the massive deployment of construction robots [61.5, 6]. Later, the distinction between single-task robots and construction automation became more evident. Singletask robots perform a specific job, whereas construction automation uses principles of industrial automation to streamline repetitive tasks, such as just-in-time delivery systems, coded components or computerized information management systems [61.7]. Automation has been associated with repetitive processes, while robotics has targeted single tasks or jobs, imitating skilled labor. Nevertheless, construction processes may not be repetitive as a whole, due to the planning, design, and assembly requirements that must be addressed prior to initiating construction. In addition, site layout and logistics constraints may pose another obstacle so that a theoretical repetitive process must be decomposed into simpler tasks. These simpler tasks may be treated as repetitive in nature. Some examples of repetitive tasks are digging a trench, placing a pipe, backfilling, placing masonry tiles, hauling topsoil, etc.

61.1 Motivations for Automating Construction Operations Based on a market research questionnaire conducted in 1998 to construction industry respondents from 24 countries, the strongest reasons for robotic construction automation were: productivity improvement, quality and reliability, safety, enhancement of working conditions, savings in labor costs, standardization of components, life cycle cost savings, and simplification of the workforce [61.8]. The project-based nature of the construction industry implies the periodic mobilization of construction equipment, materials, supplies, personnel, and temporary facilities at the start of every construction project. Recent hires, especially field laborers, may not be familiar with the construction practices adopted by the firm on a particular project, making it difficult to engage them in technologically advanced processes from the beginning of the project. Shortages of skilled labor, due to economic fluctuations, immigration policies, or geographic considerations, make the adaptation to project-based means and techniques even more challenging. Therefore, the possibility of automating construction processes would constitute a great opportunity for overcoming the transition to project-based demands. Diffi-

culties in the delivery of supplies and the assembly of materials on site have been alleviated by the adoption of off-site assemblies, manufacturing automation principles, and procurement of premanufactured components. Another motivation for automating construction tasks is safety in the workplace. Research has found that the causes of accidents can be attributed to factors such as human error, unsafe behavior, and the interaction of humans with materials, tools, and environmental factors [61.9]. Some of the incidents leading to construction injuries and fatalities can be attributed to collisions between workers and equipment, or from workers falling from roofs, scaffolds or trench edges [61.10]. In the USA in 2006, there were 1226 fatalities associated with the construction industry. This accounts for almost 24% of all fatalities in the private sector [61.11]. However, the construction industry accounts for only 5% of the US workforce [61.12]. This high proportion of construction injuries and fatalities may indicate that the industry needs new approaches in order to improve safety environments for workers on construction sites. Some efforts have focused on using machines to complete repetitive tasks that were

Construction Automation

and contributes a major portion to the gross domestic product of both developed and developing countries [61.15, 16]. However, when compared with manufacturing, construction is rather slow in terms of technological progress [61.17]. There are also differences associated with purchasing conditions, risk, market environment, sales network, product uniqueness, and project format [61.18]. Construction is often considered an antiquated industry. There have not been dramatic changes in basic construction methods in the last 40 years. The modest attention to research and development by both the public and private construction sectors has undermined possible breakthroughs in construction automation that may impact the overall productivity of the industry. Furthermore, the assumed endless availability of workers and the focus on cost reduction and short-term efficiency have continued to limit the rationale for automation efforts in construction [61.19].

61.2 Background The historical development of construction automation has been marked by equipment inventions aimed at performing specific tasks originally done by workers, and by ground-breaking methodologies intended for improving the systematic behavior of resources in a construction project setting. Table 61.1 shows the historical development of construction automation, from the early stages of equipment inventions to the latest trends in automated project control and decision support systems. Since construction has been mostly an adopter of innovation from other fields rather than a source of innovation, every development indicated in the table is shown in the period where it had a dramatic impact on construction means, management, and methods, and not necessarily when it was invented. Table 61.1 includes chronological developments related to technologies, means, and methods that have had a dramatic impact on the way construction operations are performed, managed, and conceived, allowing them to be partially or fully automated. The discipline of construction management studies

the practice of the managerial, technological, and business-oriented characteristics of the construction industry, whereas construction engineering analyzes design, operational, and constructability aspects. This differentiation has been reflected in the way several automation efforts have been focused throughout the last few decades. These efforts and periods have been catalogued in different manners: based on the taxonomy of field operations [61.3, 20, 21]; based on geographical development [61.6, 7]; based on maturity [61.22]; and whether technologies are considered hard or soft [61.23]. This chapter describes automation efforts from the perspective of their purpose in the construction domain, that is, whether the automation effort has been focused primarily on the construction of highway or heavy structures, on buildings, or on computer-supported integrated technologies that facilitate construction management decision making, which can be applied to the design, procurement, assembly, construction, maintenance, and management of any type of facility.

1065

Part G 61.2

once performed by workers. This practice has removed workers from hazardous construction environments, but those workers that need to remain in place are still vulnerable to accidents. Automation efforts may further reduce the possibility of accidents or near misses by creating a sensor-based network that tracks the position of workers and equipment, thereby alerting the worker and supervisor when a hazardous condition arises. Productivity improvement constitutes another reason for automating construction operations. This improvement is critical since traditionally the construction industry has been one of the worst industries with regard to annual increase in productivity [61.13]. This concern, combined with ever-increasing costs, high accident rates, late completions, and poor quality, has been the subject of dedicated studies on construction productivity improvement [61.14]. Construction is one of the largest product-based industries,

61.2 Background

1066

Part G

Infrastructure and Service Automation

Part G 61.3

Table 61.1 Historical development of construction automation

Period

Development

1100s 1400s 1500s 1800s 1900s 1910s 1920s 1930s 1950s 1960s 1970s 1980s

Pulleys, levers Cranes Pile driver Elevators, steam shovels, internal combustion engine, power tools, reinforced concrete Slip-form construction Gantt charts, work breakdown structures (WBS) Dozers, engineering vehicles Prefabrication, hydraulic power, concrete pumps Project evaluation and review technique (PERT), computers Time-lapse studies, critical path method (CPM) Robotics, computer-aided design (CAD), discrete-event simulation 3-D CAD, 4-D CAD, massification of personal computers, spreadsheets, relational databases, geographic information systems (GIS), large-scale manipulators Internet, intranets, extranets, personal digital assistants (PDAs), global positioning systems (GPS), barcodes, radiofrequency identification systems (RFID), wireless communications, remote sensing, precision laser radars (LADARS), enterprise resource planning (ERP), object-oriented programming (OOP), concurrent engineering, industry foundation classes (IFC), building information models (BIM), lean construction (LC) Web-based project management, e-Work, parametric modeling, Wi-Fi, ultra wide band (UWB) for tracking and positioning, machine vision, mixed augmented reality, nanotechnology

1990s

2000s

61.3 Horizontal Construction Automation Horizontal construction has been prone to automation due to the repetitive tasks, intensive labor, and equipment involved in the operation. This type of construction comprises linear projects, such as road construction, paving, drilling, trench excavation, and pipe laying. One of the first attempts to fully automate the paving process was the Road Robot [61.24]. The aim of this project was to develop a self-navigating, self-steering asphalt paver that would allow road engineers to improve the quality of pavements, while also being more environmentally friendly. The operation of the Road Robot was divided among four subsystems: asphalt materials logistics, traveling mechanism, road surface geometry, and screeding. Although the Road Robot successfully demonstrated the capabilities and advantages of a fully automated asphalt paver, further development appears to have been halted.

The computer-integrated road paving (CIRPAV) prototype was another attempt to automate paving operations [61.25]. The primary functions of the CIRPAV system were to assist the operator in maintaining the paver on its correct trajectory at the correct speed, to automatically adjust the position and cross-slope of the screed, and to record actual work performed by the paver and transmit performance data to a remote ground station, in order to maintain global quality control at the site level. The CIRPAV system consists of three main subsystems: the ground subsystem, the onboard subsystem, and the positioning subsystem. After several trials, the following improvements were achieved, in contrast with the conventional asphalt paving process: the costs of establishing and maintaining references for profile control and equipment operations were reduced from 10% of the total cost of the work to below 5%; the fluctuation of the

Construction Automation

b)

Fig. 61.1a,b Slip-form pavers: (a) screeding process, (b) idle workers during operation [61.26]

layer thickness was decreased, with estimated savings of materials of about 5% of the total cost of the work; and the quality of the final pavement was improved. The CIRPAV prototype was able to place asphalt within ±5 cm in both transversal and longitua)

b)

dinal directions, and within ±0.5 mm for the height component. The appearance of slip-form pavers semi-automated concrete paving operations. Figure 61.1a shows an automated concrete screeding process. However, these operations still require several laborers who remain idle most of the process time, as seen in Fig. 61.1b. Furthermore, slip-form machines still depend on visual inspection and manual samples to perform quality control of the concrete mix. In recent years, a prototype design of a fully autonomous robot for concrete paving was developed [61.30]. The Robopaver prototype is a battery-operated robot consisting of several different operations: placing prefabricated steel reinforcement bar cages, placing and distributing concrete, vibrating, screeding, final finishing, and curing. Results from the simulation of prototypical tests yielded productivity improvements for Robopaver of 20% over the traditional slip-form paver, while foreman utilization achieved 99% with no operators involved, as opposed to the traditional operation with 46% foreman utilization and six laborers [61.31]. The construction work zone for Robopaver was less prone to accidents involving construction workers, while being more productive. Trench excavation and laying buried pipes are among the most dangerous tasks in the construction industry. While heavy construction equipment such as cranes, loaders or backhoe excavators are used to dig, hoist, and lower pieces of pipe into the trench, workers guide the operation from inside the trench to perform final alignment and jointing. Excavating operations, such as trenching, require precise control. c)

Two controllable struts align pipe

Laser target above laser point

Fig. 61.2a–c Construction excavation automation: (a) robotic excavator [61.27], (b) Lancaster University Computerized Intelligent Excavator (LUCIE) [61.28], (c) PipeMan [61.29]

1067

Part G 61.3

a)

61.3 Horizontal Construction Automation

1068

Part G

Infrastructure and Service Automation

Part G 61.4

Previous experiments with robotic excavation have implemented a conventional industrial robot fitted with a bucket as the end-effector [61.32, 33]. More recently, a prototype Komatsu PC05-7 hydraulic mini-excavator was extensively modified to operate as an autonomous robotic excavator [61.27]. Figure 61.2a,b shows modified robotic excavators during trench-forming tasks. Research in the telerobotic operation of hoisting and placing pipes on trenches has shown promising results for improving safety. Telerobotic systems are mechanical devices that combine human and machine intelligence to perform tasks remotely with the assistance of various sensors, computers, man–machine

interface devices, and electronic controls. Building upon a previous-generation pipe manipulator dubbed PipeMan, further improvements were made by adding a laser and video system in order for the operator to control the entire device remotely, becoming a rugged but simple man–machine interface for motion control and control feedback, as shown in Fig. 61.2c. Pipe installation tasks could be initiated and observed by the operator with the help of wireless fidelity (Wi-Fi) interfaces to the electrohydraulic valves mounted on the manipulator, as well as the video images transmitted wireless to the flat screen mounted to the side of the cabin window [61.29].

61.4 Building Construction Automation In the 1990s, despite numerous attempts to develop highly automated machines and robotics for construction field operations in the previous decade, few practical applications could be found on construction sites [61.21]. In Japan, however, pushed by building corporations and manufacturers, the largest construction firms of the time developed robots for building construction. Among these firms (e.g., Takenaka, Shimizu, Taisei, Kajima, Obayashi, and Kumagai-Gumi), a variety of single-task robots were manufactured for practical construction applications. These applications mainly consisted of concrete floor finishing, exterior wall spray painting, ceiling board installation, and fire proofing. The goals of the deployment of these single-task robots were mostly the improvement of productivity, safety, and quality. a)

b)

Japanese contractors also developed automated building systems, which consisted of on-site construction factories that used ideas already tested in the manufacturing and automobile industries, such as justin-time, material tracking or streamlining repetitive operations [61.7]. The WASeda construction robot (WASCOR) project entailed a building system carried out by assembling factory-made interior units installed with frames, boards, papers, and fixtures, and using construction robots [61.37]. The push-up method consisted of assembling the roof floor first, lifting it up with its supporting columns using hydraulic jacks, thereby serving as the working platform for the construction of the lower floors. Every time a lower floor was completed, the roof floor was jacked up [61.7]. Shimizu manufacturc)

Fig. 61.3a–c Automated building systems in Japan: (a) SMART [61.34], (b) Big Canopy [61.35], and (c) ABCS [61.36]

Construction Automation

level. Guide columns and hydraulic jacks elevate the base to the target floor. Figure 61.3 shows several examples of automated building systems developed in Japan. Besides in Japan, there has been significant advancement in robotics research and development for building construction in the past two decades. Some of these research projects have been led by academic institutions and government agencies, as shown in Table 61.2. Almost 30 years after robotics applications in construction started being researched, explored, and prototyped in the early 1980s, these applications are still considered atypical for the construction industry. However, robotic application efforts are still underway, mainly for performing single tasks that are part of tedious dangerous jobs that demand high quality and productivity. This trend goes along with the faster pace of robotics development in other industries, whose success has not been paralleled by the con-

Table 61.2 Automation and robotics research for building construction, excluding Japan

Project

Institution

Features

TAMIR

Technion – Israel Institute of Technology, Israel

Rebar manufacturing Building assembly robot SHAMIR

Technion – Israel Institute of Technology, Israel, and University of Ljubljana, Slovenia University of Karlsruhe, Germany Technion – Israel Institute of Technology, Israel

Mobile bricklaying robot Handling robot

University of Stuttgart, Germany Technion – Israel Institute of Technology, Israel

ROCCO

University of Karlsruhe and Technical University of Munich, Germany Delft University of Technology, Netherlands

Autonomous multipurpose interior robot Assembly of rebar cages for beams and columns Automatic crane handling system Autonomous multipurpose interior robot Brickwork erection Conversion of existing cranes into large semi-automatic manipulators Assembly system for computerintegrated construction Brick placing from a platform on top of a variable-height telescopic mast Welding system and steel placing

Brick laying robot (BLR)

RoboCrane ROMA

National Institute of Standards and Technology, USA University Carlos III de Madrid, Spain

Contour crafting

University of Southern California and Ohio University, USA

Freeform construction

Loughborough University, UK

Autonomous climbing for construction inspection Additive fabrication technology for surface-forming troweling to create smooth and accurate planar and free-form surfaces Megascale rapid manufacturing for construction

1069

Part G 61.4

ing system by advanced robotics technology (SMART) was an integrated system that automated the erection and welding of steel frames, laid concrete floor boards, and installed exterior and interior wall panels and other components. The automated building construction system (ABCS) system consisted of a construction factory placed on top of the building, lifting structural members to the lower floors and welding the components with robots. It had the capacity to build two floors at once. Productivity shortcomings and cost considerations associated with the operation of the ABCS prompted the development of the Big Canopy system. This system featured four tower masts and a massive canopy at the top, which lifted prefabricated material to the target floor, where workers controlled the maneuvering of the components with the use of joysticks. The T-up system comprised a support, a base, and a manipulator. The construction process began with the erection of the building core and the base was constructed at the ground

61.4 Building Construction Automation

1070

Part G

Infrastructure and Service Automation

Part G 61.5

struction industry. The decision-making and situational analysis complexities, coupled with the project-based nature and short-term focus of the construction industry has hindered success. Nevertheless, for the past decade, construction researchers and practitioners have shifted their efforts toward the development of integrated automated construction systems aimed at providing decision-makers with robust tools for project management. These systems consist of a variety of computer-supported applications that take advantage of the increasing computing power available, as well as accessibility to tracking and positioning technologies, such as global positioning system (GPS), radiofrequency identification (RFID), and Wi-Fi, which have demonstrated dramatic improvements in materials and resources management, progress tracking, cost monitoring, quality control, and equipment operator training. Automation efforts have been implemented throughout the architecture, engineering, construction, and facility management life cycle. During the design phase, four-dimensional (4-D) computer models, i. e., threedimensional (3-D) objects plus time dimension, allow project information to be shared among project participants, showing realistic views of objects and activities and their sequence of assembly. Complex designs take advantage of 4-D models by using animations to link computer-aided design (CAD) elements with schedule activities, thereby improving the clarity of design and construction information, allowing early clash detection, and helping track the construction progress.

Building information models (BIM) emerged to formally address these design automation needs, modeling representations of the actual elements and components of a building. BIM is based on industry foundation classes (IFCs) and architecture, engineering and construction extensive markup language (aecXML), which are data structures for representing project information [61.38]. Further implications of BIM to design automation include the possibility of estimating building costs, schedule progress, green building rating, energy performance, safety plans, material availability, and creating a credible baseline for project control, among others. At the other end of the project life time, facility managers continuously make decisions on whether or not to conduct refurbishments, and prioritize between cost and quality. As the built environment ages, these assessments are applicable to demolition decisions. Automated condition assessment and refurbishment decision support systems are leveraging the complexity of the building systems, such as technical, technological, ecological, social, comfort, aesthetical, etc., where every subsystem influences the total efficiency performance and where the interdependence between subsystems plays a critical role [61.39]. Furthermore, design changes for refurbishment projects appear frequently due to a number of factors such as the lack of suitable design data, insufficient condition data, inadequate information on building condition, and ineffective communication between the client and contractors [61.40].

61.5 Techniques and Guidelines for Construction Management Automation The management of construction projects deals with planning and scheduling, material procurement, cost control, safety, performance tracking, and design– construction coordination, among other issues.

61.5.1 Planning and Scheduling Automation Planning and scheduling consists of sequencing processes, activities, and tasks according to time, space, and resources constraints, specifying the duration of such tasks and the relationships between them. Traditionally, scheduling and planning were done through simple bar charts. In the 1960s, the critical path method (CPM) emerged, followed by discrete systems mod-

els [61.23]. Today, several software applications have been developed to automate the CPM, such as Microsoft Project, Primavera SureTrak, and Primavera P3. These applications allow users to link different activities, allocate resources, and optimize the schedule. In addition, they provide a user-friendly representation that can be used in different aggregation levels. A further step in the automation is taken by specialized software applications that include sophisticated methods of resource leveling and scheduling optimization considering uncertainty. Four-dimensional CAD emerged in the 1980s to associate time to spatial elements, thereby allowing visual representation of sequences and communication. To generate a 4-D simulation, a 3-D model is created and a manual or semi-automated process of linking

Construction Automation

61.5 Techniques and Guidelines for Construction Management Automation

61.5.2 Construction Cost Management Automation Construction cost management deals with the relation between the owner’s budget, the project estimates, and the actual cost of the project. Several attempts to automate cost estimating started in the 1980s, when software applications emerged to link construction quantities to cost databases or to standard industry databases. Later, this applications evolved to automate quantity take-offs from CAD drawings and to transfer such estimates to job cost management applications that allow automated tracking of contract amounts, subcontracts, purchase orders, quantity totals, billings, and payments. The new trend in cost construction automation is to incorporate a cost estimate application to BIM, becoming helpful in conceptual estimates because those estimates are calculated based on project characteristics and project type (i. e., office building, school, number of floors, parking spaces, number of offices, etc.). Those quantities are not available in CAD models because they do not define object types. On the other hand, BIM allows early quantity extraction and cost estimates. Later in design, BIM allows users to extract the quantities of components, area and volume spaces, and material quantities. In terms of a detailed estimate, the accuracy depends on the detail of the model. An important advantage of using BIM for estimating is that the estimate is automatically updated when the model changes; in addition, it helps reduce bid costs because it lowers uncertainty related to mater-

ial quantities. There are three different ways how BIM can be used to aid the cost management process: 1. To export quantities to estimating software 2. To link BIM objects to estimating software, which allows manual inclusion of costs that are not associated to a specific object 3. As a quantity take-off tool [61.38].

61.5.3 Construction Performance Management Automation Current research efforts to find appropriate methods for performance monitoring and control mainly fall into three categories: (1) a series of automated techniques for detection of building entities: radiofrequency identification (RFID), global positioning systems (GPS), laser scanners, Wi-Fi, and ultra wideband (UWB) positioning technologies, and visual sensing; (2) a series of visualization techniques used to represent discrepancies between as-planned and as-built; such methods could help in comparing real-world data with the fivedimensional (5-D) as-planned data (i. e., 3-D model plus sequencing and estimated cost described in BIM); for example, it could help automatically detect discrepancies and identify those elements that are ahead of or behind schedule, identify those elements that are on budget or present overruns, and assist in taking corrective control actions; and (3) enterprise resource planning (ERP) and computer-supported frameworks that allow the integration of workflow information for automated project performance control. Radiofrequency Identification (RFID) In RFID technology, radiofrequency is used to capture and transmit data from a tag embedded or attached to construction entities. RFID is helpful for material tracking due to its larger data storage capabilities, being more rugged, not requiring line of sight, and being faster in collecting data about batch of components [61.42, 43]. The technology also has the advantage that it can be combined with other tracking technologies (i. e., 3-D laser systems or barcoding) that can complement and enhance the tracking system. This method might be troublesome for tracking numerous and dissimilar types of construction objects and personnel, especially if the RFID chips are not given the appropriate amount of time to be recognized by RFID readers. Other disadvantages include the need for previously identifying and tagging the entity to be tracked. This greatly limits tracking capabilities and makes it unreasonable for

Part G 61.5

3-D objects to tasks in time takes place. Visualization of construction sequences is at the task level, which means that the active task is visualized when the associated construction object is highlighted. One drawback of this approach is that activities that are not associated to objects, such as milestones, cannot be visualized on the simulation. In addition, since the links have to be updated every time the 3-D model changes, it is a very time-consuming process. The new trend of scheduling automation is to incorporate BIM into the schedule, including spatial, resource utilization, and productivity information [61.38]. BIM consists of a set of parametric building elements that have associated several properties and relationships between elements, which store information on geometry, material, etc. Using BIM for scheduling, the model is linked to the schedule, not an object is linked to a task. This approach not only saves time and is more accurate, but also allows the evaluation of resources and material consumption over time [61.41].

1071

1072

Part G

Infrastructure and Service Automation

Part G 61.5

tracking ever-changing entities, such as personnel and cast-in-place materials on a construction site.

disadvantages include the high cost and current lack of automation.

Global Positioning System (GPS) GPS is a system that can provide 3-D position and orientation coordinates. The GPS system can be applied in two ways: differential GPS based on range measurements, and kinematic GPS based on phase measurements. Differential GPS can be used to measure locations at a metric or submetric accuracy. In kinematic GPS, positions are then computed with centimeter accuracy [61.42]. As for differential GPS, the kinematic computation can be performed either in postprocessing or in real-time, which is called real-time kinematic GPS. As a satellite-based technology, standard GPS needs a line of sight between the receiver and the satellite; therefore, it cannot normally operate indoors. Recent developments enable GPS to operate indoors by adding cellular, laser or other technology [61.44]. Research on the use of GPS in the construction industry has been characterized by the positioning of equipment and by construction metrology in field operations [61.25,45,46]. The latter has been complemented with deployment of laser radar imaging of construction sites. The GPS system is limited by several factors. It can only be applied for outdoor use and needs a GPS receiver to be attached to the entity that is being tracked. Since the number of materials involved in a project is usually significantly greater than the number of pieces of equipment involved in installing them, it is, in most cases, unfeasible to attach a GPS receiver to each piece of material.

Wi-Fi and UWB Wireless local area networks (WLAN) featuring Wi-Fi, also technically known as 802.11 for a 2.4 GHz radio band, have been considered as a key opportunity for the construction industry sector [61.49]. The main function of the Wi-Fi system is to integrate hardware components, such as application server, positioning engine server, and finder client, with the CAD drawings of the facility. Integrated through a web interface, the user is allowed to obtain material information from the site and trace it on the finder client’s graphical interface in real time. Presently, positioning accuracy is up to a meter with current Wi-Fi technology, although it may become 3 cm with the use of ultra-wide-band [61.50, 51]. Wi-Fi and UWB applications in construction suffer from several disadvantages. The necessity for tagging is one of the deficiencies of this system. Another limitation is the necessity for measurement of infrastructure, which means that , ideally, the use of a total station is required in order to obtain accurate results. This increases the time needed for the setup of the system, as well as the cost of the tracking method.

Laser Scanning Laser scanning is a terrestrial laser imaging system that creates a highly accurate 3-D image of a surface for use mainly in computer-aided design (CAD) [61.47]. Typical 3-D laser scanners can create highly accurate three-dimensional images of objects from quickly captured 3-D and 2-D data of the objects. Laser scanning is especially applicable in construction sites, where the high amount of objects and materials make it difficult to gather accurate spatial data. Although data acquisition is fast, postprocessing tasks, such as georeferencing and 3-D model generation, can take several times longer, accounting for 80% of the time needed to complete the task, depending on the level of detail of the 3-D model [61.48]. It also has issues with noise, which needs to be removed during the segmentation process. This segmentation process is still not realized automatically and takes considerable processing time. Other

Vision Tracking Vision tracking is a method that blends video cameras and computer algorithms to perform a variety of measurement tasks. Traditional vision-based tracking can provide real-time visual information of construction job sites. This technology is unobtrusive, which means that there is no need for tagging or installing sensors to the tracked entities. Additional advantages include the simplicity, commercial availability, and low cost associated with video equipment and the ability to significantly automate the tracking process [61.52]. Disadvantages of this technology are associated with its limitations due to field of view, visibility, and occlusion, and the inability to track indoor elements using peripheral cameras. There are also further complications of differentiating between interesting and irrelevant entities at the site. e-Work Research on the automation of preconstruction workflows has been documented with the application of e-Work methods in steel reinforcement [61.53], and in construction materials in general [61.54]. This work is built upon previous research in the manufacturing domain, where e-Work is composed of collaborative, computer-supported activities and communications-

Construction Automation

61.5.4 Design–Construction Coordination Automation Design–construction coordination consists of synchronizing all designs, field conditions, special conditions, trades, and systems, in order to deliver the project according to the design intent. One of the most important tasks is clash detection. Currently, most clash detec-

tion is done manually by overlying drawings in order to try to detect clashes within the different systems, and some contractors use CAD applications to overlay layers to detect conflicts visually; both approaches are very slow and prone to errors. The new trends in clash detection are based on BIM tools, which allow automatic clash detection from two perspectives: 3-D geometry conflict detection and object relationship and interaction conflict detection [61.38, 57]. As all objects are in the model, clash detection can be performed at different levels of detail as needed. Finally, detected conflicts can be corrected very quickly before the next round of clash detection takes place, making the updating of drawings simpler.

61.6 Application Examples Four particular application examples have been selected because of their current impact on construction automation. The first three examples are state of the art, whereas the last one is still in the research stage. The first example is related to earthmoving automation; the second example involves planning and scheduling automation; the third example describes the automation of a construction cost estimation process; the last example, still in the research stage, features the automation of construction progress monitoring.

61.6.1 Grade Control System for Dozers One of the latest inventions toward the accomplishment of automation in construction is the technology incorporated into the new line of Caterpillar crawler tractors or bulldozers. Even though these machines are not factory-equipped with all the technical automated options, these units are preinstalled with all the accessories to make them compatible with these new technologies. The Caterpillar factory standard technology AccuGrade [61.58] is an integrated system that provides accessibility for the implementation of more advanced technologies into these machines; for example, the integration of GPS, laser grading, cross slope, etc. This system has benefits in terms of the productivity, accuracy, and overall effectiveness of the equipment use by the operator. It includes the automatic blade control function which ultimately eliminates the operator from the accuracy-demanding task of blade positioning, especially in cases where accuracy is very important.

AccuGrade GPS control system is one of the most effective tools to have been integrated into these machineries, essentially because it creates 3-D model replicas of the ground contours designed by engineers and then automatically matches these contours with the actual work done by the machine. This machine eliminates the use of an operator’s ability to match, as accurately as possible, the ground’s elevation to the design, making it an automatic procedure calculated accurately by a machine and guided by an educated operator. Job site safety is improved, since it reduces the need for personnel to guide the machine, such as flaggers, stakers, checkers, surveyors, etc. Another feature that the AccuGrade system has integrated is the safety interlock, which locks the blade in a position when the system is not active. In order to accomplish the overall goal of automating the earthwork activities of a construction project, this system provides a tool to create complex 3-D designs and incorporate them into the machine’s GPS system. This tool is most commonly used for more complex earthwork projects, such as golf courses or specific projects requiring constant slope degrees differences. Also, the ability to create flat, single, and dual-planar designs for less demanding projects, such as parking lots or building pads, is a great advantage that the GPS control system provides in order to achieve greater automation, accuracy, and cost reductions. Figure 61.4 shows the sloping designs that the GPS grade control system will integrate into the computer of the machine in order to automate the earthmoving necessary to achieve desired specs.

1073

Part G 61.6

supported operations in highly distributed organizations, thereby investigating fundamental design principles for the effectiveness of these activities [61.55, 56]. See Chap. 88 on Collaborative e-Work, e-Business, and e-Service.

61.6 Application Examples

1074

Part G

Infrastructure and Service Automation

Part G 61.6

a)

b)

c)

Flat slope

Single slope

Dual slope

Fig. 61.4a–c Grade control system for CAT Dozer: (a) 3-D sloping designs, (b) in-cab computer, (c) earthmoving operation [61.58]

Using AccuGrade, the dozer achieves quicker completion times and minimizes the need for staking, string lines, and grade checkers, apart from automatically performing the job without having to worry about accuracy, material waste, and several other time-consuming factors that would regularly be involved in an earthmoving project. According to studies done by Caterpillar, productivity is increased by 50% and surveying costs are reduced by 90% [61.58]. There are some off-board components and some office components involved in the operation of the GPS system. The off-board component includes GPS satellites which send positioning information to the machine, a GPS base station located within radio range of the machine that is used to transfer information from the satellite, and a GPS receiver installed in the machine for information receipt by the machine. In addition, there are office components involved, including 3-D design software and office software used to design and convert any kind of design into a format that the machine can use to create its design models.

61.6.2 Planning and Scheduling Automation This example shows the use of BIM as an aid in the scheduling process [61.41]. The project was the construction of the Süddeutscher Verlag Corporate Headquarters in Munich, Germany, a 28-storey, high-rise office building with an area of 78 500 m2 . The construction period was 36 months. In order to manage the schedule of the project, 4-D CAD and BIM were used. The CAD model consisted of 40 000 objects and a schedule that included 800 tasks, 600 of which could be visualized in the 4-D simulation, as shown in Fig. 61.5. Linking CAD objects and tasks took 4 days. When there was a change in the CAD model, the task linking update took another 4 days. Linking BIM and the time schedule took 0.5 days, while changes on the model just needed to reload the data and apply the relationships, a process that took just a few minutes. After comparing the quantities generated by the BIM tool and the quantities generated manually, the BIM quantities proved to be more accurate.

61.6.3 Construction Cost Estimating Automation

Fig. 61.5 CAD model, bill of quantities, and construction schedule [61.41]

This example demonstrates BIM capabilities as a conceptual cost estimating automation tool [61.38]. The project, Hillwood Commercial in Dallas, USA, is a sixstorey, mixed-use building with an area of 12 500 m2 . The building was constructed under a design–build delivery method. The design team started modeling during the conceptual stage to evaluate different alternatives to be presented to the client, using DProfiler, a parametric BIM tool that assigns cost information to the objects. DProfiler is integrated into a commercial cost database,

Construction Automation

61.6.4 Construction Progress Monitoring Automation This example illustrates a method of automated progress monitoring; the inputs are the as-planned 4-D model and a sequence of on-site time-lapse photographs that represent the as-built model. The objective of this method is to automatically overlay the as-built images with the as-planned model to determine and update the progress status. It consists of putting the real-world camera into the 4-D model; then, the image taken of the

1075

Part G 61.6

giving the design team and the owner real-time cost data. The use of DProfiler instead of manual-based estimating resulted in a 92% reduction of time used to develop the estimate. The team developed an initial concept design and created a model in DProfiler with links to cost information. The model took into consideration regional cost factors, building type, and other components using templates that have been developed with experience from similar projects. As the team constructed the building mass, the cost data was updated in real time. Then, as all components and assemblies were associated to cost in a database, when the team included more details the cost was automatically updated. In addition to linking components or assemblies to the cost database, DProfiler also allowed the creation of relationships and rules; for instance, it included one specific component that was not part of the model. In this way, as the model was developed, real-time information linked to the database was presented. The team also used DProfiler to run different scenarios and make informed decisions on floor-to-floor height, square footage, location of components, etc. The team realized several benefits after using BIM to aid the conceptual estimate process. They found a reduction of labor hours to produce an estimate. DProfiler avoided the take-off process and also had an automatic link to commercial cost databases. The design team was able to produce an accurate estimate in real time, reducing the time spent in verifying the accuracy of the estimate, thereby spending more time in the financial analysis of the different options. Also, a visual representation of the estimate was created, reducing the potential for errors.

61.6 Application Examples

Ahead of schedule

On schedule

Behind schedule

Fig. 61.6 Site photograph, superimposed photograph, and color

coding [61.59]

4-D model is overlaid with the site photograph taken at the same time. The 4-D photograph displays the expected progress; therefore, superimposition of images allows comparison of discrepancies between what was intended and what was performed [61.59]. The method uses earned value analysis to present progress indicators and uses a color code to provide a visual representation, where a dark red color represents objects that are behind schedule/cost and dark green represents objects that are ahead of schedule, as shown in Fig. 61.6. Future trends in this domain include implementing combined progress tracking method that consists of generating a BIM model of the project that contains 3-D geometry, schedule, and cost information with the as-planned data. An as-built model is then generated using the combination of two automated data collection technologies: machine vision and Wi-Fi. To gather real-time object information, that information can be translated using an IFC language to generate a 4-D model with cost information on demand. Both models can be superimposed, detecting graphically in real-time the objects that are on time, the objects that are behind schedule, and the objects that are ahead of schedule. In addition, this application will allow the calculation of earned value indicators with the information stored in both models.

1076

Part G

Infrastructure and Service Automation

Part G 61

61.7 Conclusions and Challenges The cyclical nature of the construction industry, featuring longer periods of reduced activity combined with imminent shortages of skilled labor, increasing quality expectations from costumers, tighter safety regulations, greater attention to computerized scheduling and project control, and technological breakthroughs led by equipment manufacturers, has once again put construction automation on the map of researchers and practitioners alike. In spite of challenges, such as cost, lack of governmental incentives, regulatory barriers, disbelief by the majority of the construction sector, or the lack of research and development, much advancement has been possible in construction automation in the past three decades. Presently, the demand for accurate, up-to-date, and timely information to manage construction projects is growing, so automating the construction management process presents enormous benefits. It has been proven that the use of technologies, such as automated data collection: reduces the time required to gather information at the job site; allows the use of real-time information; decreases the response time when corrective actions have to take

place, reducing the costs associated with late response; and enhances the visualization and communication interactions within the project team. In addition, the use of BIM to support different project management processes also presents several benefits. It enhances communication with and understanding of stakeholders, and enables constructability decisions to be made during the design phase and not only during construction. It reduces the time spent in redesign and in answering requests for information, and allows a better sense of the time and the cost of the project, since estimates and the schedule are more accurate and do not have delays or overruns because of unexpected change orders. However, there are still several technological gaps that need further research and development. A significant drawback is the lack of major research in the construction industry. The major challenges faced by the industry in regard to construction management automation are: reduction of the technological gaps and interoperability issues, the cost and availability of such technologies, and the systematic education of construction practitioners on these subjects.

References 61.1

61.2

61.3

61.4

61.5

61.6

61.7

61.8

R. Tucker: High payoff areas for automation applications, Proc. 5th Int. Symp. Robotics Constr., Tokyo 1988 (Japan Industrial Robot Association, Tokyo 1988) B. Uwakweh: A framework for the management of construction robotics and automation, Proc. 7th Int. Symp. Robotics Constr., Bristol 1990 (Bristol Polytechnic, Bristol 1990) J. Hsiao: A Comparison of Construction Automation in Major Constraints and Potential Techniques for Automation in the United States, Japan, and Taiwan. M.Sc. Thesis (MIT, Boston 1994) M.J. Skibniewski: Robotics in Civil Engineering (Van Nostrand Reinhold, Southampton, Boston, New York 1988) R. Kangari: Advanced robotics in civil engineering and construction, Proc. 5th Int. Conf. Adv. Robotics, Pisa 1991 (Institute of Electrical and Electronics Engineers, Los Alamitos 1991) R. Best, G. de Valence (Eds.): Design and Construction: Building in Value (Butterworth Heinemann, London 2002) L. Cousineau, N. Miura: Construction Robots: The Search for New Building Technology in Japan (ASCE, Reston 1998) D. Cobb: Integrating automation into construction to achieve performance enhancements, Proc. CIB

61.9

61.10

61.11

61.12

61.13 61.14

61.15

World Build. Congr., Wellington 2001 (International Council for Research and Innovation in Building and Construction, Rotterdam 2001) M. Lehto, G. Salvendy: Models of accident causation and their application: review and reappraisal, J. Eng. Technol. Manag. 8, 173–205 (1991) D. Castro-Lacouture, J. Irizarry, C.A. Arboleda: Ultra wideband positioning system and method for safety improvement in building construction sites, Proc. ASCE/CIB Constr. Res. Congr., Grand Bahama Island 2007 (American Society of Civil Engineers, Reston 2007) Bureau of Labor Statistics – BLS: Census of Fatal Occupational Injuries – 2006 (BLS, Washington DC 2007), http://www.bls.gov/iif/oshcfoi1.htm#2006 (last accessed Dec 7, 2007) T.S. Abdelhamid, J.G. Everett: Identifying root causes of construction accidents, ASCE J. Constr. Eng. Manag. 126(1), 52–60 (2000) J.J. Adrian: Construction Productivity Improvement (Elsevier, Amsterdam 1987) C.H. Oglesby, H.W. Parker, G.A. Howell: Productivity Improvement in Construction (McGraw Hill, New York 1989) D. Crosthwaite: The global construction model: a cross-sectional analysis, Constr. Manag. Econ. 18, 619–627 (2000)

Construction Automation

61.17

61.18 61.19

61.20

61.21

61.22

61.23

61.24 61.25

61.26

61.27

61.28

61.29 61.30

61.31

61.32

J. Lopes, L. Ruddock, L. Ribeiro: Investment in construction and economic growth in developing countries, Build. Res. Inf. 30(3), 152–159 (2002) K.W. Chau: Estimating industry-level productivity trends in the building industry from building cost and price data, Constr. Manag. Econ. 11, 370–83 (1993) D.W. Halpin: Construction Management, 3rd edn. (Wiley, Hoboken 2006) C. Peterson: A Methodology for Identifying Automation Opportunities in Industrial Construction. M.Sc. Thesis (University of Texas at Austin, Austin 1990) R.W. Nielsen: Construction field operations and automated equipment, Autom. Constr. 1, 35–46 (1992) J.G. Everett, A.H. Slocum: CRANIUM: device for improving crane safety and productivity, ASCE J. Constr. Eng. Manag. 119(1), 1–17 (1994) C. Balaguer: Open issues and future possibilities in the EU construction automation, Proc. 17th Int. Symp. Robotics Constr., Taipei 2000 (National Taiwan University, Taipei 2000) C. Haas, K. Saidi: Construction automation in North America, Proc. 22nd Int. Symp. Robotics Constr., Ferrara 2005 (University of Ferrara, Ferrara 2005) R.D. Schraft, G. Schmierer: Service Robots: Products, Scenarios, Visions (A.K. Peters, Natick 2000) F. Peyret, J. Jurasz, A. Carrel, E. Zekri, B. Gorham: The computer integrated road construction project, Autom. Constr. 9, 447–461 (2000) Gomaco: Slipform Pavers (Gomaco Corporation, Ida Grove 2008), http://www.gomaco.com/Resources/ pavers.htm(last accessed Feb 27, 2008) Q. Ha, M. Santos, Q. Nguyen, D. Rye, H. DurrantWhyte: Robotic excavation in construction automation, IEEE Robotics Autom. Mag. 9(1), 20–28 (2002) D.W. Seward: Control and Instrumentation Research Group (Lancaster University, Lancaster 2008), http://www.engineering.lancs.ac.uk/ REGROUPS/ci/Files/projects/derek.html (last accessed Feb 27, 2008) L.E. Bernold: Control schemes for tele-robotic pipe installation, Autom. Constr. 16, 518–524 (2007) C. Maynard, R.L. Williams, P. Bosscher, L.S. Bryson, D. Castro-Lacouture: Autonomous robot for pavement construction in challenging environments, Proc. 10th ASCE Int. Conf. Eng. Constr. Oper. Chall. Environ., League City/Houston 2006 (American Society of Civil Engineers, Reston 2006) D. Castro-Lacouture, L.S. Bryson, C. Maynard, R.L. Williams, P. Bosscher: Concrete paving productivity improvement using a multi-task autonomous robot, Proc. 24th Int. Symp. Robotics Constr., Cochi 2007 (Indian Institute of Technology, Madras 2007) L.E. Bernold: Motion and path control for robotic excavation, J. Aerosp. Eng. 6(1), 1–18 (1993)

61.33

61.34

61.35

61.36

61.37

61.38

61.39

61.40

61.41

61.42

61.43

61.44

61.45

61.46

61.47

D.V. Bradley, D.W. Seward: The development, control and operation of an autonomous robotic excavator, J. Intell. Robotics Syst. 21, 73–97 (1998) Shimizu: SMART System (Shimizu Corporation, Tokyo 2008), http://www.shimz.com.sg/techserv/ tech_con1.html (last accessed Feb 27, 2008) Obayashi: Appearance of Big Canopy (Obayashi Corporation, Osaka 2005), http://www.thaiobayashi.co.th/images/obacorp/ technology_automate/1n.jpg (last accessed Feb 27, 2008) Obayashi: ABCS Construction Scene (Obayashi Corporation, Osaka 2005), http://www.thaiobayashi. co.th/images/obacorp/technology_automate/ 5n.jpg(last accessed Feb 27, 2008) M. Handa, Y. Hasegawa, H. Matsuda, K. Tamaki, S. Kojima, K. Matsueda, T. Takakuwa, T. Onoda: Development of interior finishing unit assembly system with robot: WASCOR IV research project report, Autom. Constr. 5(1), 31–38 (1996) C. Eastman, P. Teicholz, R. Sacks, K. Liston: BIM Handbook: A Guide to Building Information Modeling for Owners, Managers, Designers, Engineers and Contractors (Wiley, Hoboken 2008) A. Kaklauskas, E.K. Zavadskas, S. Raslanas: Multivariant design and multiple criteria analysis of building refurbishments, Eng. Build. 37, 361–372 (2005) Y. Lee, J.D. Gilleard: Collaborative design: a process model for refurbishment, Autom. Constr. 11(5), 535–544 (2002) J. Tulke, J. Hanff: 4-D construction sequence planning – new process and data model, Proc. CIB-W78 24th Int. Conf. Inf. Technol. Constr., Maribor 2007 (Int. Council for Research and Innovation in Building and Construction, Rotterdam 2007) R. Navon: Research in automated measurement of project performance indicators, Autom. Constr. 16(7), 176–188 (2006) E. Jaselskis, T. El-Misalami: Implementing radio frequency identification in the construction process, ASCE J. Constr. Eng. Manag. 129(6), 680–688 (2003) S. Kang, D. Tesar: Indoor GPS metrology system with 3-D probe for precision applications, Proc. ASME IMECE Int. Mech. Eng. Congr., Anaheim 2004 (American Society of Mechanical Engineers, New York 2004) G. Cheok, W.C. Stone, R. Lipman, C. Witzgall: Ladars for construction assessment and update, Autom. Constr. 9, 463–477 (2000) C. Caldas, D. Grau, C. Haas: Using global positioning systems to improve materials locating processes on industrial projects, ASCE J. Constr. Eng. Manag. 132(7), 741–749 (2004) E. Jaselskis, Z. Gao, R.C. Walters: Improving transportation projects using laser scanning, ASCE J. Constr. Eng. Manag. 131(3), 377–384 (2005)

1077

Part G 61

61.16

References

1078

Part G

Infrastructure and Service Automation

Part G 61

61.48

61.49

61.50

61.51

61.52

61.53

H. Sternberg, T. Kersten, I. Jahn, R. Kinzel: Terrestrial 3-D laser scanning – data acquisition and object modelling for industrial as-built documentation and architectural applications, Proc. 20th ISPRS Congress, Istanbul 2004 (International Society for Photogrammetry and Remote Sensing, Istanbul 2004) ¨hms, C. Lima, G. Storer, J. Wix: Framework M. Bo for future construction ICT, Int. J. Des. Sci. Technol. 11(2), 153–162 (2004) R.J. Fontana, E. Richley, J. Barney: Commercialization of an ultra wideband precision asset location system, Proc. IEEE Conf. Ultra Wideband Syst. Technol., Reston 2003 (Institute of Electrical and Electronics Engineers, Los Alamitos 2003) D. Castro-Lacouture, L.S. Bryson, J. GonzalezJoaqui: Real-time positioning network for intelligent construction, Proc. Int. Conf. Comput. Decis. Mak. Civ. Build. Eng., Montreal 2006 (International Society for Computing in Civil and Building Engineering, Montreal 2006) Z. Zhu, I. Brilakis: Comparison of civil infrastructure optical-based spatial data acquisition techniques, Proc. ASCE Comput. Civ. Eng., Pittsburgh 2007 (American Society of Civil Engineers, 2007) D. Castro-Lacouture, M. Skibniewski: Implementing a B2B e-Work system to the approval process of

61.54

61.55

61.56

61.57

61.58

61.59

rebar design and estimation, ASCE J. Comput. Civ. Eng. 20(1), 28–37 (2006) D. Castro-Lacouture, M. Skibniewski: Implementation of e-Work models for the automation of construction materials management systems, Prod. Plan. Control 14(8), 789–797 (2003) S.Y. Nof: Models of e-Work, Proc. IFAC Symp. on Manufacturing, Modelling, Management and Control, Rio, Greece 2000 (Elsevier, Amsterdam 2000) P. Anussornnitisarn, S.Y. Nof: e-Work: the challenge of the next generation ERP systems, Prod. Plan. Control 14(8), 753–765 (2003) B. Akinci, M. Fischer, R. Levitt, B. Carlson: Formalization and automation of time-space conflict analysis, ASCE J. Comput. Civ. Eng. 6(2), 124–135 (2002) Caterpillar: ACCUGRADE GPS Grade Control System (Caterpillar Inc, Peoria 2008), http://www.cat.com/ cda/layout?m=62100&x=7 (last accessed Feb 27, 2008) M. Golparvar-Fard, F. Peña-Mora, C. Arboleda, S.H. Lee: Visualization of construction progress monitoring with 4-D simulation model overlaid on time-lapsed photographs, ASCE J. Comput. Civil Eng. (2009), Forthcoming

1079

The Smart Bu 62. The Smart Building

Buildings account for a large fraction of global energy use and have a correspondingly significant impact on the environment. Buildings are also ubiquitous in virtually every aspect of our lives from where we work, live, learn, govern, heal, and worship, to where we play. The application of control and automation to buildings can lead to significant energy savings, improved health and safety of occupants, and enhance life quality. The aim of this chapter is to describe what makes buildings smart, provide examples of common control strategies, and highlight emerging trends and open challenges. Today, the most prevalent use of automation in buildings is in heating, ventilating, and air-conditioning (HVAC) systems. This chapter reviews common control and automation methods for HVAC, but also describes how automation is being extended to other building processes. The number of controllable and interconnected systems is increasing in modern buildings and this is creating new opportunities for the application of automation to coordinate and manage operation. However, the chapter draws attention to the

62.1 Background ......................................... 1079 62.1.1 What is a Smart Building? ........... 1081 62.1.2 Historical Perspectives ................ 1082 62.2 Application Examples ............................ 1083 62.2.1 Control without Feedback ........... 1083 62.2.2 Feedback Control ....................... 1083 62.2.3 Energy Management Control Strategies .................................. 1085 62.2.4 Performance Monitoring and Alarms ...................................... 1087 62.3 Emerging Trends .................................. 1088 62.4 Open Challenges ................................... 1090 62.5 Conclusions .......................................... 1092 References .................................................. 1092

fact that the buildings industry is very large, fragmented, and cost-oriented, with significant economic and technical barriers that can, in some cases, impede the adoption and wide-scale deployment of new automation technologies.

62.1 Background The worldwide energy used to heat, cool, ventilate, light, and deliver basic services to buildings was, on average, approximately 2.4 TW (= 2.4 × 1012 W) in 2004. A further 5.6 TW was attributed to industrial plants, with a large fraction of these housed in buildings such as factories, power plants, and other manufacturing facilities [62.1]. In developed countries, buildings can be responsible for as much as 50% of total energy use. These dramatic statistics coupled with the fact that human beings spend most of their lives inside buildings make this application of critical importance to the wellbeing of our planet and its global population.

There are many different types of buildings, ranging from simple places of shelter to highly complex ecosystems that provide a range of specialized services to support specific functions. One common purpose of buildings is to create a modified environment that is comfortable for occupants even when outside conditions are unfavorable. Comfortable conditions are maintained through the operation and coordination of mechanical and electrical systems and through the conversion of energy from one type to another. The control of indoor environmental conditions is the most common and widely exploited application of automation

Part G 62

Timothy I. Salsbury

1080

Part G

Infrastructure and Service Automation

Part G 62.1

technologies in buildings and will be the main focus of this chapter. Factors that affect the indoor environment are air quality, temperature, and humidity, as well as lighting, and safety from fire and security threats. For a building to be smart it must have some form of automatic control system. Building control systems vary widely in complexity from simple mechanical feedback mechanisms to a network of microprocessorbased digital controllers [62.2]. At the latter end of the spectrum, the network of controllers is often known as the building automation system (BAS). A BAS needs to interface with physical systems in order to effect desired changes on the building, and the interfacing is usually made through means of sensors and actuators. Buildings can contain many types of physical systems, the most common of which are described in more detail below. HVAC and Plumbing. In terms of energy, the most important systems in buildings are those used to heat, cool, and ventilate the indoor environment. These systems are collectively known as the heating, ventilating, and airconditioning (HVAC) plant. The HVAC plant is used to condition the psychrometric properties (temperature and humidity) of the indoor environment as well as air quality. HVAC systems range in complexity from simple residential units that may have only heating units to advanced systems for high-performance buildings such as clean rooms and chemical laboratories [62.3]. Plumbing systems are closely associated with the HVAC plant but are often handled by a different group of companies and contractors on a building project. Plumbing serves the HVAC systems with water supplies used for heating and cooling and also handles distribution of potable and waste water. Plumbing and waste disposal systems are critical elements in ensuring occupant health [62.4]. Automatic control is widely used in HVAC systems and ranges from simple feedback loops to complex sequences of operation that manage scheduling and interactions between systems. Lighting Systems. Lighting systems are responsible for a large fraction of building energy use, close to that used by HVAC for the commercial sector. Most buildings have both artificial and natural lighting and the interaction between these sources is important in creating the right level of illumination. In most buildings, both artificial and natural lighting are operated manually using electric switches and window shades. However, automated lighting systems are available and are starting to be used in modern buildings. For these systems, sensors measure illumination levels in a space and also

whether a space is occupied and this information is used to regulate artificial light levels and control shades on windows. Fire and Security. Fire systems are found in large build-

ings and include fire detection and alarming as well as sprinkler systems for abatement. Security systems are used to control access to buildings and internal areas and trigger alarms when unauthorized access is detected. Both of these systems are evolving rapidly largely due to the availability of more advanced sensor technology and imaging devices. The additional and richer sensor information available from these systems is creating new opportunities for intelligent responses to particular situations. For example, having knowledge of where people are located in the case of a fire can be used to manage evacuation routes, improve emergency response team planning, and also provide input to the HVAC system to mitigate the spread of smoke. Specialized Systems. Many buildings require special-

ist services to support specific tasks and functions. For example, a hospital might require oxygen supply and distribution and fume hoods for extracting dangerous and toxic chemicals. In common with other building services, these systems require piping or ducting for containment and distribution, and pumps/fans, valves, and dampers for fluid movement and control. Localized power generation and combined heat and power plants that use waste heat from electricity generation to power heating and cooling systems are also found in some buildings or campuses. These systems may include renewable sources of energy such as solar, wind, and geothermal, and other power generation technologies such as fuel cells and microturbines. Specialized systems, and in particular those that are packaged, often have dedicated embedded controls, but in some cases the BAS might be deployed to provide some higherlevel control and supervision. Supporting Infrastructures. Modern buildings contain

systems powered by electricity and an increasing number powered by natural gas. Buildings therefore need to have a distribution network for these energy supplies. Key components include wiring, switch panels, circuit breakers, transformers for electricity, and valves, piping, and various safety devices for gas. Another key infrastructure in modern buildings is that associated with information technology (IT). The IT infrastructure in a building is usually considered to be separate from the other systems mentioned so far because it is han-

The Smart Building

62.1.1 What is a Smart Building? A building is made smart through the application of intelligence or knowledge to automate the operation of building systems. In modern buildings, the intelligence or smartness of building operation is encapsulated in algorithms, which are implemented in software on microprocessor-based computing devices. Many of these computing devices are part of the building automation system, which can be decomposed into the following four main components:

• • •

User interface – allows exchange of information between a human operator and the computer system Algorithms – methods or procedures for performing certain tasks such as control and automation Network – includes information transmission media (e.g., wiring), routers, and appropriate encoders and decoders for sharing information among devices



1081

Sensors and actuators – these represent the interfaces between the computing systems and the plant.

The user interface, network, sensors, and actuators are critical components of a BAS, but these are all enabling technologies that only provide the means by which the intelligence inherent in the algorithms can be applied. The algorithms fundamentally determine the operational behavior of the controlled systems and are the source of the smartness. In a typical building, numerous objectives can be defined suitable for the application of control methods. Examples are regulating a room temperature to a set level, turning off systems at a certain time, and controlling access to a room based on information read by a card reader. Controlling a variable, such as temperature, to a set level is probably the most common control objective and is most often carried out using feedback. Feedback is a fundamental building block of control and automation and its application in buildings will be discussed in more detail in Sect. 62.2. Recent technological advances in information technology, including networking, computing power, and sensor technology, have meant that the number of controllable devices in buildings has proliferated. Not only are there more devices to control, but information can now be shared more easily between disparate systems. Information is more easily accessible both within system groups as well as across different groups. The HVAC group of systems is particularly notable in making information available to the BAS from multiple types of subsystems including boilers, chillers, fans, pumps, cooling towers, and measured by numerous types of sensors. Opportunities abound within just the HVAC group of systems for applying control strategies that take advantage of the available data to improve overall system performance. The idea of combining information from different systems to implement new and smart control and automation strategies extends easily to system groups that traverse traditional boundaries. The example of combining data from the fire and access control systems to provide improved emergency response information and also more effectively manage evacuation was cited earlier. Another example is in utilizing access control data to estimate the number of people in a building and then using this estimate to operate the HVAC systems more energy-efficiently. Although huge potential exists for coordinated system operation, the reality today is that the handling of interactions is limited and in most cases ad hoc. However, despite the primitive nature of

Part G 62.1

dled by a different group of companies outside of the construction business. Similar to electricity distribution, IT systems require wires, switch panels, and access points in order to facilitate the transmission of both digital and analog data for devices such as computers and telephones. Specialized cooling systems may also be needed for high-powered computing devices and data centers. Electricity, gas, and IT distribution systems in a building will usually have some control elements, particularly for safety reasons. The range of systems and processes in buildings is clearly very broad and cannot be covered comprehensively in the space available here. For this reason, this chapter will focus more on the control and automation aspects of a building rather on the systems that are under control. The chapter will also concentrate on the software and algorithmic aspects of control systems, which are the source of the smartness in buildings, rather than the hardware and supporting infrastructures. The outline of the chapter is as follows. The rest of this section provides a discussion on what makes buildings smart and concludes with a historical perspective of building technologies. An overview of common control strategies and their applications is presented in Sect. 62.2, followed by a discussion in Sect. 62.3 on emerging trends that are affecting the buildings industry. Section 62.4 describes open challenges and, in particular, business and technical barriers to the adoption of new technologies. Finally, Sect. 62.5 draws conclusions and reiterates some of the key points identified throughout the chapter.

62.1 Background

1082

Part G

Infrastructure and Service Automation

Part G 62.1

current automation strategies, the sharing of scheduling databases and responses to alarms represents significant progress toward smarter building operation. Building operation is not the only way in which buildings have been made smarter. The lifecycle of a building includes its planning, design, construction, installation and commissioning, operation, maintenance, retrofit and remodeling, and destruction. Each of these tasks not only consumes energy and resources, but affects subsequent tasks. For example, a building will only operate energy-efficiently if it has been correctly designed and constructed. New and smart technologies are being utilized at each stage in the lifecycle to improve the overall process. A prime example is in being able to simulate the performance of a design before it is built [62.5]. This is a powerful technology that can lead to cost and energy savings for a project. The ability to simulate building systems is also enabling the development of innovative algorithmic smart technologies such as automated design and optimization [62.6]. It is also important to mention the significant energy and resources used during the construction phase of a building. Energy is used at every step; from the production of the building materials, to their transport to site, through to the operation of machines for excavation and assembly of the materials. The businesses devoted to this one phase of the building lifecycle are numerous, diverse, and employ various forms of automation to enhance efficiency. The term smart is frequently encountered in various construction technologies including prefabricated components, advanced supply chain and project management, and on-site machinery, to name only a few examples. However, the term smart buildings has come to mean smart operation more than anything else, and for this reason the operation phase will be the focus of this chapter. The smart operations, enabled by technological advances, guarantees cost savings in construction and operations, and improved functionality [62.7].

62.1.2 Historical Perspectives Modification of the environmental conditions inside buildings is not new, with records showing that the ancient Egyptians used aqueducts for cooling as long ago as the second millennium BC [62.8]. Heating systems were also used by the Romans in 100 AD in their northern territories based on underfloor distribution of air heated by furnaces [62.9]. From the perspective of the

building plant, evolution had been slow until the advent of commercially viable electrical air-conditioning systems in the first decade of the 1900s. The origin of these systems can be traced to discoveries by Michael Faraday in 1820 on how to create a cooling effect by compressing and liquefying ammonia, but it was the commercial success of the early electrical air-conditioners that triggered a new interest in the indoor environment and its control. Activity in this area was probably at its height in the first half of the 20th century. During this period, the field of heating, ventilating, and air-conditioning (HVAC) would have been considered a high-technology field that posed some of the most interesting engineering challenges of the time [62.10]. The application of automation technology to buildings has paralleled its application to other industries, with the idea of feedback playing a central role. The feedback concept is a fundamental element of automation and has a history of its own dating back to the water clocks of the ancient Greeks and Arabs [62.11]. The Dutch inventor Cornelis Drebbel is credited as creating one of the first feedback temperature controllers for a furnace in the early 1600s [62.12]. The first thermostats for space temperature control using heating plant appeared in the late 1800s. The thermostat feedback system is an implementation of an intelligent human concept, or procedure, to solve the problem of temperature regulation. These early applications of automation thus led to the creation of the first smart buildings. Implementation of feedback and other control methods originally used mechanical transmission of information, such as pneumatics. These systems were replaced by electrical devices with controllers first being implemented using analog circuits. Today, most analog controllers have given way to digital devices where earlier feedback strategies are now implemented as algorithms in software. The feedback concept has remained central in building automation. It is the most common type of control strategy and is used to control everything from airconditioning to lighting to fire and security to toilet flushing. Although the common room thermostat principle is still widely used, building automation systems now encompass an evermore sophisticated array of control algorithms that not only provide regulation of individual variables to setpoints, but also provide high-level coordination and management of building assets.

The Smart Building

62.2 Application Examples

1083

62.2 Application Examples

62.2.1 Control without Feedback A very simple and yet effective form of building automation is to operate systems based on a time clock; for example, a heater in a building could be turned on at a certain time every day and turned off at another time. These times can be determined from some expected behavior that is known to be linked to time, such as people coming in to work, or even the sun rising and setting. This kind of control logic can be used to control everything from building access, lights, and HVAC. Time-based control is also a type of event-triggered logic where the event being monitored is time of day. The strategy does not contain feedback because time is unaffected by the action of the systems being operated. Time-based operational scheduling is commonplace in modern buildings as an overriding or supervisory logic even when more advanced control and building management strategies are employed. The logic is usually implemented as rules such as: IF TIME = 9.00AM TURN ON CHILLER; IF TIME = 5.00PM TURN OFF CHILLER. Closed-loop control is synonymous with feedback control. Open loop refers to the case where no information associated with the controlled variable is used in deciding how to adjust the manipulated variable; the loop is thus open. In the HVAC industry, reference is sometimes made to open-loop operation. In most cases this involves having an operator make adjustments to a manipulated variable manually. However, if the operator is making adjustments in response to observations

of the controlled variable, this is not truly open loop because the operator is providing the feedback mechanism. An example of this is when a room in a building has a heating device that can be either on or off but only activated by a human operator. When the room is too cold the person will turn on the heater and when it is too hot they will turn it off. Manual operation of this sort is common in buildings and may be carried out by a dedicated building operator who oversees plant operation. In many situations, preexisting automated feedback systems may be overridden by the operator because of lack of confidence or trust. The operator then manually adjusts things such as control valves and dampers to maintain comfort conditions.

62.2.2 Feedback Control Single Loop Feedback control is the most widely used automation concept in buildings, with the temperature thermostat being the vanguard of this strategy. Early examples of these devices were based on pneumatics and mechanical transmission of information [62.13]. Modern buildings use thermostats that contain a temperature sensor and a small integrated circuit that determines when the temperature is outside an acceptable range. The thermostat triggers a switch to operate a device which is then expected to bring the temperature back into its acceptable range. Thermostatic temperature devices usually require specification of a setpoint and a control band. A device would be switched either on or off whenever the measured temperature was outside of the control band, which surrounds the setpoint. Figure 62.1 shows an example thermostatic control strategy for a heater in a room with a setpoint of 20 ◦ C and a control band of 1 ◦ C. There is a trade-off between the closeness of control, determined by the control band, and the wear and tear on the equipment resulting from cycling between on and off states. Some equipment types may also have constraints on how long they can remain in an on or off state and these are called minimum on and off times. The maximum cycle frequency is the reciprocal of the sum of the minimum on and off times. Thermostatic control is an example of single-loop feedback control where the controller is a switch or relay-type device that has infinite gain. The switching of the controlled device causes oscillations to occur in the controlled variable around its setpoint. These oscilla-

Part G 62.2

Possibly excepting certain types of chain stores, every building is different, having a unique mix of structure, geometry, orientation, location, number of people, and types of building plant. The control and automation systems mirror this bespoke nature and are usually specific to each particular building. Finding common elements of control logic that run across all building types is therefore a challenge. This problem is particularly exacerbated at the higher levels of control logic hierarchy that are used to coordinate the operation of different systems. The aim of this section is to identify and review a sample of control strategies that are generic and found in buildings of different types. Although these strategies are outnumbered in practice by ad hoc and rule-based logic, they usually have a better scientific basis and are more easily adapted and scaled across different buildings.

1084

Part G

Infrastructure and Service Automation

Measurements

Room

Off 20.5 °C Setpoint = 20 °C 19.5 °C

Part G 62.2

On

On

On Control actions (On/Off)

Off

Fig. 62.1 Thermostatic temperature control of a heater

tions are usually undesirable, especially if the amplitude is large due to minimum on and off times being large relative to the dominant time constant of the controlled device. One way to avoid oscillations is to use a physical device whose output can be modulated. Instead of being only on or off, the device output can be modulated by manipulating an input between 0 and 100% of its range. Having a manipulated variable that can be varied opens the way for finite-gain controllers to be used in feedback loops. Modulated systems make up about two-thirds of controlled devices in buildings, with switched systems representing the other third. Proportional, integral, and derivative (PID) action controllers are the most common type of finite-gain controllers used in building feedback loops. These controllers modulate the input to the controlled device based on the difference between the setpoint and the controlled variable, and the theory behind the algorithm is well established [62.14]. Proportional-only (P) controllers are still common in buildings where control exactly to setpoint is not critical. As is well known, these controllers yield a steady-state offset from setpoint and, when this is not desirable, proportional– integral (PI) controllers are used. This complexity of feedback control works well for most building control loops that are dominated by one time constant. The integral action of the controller ensures that the error signal can be maintained at zero and proper tuning allows good control without oscillations for most loops. Adding the derivate action can yield better results for common applications such as room temperature control, but the drawback is that tuning is more difficult. PI(D) controllers can also be used in buildings to control switched devices. This is achieved by using an element in the control loop that converts the output signal from the controller (usually between 0 and 100%) to a pulse train (either 0 or 1). Pulse-width-modulation

(PWM) logic can be used to provide this conversion, as illustrated in Fig. 62.2. PWM and other variants have been applied to HVAC system applications with promising results [62.15]. Although the PI algorithm is well established and almost ubiquitously deployed for building control, it has been recognized for some time that control performance is often poor in practice. One reason for this is that many plant items in buildings are nonlinear. When a PI controller is used to control a nonlinear system, its performance will vary with operating point. In severe cases, the control might be too aggressive, causing oscillations at one point and yet be too slow at another. These kinds of performance problems can jeopardize comfort and energy use and also wear out equipment. There are several methods for counteracting nonlinearity that are used in nonbuilding applications, such as gain scheduling and model-based control [62.16]. The research community has investigated using some of these methods in buildings including generalized minimum-variance control [62.17] and model-based control [62.18]. However, the industry has been reluctant to adopt these methods because of the extra time (and money) required for setup and tuning. More successful approaches in the building industry have been those that are self-tuning or automatically adaptive to changes; examples include neural networks [62.19] and pattern-recognition adaptive control [62.20]. The latter method of Seem has been commercialized and is

Cycle

Analog input

PWM algorithm

Pulse train

On time

Time

Fig. 62.2 PWM conversion of analog to switched signal

The Smart Building

a standard offering from one large controls vendor in the USA.

1085

Setpoint and room temperature

Damper motor Room Flow station Thermostat

Fig. 62.3 Example cascaded control strategy

However, adoption of these methods by the building industry is impeded by the costs associated with setup and commissioning and of handling the additional complexity. Nevertheless, multivariable control is underexploited in building applications and it appears to be a promising direction for future research.

62.2.3 Energy Management Control Strategies Strategies for energy management are usually employed at a higher level in the control hierarchy of a building. Many controls vendors offer proprietary algorithms for energy management and these are normally programmed into so-called supervisory controllers. In contrast to local controllers, which handle simple tasks such as feedback loops, supervisory controllers have more processing power and memory and consolidate data from multiple nodes on a network. Supervisory controllers perform functions that may affect the operation of several feedback controllers by adjusting things such as setpoint and operation schedules. This section reviews some of the most common energy management control strategies that are found in buildings. The overall idea behind the strategies is explained, but algorithmic details are not provided because no standard implementation exists and many different types of proprietary implementations can be found in practice. A variable that is commonly used in energy management control strategies is the outside air temperature. This variable is known to affect the thermal loads on a building and its ultimate heating and cooling requirements. One example where the outside air temperature is used as part of feedforward control strategy is the optimum start algorithm. This algorithm uses the outside air temperature to determine when to turn on the heating and cooling systems in a building so that the internal temperatures will be at their respective setpoints by the

Part G 62.2

Multiloop Multiloop feedback control strategies are used in buildings, with the most common being cascaded control. In these configurations, there is an inner loop that controls a fast variable and an outer loop that controls the setpoint of the inner loop based on the feedback of a slower variable. An example is the control of variable-airvolume (VAV) boxes for regulating room temperature, as illustrated in Fig. 62.3. These boxes are supplied with conditioned air from a central air-handling unit and they can control the flow of conditioned air to a room by means of a damper. In the inner loop, the controlled variable is the airflow rate entering the room. In the outer loop, the controlled variable is the room temperature. The outer loop tries to control the room temperature to its setpoint by modulating the setpoint for the airflow in the inner loop. In turn, the inner loop tries to meet the airflow setpoint by modulating the VAV box damper. Cascaded control allows a control problem to be separated into two parts, one with slow dynamics and the other with fast dynamics. Each type of fast and slow disturbance is then handled by a separate controller, with improved performance compared with having just one controller. A general guideline for cascade control is that the inner loop should be at least three times faster than the outer loop. Further guidelines for implementation can be found in [62.14]. Multivariable control in the sense of having centralized controllers that are multiple-input multiple-output (MIMO) is not typical in building applications. The most common situation is to have multiple feedback controllers that do not share information with each other. For example, a large open-plan office space might have several controlled temperature zones that have separate feedback controllers. An obvious problem with this approach is when there are interactions between zones due to effects such as interzonal airflow. Poor performance in one zone can then propagate to other zones if interactions are strong. Current practice involves trying to minimize interactions by positioning sensors away from each other and making sure that control zones are separated. There is generally significant interaction amongst building systems and in many cases operation could be improved through application of multivariable control methods. Model-based approaches are one way of handling multivariable systems and these methods have been investigated and applied to buildings, e.g., [62.21].

VAV controller

Control signal

62.2 Application Examples

1086

Part G

Infrastructure and Service Automation

Part G 62.2

time people occupy the building [62.22]. The algorithm needs to predict the time required to heat or cool the building from a known start temperature and outside conditions and thus requires some kind of model of the building’s thermal response. The complement of optimum start is optimum stop, which determines a time when systems can be turned off, allowing the capacity of the building to hold conditions near their setpoints until people leave. Optimum start and stop strategies are often combined with night-setback. Instead of just turning all systems off during unoccupied periods, night setback control maintains closed-loop control on space temperatures but at different setpoints. During the heating season, for example, temperatures inside the building would be kept lower during the unoccupied period, but only low enough that recovery time would be rapid enough and losses of energy stored in building materials minimized so that this stored energy can be carried over to the next day to reduce overall energy use. Various algorithms have been proposed for predicting the nightsetback setpoints and startup and shutdown times based on models and optimization and the use of thermal storage systems, e.g., [62.23, 24]. Integrated optimum start and stop with night-setback algorithms are available from some control vendors, and tuning and setup requirements depend on the particular algorithm that is offered and the systems that are targeted for control. Another higher-level control strategy that uses feedforward is setpoint reset, where the setpoint of a feedback loop is adjusted based on a feedforward variable; for example, the setpoint of the main supply of conditioned air to a building can be made a function of the outside air temperature. The setpoint would be adjusted to be higher when the outside air temperature is low and made to be lower if the outside temperature is high. The setpoint of cold and hot water supplies generated by building chiller and boiler plant are other variables that are sometimes linked to reset strategies based on outside air temperature. Most of these algorithms adjust setpoints between two specified limits as the outside air temperature varies between upper and lower bounds. Setup and tuning of these reset strategies usually requires specification of the upper and lower values for the setpoints and the outside air temperature. Feedforward control can also be used to supplement feedback control in situations where disturbances such as changes in airflow and outside temperatures can be measured in systems such as air-handling units [62.25]. The advantage of supplementing feedback with feedforward is that the effect of disturbances can be mitigated

when they occur instead of having to wait for their effect to be revealed in the feedback variable. It is unfortunate that many disturbance signals in buildings are in fact measured but not used in the control strategy. The number of potentially useful signals is also increasing as more types of systems become integrated in the BAS; for example, signals from lighting occupancy sensors could be used to improve the control of the HVAC systems by adjusting capacity based on anticipated changes in loads. Economizer control is a very common energysaving strategy, particularly in the USA, that is used to reduce cooling loads by switching the air source to the main air-handling systems between recirculated (with a mix of minimum outside air) and 100% outside air. An economizer strategy evaluates the temperature or enthalpy of the two potential air sources and issues control signals to dampers to provide an air supply to cooling heat exchangers with the aim of reducing required cooling capacity. This control method is usually part of sequencing logic that is used to coordinate the operation of heating, cooling, and recirculation systems. The energy saving potential of economizer control has been shown to be as high as 52% [62.26], but results depend on climatic conditions. Both temperature and enthalpy measurements are meant as proxies for the eventual cooling load. Improved results could thus be obtained by using more accurate methods for predicting loads, e.g., by using thermodynamic models of the cooling plant. Today, control vendors normally only offer a choice between temperature or enthalpy economizer strategies. In most cases, enthalpy-based strategies will yield more energy savings, but results can be inconsistent because most humidity sensors, which are used to calculate enthalpy, are notoriously inaccurate. Peak load management is an aspect of energy management that has received increased attention in recent years. This is mostly due to the fact that utility companies often tie energy tariffs to peak demand statistics, thereby creating incentives to minimize peaks and flatten the load profile. The strategy is commonly termed demand limiting and involves shutting down the operation of certain plant items to keep demand below target levels. The concept is illustrated in Fig. 62.4, which shows how building demand is kept below a target level by shedding loads. The objective of this strategy is to control peak demands while at the same time minimizing the disruption to control objectives such as comfort conditions within the building [62.27]. Unresolved research issues are how to set the targets and how to determine the order and time over which equipment

The Smart Building

Whole building load Without demand limiting

With demand limiting

Demand threshold

Load(s) added

Time

Fig. 62.4 Demand limiting scenario

loads should be added and removed. Currently, the algorithms available from control vendors for demand limiting require the user to set targets based on expert knowledge, which leads to very variable results from these strategies. The discussion so far has focused on energy management and control of HVAC systems. The reason for this focus is that this group of systems usually represents the only example of where algorithms with more sophistication than simple scheduling and feedback can be found in contemporary buildings. Although more system types are being integrated into the BAS network, the control strategies for these systems are normally primitive and frequently just provide a means for centralized manual operation. However, the potential for more sophisticated control and coordination of systems such as lighting, fire, and security has been recognized for some time and examples of specialized buildings with more sophisticated control strategies can be found. Some of the ideas implemented in these showcase buildings are beginning to trickle down to the rest of the building stock but the rate of adoption is slow, mostly because of cost constraints. Lighting systems are one example of where the use of more sophisticated control can overcome cost constraints by reducing energy use. Traditionally, lights have been controlled manually as a form of open-loop control. However, studies have shown that the implementation of automated feedback signals such as occupancy measurements and outside light sensors can be very effective for control and energy reduction [62.28]. More advanced control is also starting to appear, making use of additional controllable elements such as shading devices that can be used to regulate the inflow of natural light into a space [62.29]. This section has only provided a brief overview of a sample of energy management strategies in buildings. Further examples related to HVAC can be found in [62.30]. The demand for these kinds of strategies

is growing in response to higher energy prices and increased environmental concerns, and the computing and networking infrastructure needed to perform this kind of plant-wide control is becoming more widely availably. Most energy management strategies are implemented as supervisory logic on top of lower feedback control loops. In controls terminology the combination of this kind of supervisory logic with low-level feedback control is known as hybrid control. Design of hybrid control strategies in buildings is becoming more formalized due to the availability of new software design tools; for example, the lower-level control strategies might be designed using block diagrams and transfer functions and the supervisory logic designed using state-machine logic diagrams. Modern software programs also allow control logic to be simulated before being implemented, which greatly improves reliability and lowers development costs.

62.2.4 Performance Monitoring and Alarms Control and operational automation is the most common application of intelligence in buildings. However, recent years have seen a growing interest in intelligent monitoring and analysis of operational performance [62.31]. This has paralleled similar research and application in other industries such as aerospace, chemical processing, and power generation. The most pressing need for monitoring and analysis technologies is to detect faults that could jeopardize performance and, more importantly, safety. The concept of generating an alarm when a critical variable is outside of acceptable bounds is the simplest implementation and is employed quite widely in modern buildings. Although the idea is simple, setting the alarm limits requires intelligence and in some cases may need to be periodically adjusted to cater for changing conditions. A detailed discussion on safety warnings in automation is provided in Chap. 39. Recent years have seen a demand for more sophisticated performance monitoring and analysis, beyond simple alarming [62.32, 33]. The motivation for this derives from a recognition that control and automation of system operation frequently fall short of expectations. Poor performance can be caused by many things, ranging from faults and deteriorations in the plant, badly tuned controllers, wrongly implemented control logic, to sensor or actuator malfunction. There is also a drive to increase the automation of analysis and operational oversight tasks that were previously carried out manually, not only to reduce costs, but also to help operators deal with the overwhelming amount of data now

1087

Part G 62.2

Load(s) removed

62.2 Application Examples

1088

Part G

Infrastructure and Service Automation

Data 47 64 6 8 7487249673476964 6 53 6 481378 719 72 9796 04 48024863295 591353 86012 9536 8 329519 001730515031 2 1 4 4 81 0 505585 98 8 7 7 2 21 39 13

Transformation

p1, . . . , pn Performance variables

Part G 62.3

Comparison/ analysis

Results

E1, . . . , En Expectations

Fig. 62.5 Example performance assessment methodology

available to them through modern building automation systems. The associated problems of performance analysis and fault detection and diagnosis primarily involve transforming data available from sensor measurements and control actions into variables related to performance that can be compared with expectations, as illustrated in Fig. 62.5. An example of a performance variable is the coefficient of performance (COP) of a chiller, which is calculated from several temperature and power measurements. Fault detection involves comparing performance variables with expectations and making a binary decision as to whether a fault exists or not. Fault detection is therefore very similar to simple alarm-

ing except that the variables being monitored are not raw measurements, but derived from them using models, statistics, expert rules or some other transformation method. Fault diagnosis is more complicated and can be broken down into locating the source of the problem, matching symptoms to a cause, and estimating the magnitude of the fault. In a building, a hierarchical approach might start with the detection of higher than normal energy use, get narrowed down to one air-handling system, and subsequently to a valve on a heat exchanger. Finally the fault might be diagnosed as a valve leakage and estimated to be 50% of maximum flow. The problem of performance monitoring in buildings is also suitable for the application of single-input single-output (SISO) loop monitoring techniques developed for other industries. Measuring the variance of controlled variables and comparing with theoretical benchmarks for example is one method that has been successfully deployed in many industries [62.34]. However, variance of control variables is normally less important in buildings than in other manufacturingtype industries where product quality and profit is directly correlated with control variable variance. One of the biggest problems in buildings lies in detecting problems with the plant such as leaking valves, stuck dampers, sensor failures, and other more prevalent malfunctions [62.31].

62.3 Emerging Trends As mentioned at the start of this chapter, buildings can be viewed as large processes with many interacting and diverse systems operating together to achieve various objectives. In this way buildings are broadly similar to other applications such as chemical processing, power generation or oil refineries. A building has numerous Plant

manipulated variables and measured signals like these other systems. The challenge lies in how to connect the variables together and what algorithms and logic to deploy between these linkages. Figure 62.6 illustrates the concept of how algorithms and logic routines link manipulated and measured variables.

Environment

Actuators

Sensors

Manipulated variables

Algorithms

Measured variables

Fig. 62.6 Linking the measurements

to the manipulated variables

The Smart Building

a space for improved climate control is yet another example, mentioned earlier, of how information from one subsystem could be used to enhance the operation of another. Continuing with the theme of IT infrastructure providing new opportunities for higher level-plant coordination, recent years have also seen the deployment of multibuilding control and operation management [62.27]. The concept here is to tie together several buildings and have operational oversight and alarm management handled in one location rather than in each individual building. This allows for centralized data processing and the possibility for a smaller group of highly qualified operators to spread their expertise over multiple buildings. The approach also opens the way for peer-based benchmarking and performance monitoring that can be particularly advantageous when many buildings are of the same type [62.36]. The discussion so far in this section has identified some potential opportunities for control and operational management that are the result of having more information from multiple diverse systems available on a network. Capitalizing on these opportunities often requires combining ideas and methods from different mathematical disciplines. This has been an emerging trend in recent years with a common example being the combination of statistics and control theory such as when employed in statistical process control [62.37]. Other examples are the use of economic ideas as a way to instigate distributed optimization. The availability of real-time energy prices in certain geographical areas is an example of using economics to encourage distributed optimization of load profiles with the aim of evening out the loads at the power plant [62.38]. The idea of using market-based theories is also beginning to be seen as a viable strategy for optimizing the operation of systems within a building. The way in which building systems are designed and implemented is inherently distributed, with small amounts of processing power usually available locally at each device rather than concentrated in a central location. Furthermore, the number of variables in a building and the complexity of interactions and nonlinear behaviors can make a centralized approach to optimization unviable. The metaphor of each device being an agent working to optimize its own objective function according to constraints and general guidelines is an emerging field of research with the aim being that overall building performance would reach some optimum behavior without the need for centralized optimization. This idea is beginning to be explored for building applications [62.39]

1089

Part G 62.3

One trend that is still emerging in some sectors of the buildings industry but is already mature in other sectors is the deployment of IT infrastructure to provide a backbone that allows all sensors actuators and other devices to be visible on a single network. This is part of a more general trend toward the convergence of the building automation and information technology systems in a building. Having an IT infrastructure in place that links disparate building devices facilitates the development of advanced control and operational management algorithms that take advantage of the plant-wide data. Energy management strategies that were mentioned in Sect. 62.2.3 are one example of algorithms that combine information from different subsystems to attain an overall objective. Application of these types of building-wide optimization is likely to increase in the future with the demand driven by rising energy costs and increasing environmental concerns. IT infrastructure and networking is constantly evolving, making it easier to connect and redistribute devices; for example, wireless networking is becoming more popular in buildings because it reduces wiring costs and makes it easier to reconfigure spaces and move sensors from one location to another. The general trend is also for a greater diversity of devices to be connected to the network and for each device to contain some level of embedded intelligence. These so-called smart devices are part of a trend toward distributed computing. Distribution of computing functions across devices makes a system less prone to catastrophic failure and allows problems to be broken up into smaller pieces and solved using many low-cost devices rather than one high-cost computing engine. The development of automation algorithms and control techniques has lagged that of hardware and IT infrastructure. Many opportunities therefore now exist for making better use of the information available from a building automation network to improve operation and control and address higher-level objectives such as minimizing energy use. One particularly underdeveloped topic is that of coordinating the operation of different building systems; for example, taking into account the interactions between lighting and HVAC could potentially yield large energy savings for many types of buildings [62.35]. Another example is food retail buildings that use refrigeration systems to keep products cool. Refrigeration systems generate heat and affect the indoor environment in these buildings but their operation is not usually coordinated with the HVAC plant. Combining information from security and access control systems to predict the number of people in

62.3 Emerging Trends

1090

Part G

Infrastructure and Service Automation

EWMA of |flow error| Maximum flow rate 1.2 1

Part G 62.4

Possible problem

0.8 0.6 0.4 0.2 0

0

5

10

15

20 25 VAV box number

Fig. 62.7 Normalized EWMA statistics for VAV box con-

trol performance

and has already been applied to problems in other applications [62.40]. The expansion of control methods and plant operational management ideas that has occurred in other applications is highly relevant to buildings and many of the most promising ideas are beginning to be explored and adopted. The general subject of plant performance assessment and auditing is one example where advances from other applications are being adopted. Some control vendors now offer automatic trending and benchmarking of performance indices that are not just raw measurements, but quantities derived from physics and/or statistics. The methods of analyzing these performance indices and ways in which they can be presented to a user are emerging areas of research and application. The goal of this work is to be able to quickly detect problems and narrow down the cause so that downtimes are reduced, performance is more consistent, and maintenance can be more proactive and targeted. One popular approach is to group together operational statistics from multiple plant items that are of similar type and look for outliers amongst the set as a way to identify

faults. Figure 62.7 illustrates this approach based on actual exponentially weighted moving averages (EWMA) of the setpoint error signal for VAV boxes in a large building [62.41]. An extension of the concepts of detecting and diagnosing problems is to make the control system able to adjust automatically so that the building can become fault-tolerant. Buildings usually have many different types of systems that can be used to compensate for each other; for example, a problem identified with cooling capacity that is affecting the temperature of conditioned air could be compensated for by operating the fans at higher loads to increase airflow. This redundancy is already (inadvertently) used to create fault tolerance in buildings by having multiple closedloop control strategies that sometimes compete with each other. This creates problems for more conventional methods of fault detection that only check whether setpoints are being maintained because the effects of a fault are masked by the competing closed-loop controllers. Hence, there is increasing awareness that fault detection and diagnosis methods need to share information with the control strategy and be combined for the most effective solution [62.42]. The buildings industry is notoriously fragmented with multiple parties involved in the various lifecycle tasks of, among others: design, construction, occupation, maintenance, and renovation. An emerging area is the application of information management to a building lifecycle. Recent years have seen concerted efforts to standardize various aspects of a building process, ranging from standard data models for building geometry and plant description [62.43] to communication protocols for automation system networks [62.44]. The general goal of these standardization efforts is to improve the efficiency of passing information and also reduce the costs and risk of developing and marketing new products such as software. The proliferation of web-based commerce is testament to how standard (and stable) infrastructure can be an effective stimulant to innovation and technological progress.

62.4 Open Challenges Although buildings can be considered similar to any other large-scale process, there are some unique issues that can hamper efforts to make buildings smart. Primary systemic issues in the building industry are that it is low cost, fragmented, and risk averse. The

way in which buildings are financed is geared toward minimization of capital costs. Most contracts are awarded on a lowest-cost basis and this means that the operational costs of a building and other lifecycle costs are deemphasized. The result is that

The Smart Building

ple, the problem of too few sensors can be addressed by using models to predict measurements to create virtual sensors based on analytical redundancy. Signal processing methods can be used to reconstruct quantized data, and robust control theory can be used to minimize the effect of slow sampling and potential jitter. Buildings can benefit from the application of methods and algorithms developed in other diverse fields but these methods have to be adapted to the specific issues of the buildings. Another open challenge is to integrate the diverse array of systems in buildings into a common information-sharing framework. Although this has already started to happen with the advent of open protocols for network communication, the full potential is not yet realized. One reason for this is that buildings contain a very diverse group of systems that are made by many different manufacturers, all with their own embedded controls and electronics. The commoditization of certain building systems such as packaged cooling units has brought down costs, but these systems rarely have external communication interfaces to allow interconnectivity with other systems because of the extra costs this would incur. Although interconnection of building devices is a key to unlocking untapped energy and operational efficiencies, this cannot happen without algorithms and control methods that can take advantage of the newly available information. There is therefore a dilemma because both aspects are needed, and business will be reluctant to invest in development of just one aspect without the other being already available. Possible future avenues that could alleviate some of the barriers to connectivity are solutions such as power line networking, utilization of an existing IT backbone, and general lower-cost solutions for plugand-play networking. The issue of energy efficiency has again come to the fore in recent years, and this has led to new legislation and various certification programs being established in several countries around the world to encourage the design and construction of so-called green buildings. Even if financial incentives are lacking, increased concern over the environmental impacts of wasteful energy use within populations is exerting pressure on the construction industry to portray a greener and more energy-efficient image. The most visible sign of a response to these pressures is in the design and construction of modern buildings. There are several examples of new buildings around the world that make such efficient use of sunlight and wind through smart design that they can eliminate the need

1091

Part G 62.4

operational performance of the building is frequently compromised through poor design and poor-quality installation and maintenance. Several studies have shown that proper commissioning of buildings can lead to large energy savings and improvements in system performance [62.45]. Cost pressures also lead to the installation of low-quality sensors and actuators that detrimentally affect control performance. A related problem is when too few sensors are installed, causing measurements to be inaccurate and control performance and energy use to be affected. At the control hardware level, cost constraints lead to minimal memory and processing power in local controllers and also low-resolution analog-to-digital and digital-to-analog converters. Eight-bit converters are still commonplace and the level of signal quantization can be severe enough to cause oscillations in feedback loops. Quantization can also corrupt signals, which makes later analysis and diagnosis of problems more difficult. Another source of quantization is logic that is included on a network so that data are only sent when there is a change of value beyond a certain threshold. This strategy provides data compression and network traffic minimization but it severely affects the signals being filtered and poses problems when trying to analyze data for control performance assessment and diagnostics [62.46]. The level of education and training tends to be low for building operators, also because of cost constraints. This means that there is often difficulty in understanding the processes in a building, their interactions, and the role of the control system. It is common therefore for operators to quickly shut-off control logic that they do not properly understand. The situation then arises of having many loops in a building left in override mode, leading to suboptimal and effectively open-loop, or no, control of the building systems. The primary objective of most operators is also to keep the occupants comfortable and respond to hot and cold complaints. The energy efficiency of the building is usually secondary and draws little attention. The lack of consideration for energy use is due to the still relatively cheap cost of energy and also the lack of performance indicators that could help identify energy problems. The discussion so far has centered on barriers, both industry-systemic and technical, that impede the development, application, and deployment of new smart-building algorithms and technologies. However, many of these barriers can be overcome by adapting smart control and operational management methods to the unique aspects of the buildings industry; for exam-

62.4 Open Challenges

1092

Part G

Infrastructure and Service Automation

for mechanical heating/cooling and ventilation and almost eliminate the need for artificial lighting when sunlight is available. The manufacturers of electrical and mechanical systems are also responding to energy concerns by producing more efficient equipment that is often certified by government or other third-party

organizations. However, the challenge is for the automation systems and especially the control algorithms to keep pace with the rapid evolution of buildings and inherent equipment. Failure to keep pace will result in buildings operating well below their intended efficiency levels.

Part G 62

62.5 Conclusions The operation of many aspects of a building is now automated, ranging from temperature control to fire and security. The general trend is for the operation of more systems and appliances to be automated and for these to be connected to a common network. The availability of common networking infrastructure is also stimulating the demand for more advanced control and operational management methods that take into account system interactions and facilitate the optimization of building-wide criteria such as energy use. However, the adoption of IT infrastructure has in many ways outpaced the development of algorithms and automation methods that can capitalize on these advances. There is also the problem of operators not being able to cope with the abundance of data now available on BAS networks. Again, this problem is exacerbated by

the lack of algorithms for processing and reducing the data into more manageable statistics or performance reports. The complex and bespoke nature of building systems makes it difficult to develop and apply generic algorithms and, on the other hand, it is too costly to tailor algorithms to every building system. This issue is difficult to resolve but is being alleviated by standardization and commoditization of building systems. New model-free algorithmic methods for control and optimization have the potential of being able to adapt to different system types without requiring significant engineering effort or tuning. In combination, these developments may help overcome some of the barriers to the adoption of new technology for improved energy management and control in buildings.

References 62.1

62.2 62.3

62.4

62.5 62.6

62.7 62.8

IEA: International Energy Outlook 2007 (United States Department of Energy, Washington 2007), retrieved on 2007-06-06 H.M. Newman: Direct Digital Control of Building Systems: Theory and Practice (Wiley, New York 1994) ASHRAE: HVAC Systems and Equipment (American Society of Heating, Ventilating, and AirConditioning Engineers, Atlanta 2004) WHO: Health Aspects of Plumbing (World Health Organization and World Plumbing Council, Geneva 2006) G. Augenbroe: Trends in building simulation, Build. Environ. 37(8-9), 891–902 (2002) Y. Zhang, J.A. Wright, V.I. Hanby: Energy aspects of HVAC system configurations – problem definition and test cases, HVAC&R Research 12(3C), 871–888 (2006) J. Sinopoli: Smart Buildings (Spicewood Publishing, Elk Grove Village 2006) I. Shaw: The Oxford History of Ancient Egypt (Oxford Univ. Press, Oxford 2003)

62.9

62.10

62.11 62.12

62.13 62.14

62.15

62.16

J.W. Humphrey, J.P. Oleson, A.N. Sherwood: Greek and Roman Technology: A Sourcebook (Routledge, London 1997) W.H. Carrier: Modern Air-Conditioning, Heating and Ventilating, 2nd edn. (Pitman Publishing, New York 1950) O. Mayr: The Origins of Feedback Control (Cambridge MIT Press, Cambridge 1970) L.E. Harris: The Two Netherlanders, Humphrey Bradley and Cornelis Drebbel (Cambridge Univ. Press, Cambridge 1961) W.S. Johnson: Electric Tele-Thermoscope, Patent 281884 (1883) K. Åström, T. Hägglund: PID Controllers: Theory, Design and Tuning, 2nd edn. (Instrument Society of America, Research Triangle Park 1995) T.I. Salsbury: A new pulse modulation adaptive controller (PMAC) applied to HVAC systems, Control Eng. Pract. 10(12), 1357–1370 (2002) T. Marlin: Process Control, 2nd edn. (McGraw–Hill Education, New York 2000)

The Smart Building

62.17

62.18

62.20

62.21

62.22 62.23

62.24

62.25

62.26

62.27

62.28

62.29

62.30

62.31

62.32

62.33

62.34 62.35

62.36

62.37

62.38

62.39

62.40 62.41

62.42

62.43

62.44

62.45

62.46

S. Katipamula, M.R. Brambley: methods for fault detection, diagnostics, and prognostics for building systems - a review, Part II, HVAC&R Research 11(2), 169–187 (2005) S. Katipamula, M.R. Brambley: Methods for fault detection, diagnostics, and prognostics for building systems - a review, Part I, HVAC&R Research 11(1), 3–25 (2005) T.J. Harris: Assessment of control loop performance, Can. J. Chem. Eng. 67, 856–861 (1989) O. Sezgen, J.G. Koomey: Interactions between lighting and space conditioning energy use in US commercial buildings, Energy 25(8), 793–805 (2000) K.L. Gillespie Jr., P. Haves, R.J. Hitchcock, J. Deringer, K.L. Kinney: Performance monitoring in commercial and institutional buildings, HPAC Engineering 78(12), 39–45 (2006) J. Schein, J.M. House: Application of control charts for detecting faults in variable-air-volume boxes, ASHRAE Transactions 109(2), 671–682 (2003) D.S. Watson, M.A. Piette, O. Sezgen, N. Motegi: Automated demand response, HPAC Engineering 76, 20–29 (2004) P. Davidsson, M. Boman: Distributed monitoring and control of office buildings by embedded agents, Inf. Sci. 171, 293–307 (2005) N.R. Jennings, S. Bussmann: Agent-based control systems, IEEE Control Syst. Mag. 23(3), 61–73 (2003) J.E. Seem, J.M. House, R.H. Monroe: On-line monitoring and fault detection, ASHRAE Journal 41(7), 21–26 (1999) J.E. Seem, J.M. House: Integrated control and fault detection of air-handling units, Proc. IFAC Conf. Energy Sav. Control Plants Build. (Bulgaria 2006) T. Froese, M. Fischer, F. Grobler, J. Ritzenthaler, K. Yu, S. Sutherland, S. Staub, J. Kunz: Industry foundation classes for project management a trial implementation, Electron. J. Inf. Technol. Constr. 4, 17–36 (1999) S.T. Bushby: BACnet: a standard communication infrastructure for intelligent buildings, Autom. Constr. 6(5-6), 529–540 (1997) E. Mills, N. Bourassa, M.A. Piette, H. Friedman, T. Haasl, T. Powell, D. Claridge: The cost-effectiveness of commissioning, HPAC Engineering 77(10), 20–25 (2005) N.F. Thornhill, M. Oettinger, P. Fedenczuk: Refinery-wide control loop performance assessment, J. Process Control 9, 109–124 (1999)

1093

Part G 62

62.19

A.L. Dexter, R.G. Hayes: Self-tuning charge control scheme for domestic stored-energy heating systems, IEE Proc. D: Control Theory Appl. 128(6), 292–300 (1981) X.-C. Xi, A.-N. Poo, S.-K. Chou: Support vector regression model predictive control on a HVAC plant, Control Eng. Pract. 15(8), 897–908 (2007) S.J. Hepworth, A.L. Dexter: Adaptive neural control with stable learning, Math. Comput. Simul. 41(1-2), 39–51 (1996) J.E. Seem: A new pattern recognition adaptive controller with application to HVAC systems, Automatica 34(8), 969–982 (1998) X.-D. He, S. Liu, H.H. Asada: Modeling of vapor compression cycles for multivariable feedback control of HVAC systems, J. Dyn. Syst. Measur. Control Trans. ASME 119 (2) 119(2), 183–191 (1997) A.L. Dexter: Self-tuning optimum start control of heating plant, Automatica 17(3), 483–492 (1981) J.M. House, T.F. Smith: System approach to optimal control for HVAC and building systems, ASHRAE Transactions 101(2), 647–660 (1995) F.B. Morris, J.E. Braun, S.J. Treado: Experimental and simulated performance of optimal control of building thermal storage, ASHRAE Transactions 100(1), 402–414 (1994) T.I. Salsbury: A temperature controller for VAV air-handling units based on simplified physical models, HVAC&R Research 4(3), 265–279 (1998) J.D. Spitler, D.C. Hittle, D.L. Johnson, C.O. Pedersen: A comparative study of the performance of temperature-based and enthalpy-based economy cycles, ASHRAE Transactions 93(2), 13–22 (1989) T.A. Reddy, J.K. Lukes, L.K. Norford, L.G. Spielvogel, L. Norford: Benefits of multi-building electric load aggregation: actual and simulation case studies, ASHRAE Transactions 110(2), 130–144 (2004) B. Von Neida, D. Maniccia, A. Tweed: An analysis of the energy and cost savings potential of occupancy sensors for commercial lighting systems, J. Illum. Eng. Soc. 30(2), 111–125 (2001) A. Guillemin, N. Morel: Innovative lighting controller integrated in a self-adaptive building control system, Energy Build. 33(5), 477–487 (2001) ASHRAE: HVAC Applications (American Society of Heating, Ventilating, and Air-Conditioning Engineers, Atlanta 2007) J. Hyvarinen, S. Karki: Final Report Vol 1: Building Optimization and Fault Diagnosis Source Book (Technical Research Centre of Finland, Espoo 1996)

References

“This page left intentionally blank.”

1095

Automation i 63. Automation in Agriculture

Yael Edan, Shufeng Han, Naoshi Kondo

63.1 Field Machinery .................................... 1096 63.1.1 Automatic Guidance of Agricultural Vehicles ............... 1096

Agricultural productivity has significantly increased throughout the years through intensification, mechanization, and automation. This includes automated farming equipment for field operations, animal systems, and growing systems (greenhouse climate control, irrigation systems). Introduction of automation into agriculture has lowered production costs, reduced the drudgery of manual labor, raised the quality of fresh produce, and improved environmental control. Unlike industrial applications, which deal with simple, repetitive, well-defined, and a priori known tasks, automation in agriculture requires advanced technologies to deal with the complex and highly variable environment and produce. Agricultural products are natural objects

63.1.2 Autonomous Agricultural Vehicles and Robotic Field Operations ....... 1099 63.1.3 Future Directions and Prospects ... 1101 63.2 Irrigation Systems................................. 1101 63.2.1 Types of Irrigation Systems .......... 1102 63.2.2 Automation in Irrigation Systems . 1103 63.3 Greenhouse Automation ....................... 1104 63.3.1 Climate Control .......................... 1104 63.3.2 Seedling Production ................... 1106 63.3.3 Automatic Sprayers..................... 1109 63.3.4 Fruit Harvesting Robots ............... 1109 63.4 Animal Automation Systems .................. 1111 63.4.1 Dairy ........................................ 1111 63.4.2 Aquaculture............................... 1114 63.4.3 Poultry...................................... 1115 63.4.4 Sheep and Swine ....................... 1115 63.5 Fruit Production Operations................... 1116 63.5.1 Orchard Automation Systems ....... 1116 63.5.2 Automation of Fruit Grading and Sorting ............................... 1118 63.6 Summary ............................................. 1121 References .................................................. 1122

which have a high degree of variability as a result of environmental and genetic variables. The agricultural environment is complex and loosely structured with large variations between fields and even within the same field. Fundamental technologies must be developed to solve difficult problems such as continuously changing conditions, variability in products and environment (size, shape, location, soil properties, and weather), delicate products, and hostile environmental conditions (dust, dirt, and extreme temperature and humidity). Intelligent control systems are necessary for dynamic, real-time interpretation of the environment and the objects. When compared with industrial automation systems, precision requirements in agricul-

Part G 63

The complex agricultural environment combined with intensive production requires development of robust systems with short development time at low cost. The unstructured nature of the external environment increases chances of failure. Moreover, the machines are usually operated by low-tech personnel. Therefore, inherent safety and reliability is an important feature. Food safety is also an issue requiring the automated systems to be sanitized and reliable against leakage of contaminations. This chapter reviews agricultural automation systems including field machinery, irrigation systems, greenhouse automation, animal automation systems, and automation of fruit production systems. Each section describes the different automation systems with many application examples and recent advances in the field.

1096

Part G

Infrastructure and Service Automation

tural automation systems may be much lower. Since the product being dealt with is of relative low cost, the cost of the automated system must be low in order for it to

be economically justified. The seasonal nature of agriculture makes it difficult to achieve the high utilization found in manufacturing industries.

63.1 Field Machinery

Part G 63.1

The use of machinery in agriculture has a long history, but the most significant developments occurred during the 20th century with the introduction of tractors. As early as 1903, the first farm tractor powered by an internal combustion engine was built by Hart Parr Company. Using its assembly line techniques, Henry Ford & Son Corporation started mass production of Fordson tractors in 1917. The commercial success of tractors sparked other innovations as well. In 1924, the International Harvester Company introduced a power takeoff device that allowed power from a tractor engine to be transmitted to the attached equipment such as a mechanical reaper. Deere & Company followed in 1927 with a power lift device that raised and lowered hitched implements at the end of each row. Rubber wheels were first designed and used for tractors in 1932 to improve traction and fuel economy. Pulled and powered by tractors, an increasingly wide range of farm implements were developed in the 20th century to mechanize crop production in every step, from tillage, planting, to harvesting. Harvesting equipment trailed only tractors in importance. Early harvesters for small-grain crops were pulled by tractors and powered by tractors’ power takeoff (PTO). The development of a self-propelled combine in 1938 by Massey Harris marked a significant progress in increasing productivity. The self-propelled combine incorporated several functions such as vehicle propulsion, grain gathering, and grain threshing into an all-in-one unit for better operation efficiency. The mechanization of harvesting other crops included the developments of mechanical hay balers in the 1930s and mechanical spindle cotton pickers in 1943. Tractors, combines, and other farm machinery were continuously refined during the second half of the 20th century to be more efficient, productive, and user-friendly. The success of agricultural mechanization has built a strong foundation for automation. Automation increases the productivity of agricultural machinery by increasing efficiency, reliability, and precision, and reducing the need of human intervention [63.1]. This is achieved by adding sensors and controls. The blending of sensors with mechanical actuation can be found in many agricultural operations such as automating growing conditions, vision-guided

tractors, product grading systems, planters and harvesters, irrigation, and fertilizer applicators. The history of automation for agricultural machinery is almost as old as agricultural mechanization. Two ingenious examples in the early 20th century were the self-leveling system for hillside combines by Holt Co. in 1891 and the implement draft control system by Ferguson in 1925 [63.2]. Early automation systems mainly used mechanical and hydromechanical control devices. Since the 1960s, electronics development for monitoring and control has dominated machine designs, and has led to increased machinery automation and intelligence. Mechatronics technology, a blend of mechanics, electronics, and computing, is often applied to the design of modern automation systems. Automation in contemporary agricultural machines is more complicated than a single control action; for example, the modern combine harvester has automatic control of header height, travel speed, reel speed, rotor speed, concave opening, and sieve opening to optimize the entire harvest process. Farm machinery includes tractors and transport vehicles, tillage and seeding machines, fertilizer applicators and plant protection application equipment, harvesters, and equipment for post-harvest preservation and treatment of produce. Mechanization and automation examples can be found in many of these machines [63.3]. However, the wide variety of agricultural systems and their diversity throughout the world makes it difficult to generalize about the application of automation and control [63.1]. Therefore, only one type of automation – automated navigation of agricultural vehicles – will be presented here. Automated vehicle navigation systems include the operator-assisted steering system, automatic steering system, and autonomous system. These systems can relieve the vehicle operator of the repetitive and monotonous steering operation. Automatic guidance has been the most active research area in the automation history of agricultural machinery. With the introduction of the global positioning system (GPS) to agriculture in the late 1980s, automatic guidance technology has been successfully commercialized. Today, autoguidance is the fastest growing segment in the agricultural machinery industry. The following sections discuss the principles of autoguidance systems, the

Automation in Agriculture

available technologies, and examples of specific autoguidance systems.

Position sensor

Steering angle sensor

Measured position

Software Path planner

Desired position

Steering actuator

Measured angle

Desired control

Navigation controller

Steering controller

Fig. 63.1 Components of a typical autoguidance system

positioning techniques: absolute positioning, relative positioning, and sensor fusion. Absolute Positioning. The most common system of absolute positioning is the global navigation satellite system (GNSS). Currently, the NAVSTAR global positioning system (GPS) in the USA is the only fully operational GNSS. A GPS receiver calculates its position by measuring the distance between itself and three or more GPS satellites. The positioning accuracy of an autonomous, mobile GPS receiver is 5–15 m. This accuracy is generally not suitable for vehicle guidance. To improve the accuracy, a differential correction technique is applied. A differential GPS (DGPS) receiver can provide position accuracy within 2–5 m and within 1 m precision in a short time period. The DGPS receiver’s accuracy can meet the requirement of positioning accuracy for most guidance applications. Further improvement in GPS accuracy requires carrier-phase enhancement (or a real-time kinematic process), typically using a local base station. The realtime kinematic GPS (RTK GPS) receiver can achieve centimeter accuracy and should meet the positioning accuracy requirements for almost all agricultural field operations. The GPS positioning technique has been successfully implemented for vehicle guidance since its inception [63.9–12]. Other absolute positioning sensors, such as laser [63.13] and geomagnetic direction sensors [63.14], have been developed and applied to vehicle guidance with varying degrees of success. However, currently the GPS receiver remains the only commercially viable choice for absolute positioning systems. Relative Positioning. The most promising system of relative positioning is computer vision using cam-

Part G 63.1

Position Sensing The position sensing system measures vehicle position relative to a reference frame and provides inputs to the navigation controller. Most agricultural guidance applications require position measurement in twodimensional (2-D) space. In addition, vehicle speed, heading, and rotational movements (roll, pitch, yaw) are often needed by the navigation controller. Guidance accuracy is the primary factor in selecting a position sensor. Auernhammer and Muhr [63.8] suggested three levels of accuracy required for different farming operations: 1 m for rough operations (soil sampling, weed scouting), 10 cm for fine operations (pesticide application, soil cultivation), and 1 cm for precise operations (planting, plowing). Different position sensors are selected in a guidance system to meet the accuracy requirements for different farming operations. In general, there are three categories of

1097

Hardware

63.1.1 Automatic Guidance of Agricultural Vehicles For many agricultural operations, an operator is required to perform two basic functions simultaneously: steering the vehicle and operating the equipment. The need to relieve the operator of continuously making steering adjustments has been the main reason for the development of automatic guidance systems. Excellent references to automatic vehicle guidance research in Canada, Japan, Europe, and the USA can be found in Wilson [63.4], Torii [63.5], Keicher and Seufert [63.6], and Reid et al. [63.7]. Figure 63.1 shows a typical autoguidance system which includes a position sensor, a steering angle sensor, and a steering actuator as the hardware components, and a path planner, a navigation controller, and a steering controller as the software components. The path planner gives the desired (or planned) vehicle position. This desired position is compared with the measured position given by the position sensor. The navigation controller calculates the desired steering control angle based on the difference in the desired and measured positions. Finally, the steering controller uses the difference in the desired and measured steering angles to calculate an implementing steering control signal and sends it to drive the steering actuator. Modern agricultural vehicles often employ electrohydraulic (E/H) steering systems. Developments in each of the system components are described in details below.

63.1 Field Machinery

1098

Part G

Infrastructure and Service Automation

Part G 63.1

eras [63.4]). Vision-based sensing is mainly used for automatic guidance in row crops. Its operation resembles a human operator’s steering of the vehicle – the camera is equivalent to the eye and the vision processor is equivalent to the brain. The main technical challenge to vision guidance is using image processing to find a guidance directrix, i. e., the position and orientation of the crop rows relative to the vehicle. Numerous image recognition algorithms, such as Bayes classification, edge detection, K -means clustering, and the Hough transform, have been developed since the 1980s [63.15–19]. Vision-based system can achieve excellent positioning accuracy under good crop and ambient light conditions; for example, Billingsley and Schoenfisch [63.20] reported 2 cm accuracy for their vision guidance systems. Han et al. [63.19] reported 1.0 cm average root-mean-square (RMS) offset error for soybean images and 2.4 cm for corn images. However, the vision-based system may not be reliable under changing lighting conditions, which are not uncommon in an agricultural environment. Other relative positioning sensors include dead reckoning, odometry, and inertial measurement units (IMU). These sensors are seldom used alone in a vehicle navigation system. Instead, they are integrated with absolute positioning sensors (e.g., GPS) in a sensor fusion approach. Sensor Fusion. Sensor fusion is the process of combining data from multiple sensors so that the resulting information is better than when these sensors are used individually. No single positioning sensor will work for agricultural vehicle guidance under all conditions; for example, a GPS signal may be blocked by heavy tree shading. Vision sensors may not work under heavy dust conditions. Sensor fusion not only provides a way to automatically switch to a working sensor when one of the sensors quits working, but also blends the outputs from the multiple working sensors to obtain the best results. A good example of sensor fusion is integration of GPS with inertial sensors [63.21]. In this approach, GPS provides the low-frequency absolute position information, and inertial sensors provide the high-frequency relative position information. Inertial sensors can smooth out the short-term GPS errors, and the GPS can correct the bias and scale factor errors of the inertial sensors. If the GPS signals become temporarily unavailable, the inertial sensors can continue to provide position information. Sensor fusion allows the integration of several low-cost sensors to achieve good positioning accuracy [63.22]. Many algorithms are available for sensor fusion [63.23], with the Kalman filtering technique

being the most common approach [63.24]. Adaptive sensor fusion algorithms have also been developed to deal with a priori unknown sensory distributions and asynchronous update of the sensors [63.25]. Terrain compensation is another example of applying sensor fusion to improve guidance accuracy on sloping terrain. A terrain compensation module measures vehicle roll, pitch, and yaw angles, and combines these measurements with the position measurement to compensate for the GPS antenna movement due to side slopes and rough terrain. Many manufactures of autosteering systems now offer terrain compensation features. Additional information on sensor fusion can also be found on Chap. 20. Path Planning Path planning is the generation of 2-D sequenced positions or trajectories for the automated vehicle. The sequenced positions account for the vehicle kinematics such as the minimum turn radius and other constraints. Most agricultural operations, such as tillage, planting, spraying, and harvesting, require the vehicle to travel the entire field with parallel paths at a fixed spacing equaling the implement width. Planning such paths is called coverage path planning. Coverage path planning involves two steps. Step one is to decompose a field into subregions. An optimal travel direction is found for each subregion. Step two is to find the optimal coverage pattern within each subregion. Many different algorithms have been developed for coverage path planning [63.26]. Trapezoidal decomposition is a popular technique for subdividing the field. The trapezoids are then merged into larger blocks and the selection is made using certain criteria which take into consideration the area and the route length of the block and the efficiency of driving [63.27]; Jin and Tang [63.28] used a geometric model to represent the full coverage path planning problem. The algorithm was capable of finding a globally optimal decomposition for a given field and the direction of the boustrophedon paths for each subregion. The search mechanism of the algorithm is guided by a customized cost function that unifies different cost criteria, and a divide-and-conquer strategy is adopted. A graphical approach is often used to find the optimized coverage pattern within a subregion [63.29–31]. The processes include partitioning the area, building a partition graph, and searching the partition graph. Heuristic functions are used in the searching process to prune the search tree early so the optimized solution can be found within a reasonable time. In the case of mul-

Automation in Agriculture

tiple vehicles working in the same region, Gray [63.32] developed a path planning method.

Commercialization of Autoguidance Systems Commercial development of autoguidance systems by US manufacturers started in the 1990s soon after the availability of GPS to agricultural applications. Early GPS-based guidance systems used visual aids, commonly referred to as lightbars, to show a driver how to steer the vehicle along parallel passes or swaths across a field. The need to improve driving accuracy and repeatability led to the development of the next level of automation – autosteering. The autosteering system steers the vehicle within a path and the driver only needs to turn at the ends. Several preset driving patterns can be used by an autosteering system during field operations. The most popular patterns for ground applications are straight rows and curved rows. The straight-row option allows the operator to follow parallel straight paths separated by a predetermined swath width. An initial path (A–B line) is first defined by the operator and the remaining paths are generated by the guidance system. For the curved-row option, the operator drives the first curved path. The autoguidance system steers the vehicle along the consecutive paths. Other driving patterns such as circles (for center-pivot irrigation

1099

GPS receiver

EH steering system

Fig. 63.2 A John Deere 8000 series tractor equipped with the GreenStar AutoTrac assisted steering system

field) and spirals (for field headlands) are also available in some autoguidance systems. Autoguidance systems are now commercially available. Figure 63.2 shows an example of the GreenStar AutoTrac assisted steering system on a John Deere 8000 series tractor. Most autoguidance systems have reported a path-to-path accuracy better than 5 cm with DGPS or RTK under good field conditions.

63.1.2 Autonomous Agricultural Vehicles and Robotic Field Operations An autonomous vehicle must be able to work without an operator. In addition to steering, it must perform other tasks that a human operator typically does: detecting and avoiding unknown objects, operating at a safe speed, and performing implement tasks while driving. Developing human intelligence for the autonomous vehicle is a challenging job. Autonomous vehicles working in an unstructured agricultural environment must use sophisticated sensing and control systems to be able to react to any unplanned events. A typical unplanned event is the presence of a human or animal in front of the vehicle. Development of vehicle safeguarding systems is the key to the deployment of the autonomous vehicles. A number of technologies have been investigated for providing vehicle safeguarding. Guo et al. [63.38] used two ultrasonic sensors to detect a human being. The reliable detection range was up to 4.6 m for moving objects and 7.5 m for stationary objects under field conditions. Wei et al. [63.39] used a binocular stereo camera to detect a person standing in front of a vehicle. The system was

Part G 63.1

Navigation and Steering Controllers The navigation controller takes the desired and measured positions as inputs to compute the desired control variables, typically the lateral and heading corrections. The desired control variables and the measured variables (typically the steering angle) are fed into the steering controller to compute the steering corrections. A typical navigation control algorithm calculates the lateral and heading errors based on a reference point on the vehicle and a target (look-ahead) point on the desired vehicle trajectory. The target point may be dynamically adjusted based on speed to achieve satisfactory path tracking performance [63.33, 34]. Agricultural vehicles frequently operate in challenging conditions such as varying travel speed, operating load, and ground surface conditions. The steering controller design must be robust enough to adapt to these conditions. Several steering controllers, including proportional–integral–differential (PID) controller, feedforward PID (FPID) controller, and fuzzy-logic (FL) controllers, have been developed and implemented in the guidance system [63.35–37]. Additional information on mobility and navigation can be found on Chap. 16.

Guidance controller

63.1 Field Machinery

1100

Part G

Infrastructure and Service Automation

Part G 63.1

able to find the person’s relative motion status (speed and heading) relative to the vehicle at a distance range from 3.4 to 13.4 m. Kise et al. [63.40] tried a laser rangefinder to estimate the relative motion of a tractor obstacle. In general, ultrasonic sensors are low cost but their detection range is short. Stereo cameras are unreliable under changing lighting conditions. Currently, the most reliable technology is the laser rangefinder, but its use is limited to research vehicle platforms due to the high costs. Multiple levels of system redundancy must be designed into the vehicle, which often requires multiple safeguarding sensors. Development of robotic field operations is an integral part of autonomous vehicles. In order to use an autonomous vehicle, tasks must be automated as well. Over the years, agricultural equipment has evolved to accommodate the automated control of tasks [63.1]. Microprocessor-based electronics control is replacing mechanical control, and electrohydraulically powered actuators are preferred over mechanically powered ones. The adoption of CAN bus standards (SAE J1939, DIN 9684, ISO 11783) in the agricultural equipment industry has allowed networking of multiple control systems. Task automation examples can be found in many modern agricultural machines. Examples include mapbased automatic spraying of fertilizer and chemicals on sprayers, and headland management systems (HMS) for automatic sequencing of tractor functions normally associated with headland turns. Matsuo et al. [63.41] described a tilling robot that was able to do tillage, seedling, and soil paddling operations. Reid [63.42] discussed a number of challenges related to the development of intelligent agricultural machinery and equipment. At present, autonomous agricultural vehicles and robotic field operations are still not reliable and durable enough to meet the requirements of the agricultural industry and its customers. Nevertheless, a number of autonomous vehicle systems have been developed as proof-of-concept machines which may lead to commercialization in the future. Some exemplary systems are briefly introduced below. Robotic Harvester A robotic harvester, called Demeter (Fig. 63.3), has been developed by the Carnegie Mellon University Robotics Institute for automated harvesting of windrowed crops. The robot platform was a New Holland 2550 self-propelled windrower equipped with DGPS, inertial navigation system (INS), and two color cameras. The camera system detected the cut/uncut edge of the crop, which gave a relative directrix for the

Fig. 63.3 The robotic harvester (Demeter) (courtesy of Carnegie Mellon University)

harvester to follow. The camera system was also used to detect potential obstacles for vehicle safeguarding. GPS data was fused with vision data for guidance. In addition to steering, speed and header height of the harvester were also automatically controlled. In 1997, the Demeter autonomously harvested 100 acres of alfalfa in a continuous run (excluding stops for refueling). During 1998, the Demeter harvested in excess of 120 acres of crop, cutting in both sudan and alfalfa fields [63.43,44]. Autonomous Tractor An autonomous tractor has been jointly developed by John Deere and Autonomous Solutions Inc. for automated spraying, mowing, and tillage in orchards (Fig. 63.4). The robot platform was a John Deere 5000 series tractor with significant modifications. The system components included the vehicle, a mobile control unit, and a base station; all were communicated

Fig. 63.4 A John Deere 5000N autonomous orchard tractor (courtesy of Deere & Company)

Automation in Agriculture

by a wireless CAN system. A DGPS and INS were used as positioning sensors. Vehicle controls included steering, brake, clutch, three-point hitch, PTO, and throttle. A long-range obstacle detection system was proposed for vehicle safeguarding. One of the key developments in the project was the path and mission planning, which included dynamic replanning for dynamic service events. The system design followed an industry joint architecture for unmanned ground systems (JAUGS) architecture. A proof-of-concept system was developed and successfully demonstrated, but the production decision was not made, primarily due to safety concerns.

63.1.3 Future Directions and Prospects Farm productivity has increased significantly during the last century. Today, less than 3% of the US popula-

tion works in agriculture, yet they produce more than adequate food for the entire nation. Agricultural mechanization has played a significant role in achieving this miracle. As next steps to mechanization, automation and robotization of farm operations can result in additional productivity improvement. Autoguidance will continue to be the main focus of future development. The agricultural industry is now developing new systems for automation beyond autosteering of vehicles. Implement guidance and headland management are two examples. An implement guidance system automatically steers both tractor and implement and keeps the implement on the desired path. This helps overcome implement drift on hillsides or contour field conditions. The headland management system automates implement controls (e.g., to raise or lower the implement) and makes automatic turns at headland and interior field boundaries. Other guidance technologies that are close to commercialization include: sensor fusion that employs a multitude of complementary positioning sensors to improve system reliability, path or mission planning that produces the most efficient coverage paths for a single or multiple vehicles, and leader–follower systems for multiple-vehicle navigation and control, as in the case of combine harvester operation. Precision farming has become an area of enormous growth and excitement since the 1980s. The key concept in precision farming is to manage crop production at the subfield level. The labor-intensive nature of precision farming practices brings a great need for automated machines and equipment. Yield mapping and variable-rate application systems are now commercially available. In the future, autonomous field scout vehicles are needed for soil sampling, crop scouting, and real-time data collection. Small robots are desired for individual plant care such as precision weed control and selective crop harvesting. Because precision farming is considered as the future of agriculture, automation and robotics technologies will certainly become a big part of production agriculture in the 21st century.

63.2 Irrigation Systems Irrigation is the supplemental application of water to the soil for assisting in growing crops. It is used mainly to replace missing rainfall for field crops, and to supply water to crops growing in protected environments such as greenhouses. The main objective is to supply the re-

quired amount of water to the plants at the right time. The types of irrigation techniques differ in how the water is distributed within the field. In surface irrigation systems water moves over the land by gravity and infiltrates into the soil. Surface irrigation systems include

1101

Part G 63.2

Small Robotic Platforms In agriculture, small robots can be used for many field tasks such as collection of soil or plant samples and detection of weed, insect or plant stress. When equipped with a larger energy source and appropriate actuators, they can also be used for localized treatments such as spot-spraying of chemicals or mechanical inrow weeding. A number of small robots have been developed, mainly at universities and research institutes [63.45]. Astrand and Baerveldt [63.46] developed an autonomous robot for mechanical weed control in outdoor environments. The robot employs a grey-level vision system to guide itself along the crop rows and a second, color-based vision system to identify the weed and to control a weeding tool that removes the weed within the row of crops. A plant nursing robot, HortiBot, was developed in Denmark as a tool carrier for precision weeding [63.47–49]. The HortiBot is a radio-controlled slope mower (Spider ILD01, Dvorák Machine Division, Czech Republic) equipped with a robotic accessory kit. A commercial stereo vision system was implemented for automatic guidance within plant rows.

63.2 Irrigation Systems

1102

Part G

Infrastructure and Service Automation

Part G 63.2

Fig. 63.5 Sprinkler irrigation (courtesy of US Fish and

Fig. 63.6 Center pivot with drop sprinklers (courtesy of Conversation and Production Res. Lab., Bushland, TX, USDA, ARS)

Wildlife Service, USFWS/Elkins WV)

furrow, border-strip, and basin irrigation. Localized irrigation systems distribute water in piped networks by pressure, and the water is applied locally in the field and to the plant. Localized systems include spray, sprinkler, drip, and bubble systems. Automation provides efficient on-farm use of water and labor for all methods by enabling flexible frequency, rate, and duration of water supply with control of the irrigator at the right application point [63.50].

63.2.1 Types of Irrigation Systems Flood control automation includes optimal gate operation of irrigation reservoirs [63.51]; surge flooding, which enables release of water at prearranged intervals; telemetering of paddy ponding depth and canal water level [63.52], which can be used to capture runoff and pump it back into the field for reuse; and precision con-

Top of dripper Raised lip surrounding the exit hole, along with the air gap between the exit hole in the dripper and the tubing, provides physical root barrier

Bottom of dripper

Dripper cover Flow path Dripper cover Diaphragm

Diaphragm Full path

Dripper base Filtration surface Dripper base

Fig. 63.7 Dripper and drip line irriga-

tion system (courtesy of Netafim)

Automation in Agriculture

operate at low pressure and require no filtration or pumping [63.58]. Their main advantages are simplicity, lower energy requirements, and few mechanical breakdowns [63.58]. However, their application is limited due to the complicated design and installation problems.

63.2.2 Automation in Irrigation Systems Automation systems include irrigation time clocks – mechanical and electromechanical timers to allow accurate control of water responding to environmental changes and plant demands [63.59], with recent advances in using sensors to measure soil properties such as moisture and salinity using resistanceand capacitance-based sensors, and time-domain reflectometry [63.60, 61]. Sensors for measuring plant stress [63.62] by scanned and spotted canopy temperature measurements have been used in scheduling decisions for center-pivot and subsurface drip irrigation systems [63.63]. Sensors include infrared thermometers, thermal scanner sensors, and multispectral imaging [63.64]. High-resolution data of soil and water dynamics coupled with measurement of crop response to salinity and water stress are important for irrigation management optimization [63.65]. These data are commonly

In-field sensing station • Sensing - soil moisture - soil temperature - air temperature • Power - 12V battery - solar panel - voltage regulator • Communication - Bluetooth radio transmitter Relay GPS

Radio

Irrigation control station • Input sensing - GPS - Freeport to PC • Output: control - solenoids via relay

Weather station • Sensing - air temp. & RH - precipitation - wind speed, direction - solar radiation

1103

Base station

Internet

Fig. 63.8 Wireless irrigation system conceptual layout (after [63.55])

• Input - in-field data - off-field data • Processing - decision making • Output - site/time-specific irrigation amount

Part G 63.2

trol of inflow rate using ground-based remote-sensing feedback control systems [63.53]. Position of the advance of water along the furrow can be determined by contact-type sensors manually positioned in the furrow and recently by imaging systems [63.53, 54]. In sprinkler irrigation, water is piped to several locations in the field and distributed by high-pressure sprinklers or guns (Fig. 63.5). Spatially variable irrigation systems have typically used self-propelled irrigation systems – sprinklers mounted on moving platforms or center pivots [63.56, 57]. Center-pivot irrigation is a sprinkler irrigation system that is composed of several pipe segments joined together that are mounted on wheeled towers with sprinklers positioned along its length (Fig. 63.6). The system moves in a circular pattern. Drip irrigation systems (Fig. 63.7) were invented in Israel in 1965. Water is applied slowly and directly to the soil, and only where needed. A drip irrigation system consists of valves, back-flow preventers, pressure regulators, filters, emitters, and of course the pipes (the mainline that leads water from the source to the valve, and the subpipe that goes from the valves to the connection point of the drip tubing and the drip tubes). Low-head bubbler irrigation systems are micro-irrigation systems based on gravity flow that

63.2 Irrigation Systems

1104

Part G

Infrastructure and Service Automation

Part G 63.3

provided by weight-based soil lysimeters, with recent development of a volumetric lysimeter system [63.65]. Developments in automated irrigation systems include scheduling programs that use weather data to recommend and control time and amount of irrigation, crop growth stage and water/nutrients needs detected in real time, and commercial yield monitors and remote sensors to map crop production precisely. An example includes a real-time irrigation scheduling program for supplementary irrigation that includes a reference crop evapotranspiration model, an actual evapotranspiration model, a soil water balance model, and an irrigation forecast model, all combined using a mixed linear program [63.67, 68]. Low-cost microprocessor and infrared sensor systems for automating water infiltration measurements [63.69] are important in controlling crop yields and delivering water and agricultural chemicals to soil profile. Control of nutrients with sensors enables optimization of irrigation and fertilization management systems, useful for reducing environmental impact caused by runoff of nutrients into surfaces and groundwater by using ion-sensitive field-effect sensors [63.70]. A wireless in-field sensor-based irrigation management system was developed to provide variable-rate irrigation. Variable-rate irrigation was controlled by a computer that sends control signals to irrigation controllers via real-time wireless communications based on field information and GPS positions of sprinklers [63.55,66,71,72]. A self-propelled linear sprinkler system equipped with a DGPS and a program logic controller was remotely controlled by a base computer [63.72, 73], using a closed-loop irrigation system to determine the amount of irrigation based on distributed soil water measurements (Figs. 63.8 and 63.9). The system was operated by a program logic controller that controlled solenoids to turn sprinkler nozzles on and off. Variable-rate application was implemented by regulating pressure into a group of nozzles.

Five in-field sensing stations

Weather station

Fig. 63.9 Five in-field sensing stations and weather station mounted on the linear irrigation cart (after [63.66])

To control small areas in field irrigation, solid-set sprinkler and micro-irrigation can be controlled using centralized or distributed irrigation controls [63.74]. Architectures of distributed sensor networks for sitespecific irrigation automation combining smart soil moisture sensors and sprinkler valve controllers have been developed [63.75] and are commercially available (e.g., Irriwise, Netafim). This can be expanded to closed-loop control for automated irrigation based on in-field sensing feedback of plant and soil conditions. Further developments include spot-spraying of herbicides based on real-time weed detection using optical sensors. Growers using recirculating systems often choose to sterilize the drain water before sending it back to the plants. One of the following two methods is often used: ultraviolet (UV) sterilization or ozone sterilization. Automated real-time polymerase chain reaction (PCR) system for detecting pathogens in irrigation water has been developed [63.76].

63.3 Greenhouse Automation The greenhouse environment is a relatively easy environment for introduction of automated machinery due to its structured nature. Hence, the automated system must deal only with the variability of the agricultural product. Therefore, the development of systems is easier and simpler. Automation systems for greenhouses deal with climate control, seedling production, spraying, and harvesting as detailed in the following sections.

63.3.1 Climate Control Greenhouses have been developed during the 20th century to keep solar radiation energy, to protect products from various hazardous natural climates and insects, and to produce suitable environments for plants by use of 100 μm plastic film or 2–3 mm glass plates. Advances in sensors and microcomputers have led to

Automation in Agriculture

modern greenhouse operations that include control of climate, irrigation, and nutrient supply to plants to produce the best conditions for crop growth in an economical way. Environmental control enables year-round culture and shorter cultivation periods. This section outlines greenhouse environment control and automation.

Temperature and Humidity. Heating and cooling in

greenhouse are important for plant growth. Due to the amount of energy consumed for these operations, their control is critical. Electric heaters are used when it is necessary to specifically heat local sections such as in seedling production. Radiation in greenhouses that use sunlight can cause high air temperatures. Hence, cooling is necessary. To reduce cooling costs, curtain, infrared absorption glass (80% transmittance in visible region and 20% in infrared region), watering on glass roof, whitening the cover material, fan and pad system, fan and mist system, fog and fan system, and other methods are employed [63.79]. The thermocouple sensor is a popular measure of air temperature, while a thermo-camera and other radiation thermometers can measure radiant energy from plant parts or material bodies. Several types of humidity sensors are available: elemental devices whose electrical resistance, capacitance, or impedance is changed with humidity change. The sensors can measure 10–90% relative humidity. Humidity in greenhouses is influenced by air

1105

temperature control, transpiration from plants, water evaporation from soils, and other effects; for example, the fog and fan system can decrease temperature by 2 ◦ C and increase humidity by 20% as compared with external air [63.80]. To reduce humidity, an electric cooling machine is sometimes used, while air ventilation is the simplest method. Thus, humidity control also requires compensation of temperature change. When greenhouse environments are controlled, both heat balance and moisture budget must be considered. PID and adaptive control methods have been developed for temperature and humidity control [63.81–83]. CO2 Concentration. Plants absorb CO2 and transform

it into sugars and then into new plant tissue [63.84]. Every gram of CO2 fixated by the plant yields around 10 g of new plant material. This so-called photosynthesis (or CO2 assimilation) requires good light and suitable growing conditions.Plants consume more CO2 under more light and also at higher CO2 level. By CO2 enrichment the CO2 uptake can be increased. The effect of CO2 on yield is proportional to the amount of time of CO2 enrichment. CO2 uptake depends on the crop, the leaf area, and environmental conditions such as soil moisture and atmospheric humidity. It is expressed in gram CO2 gas per m2 ground area per hour (g m−2 h−1 ). CO2 uptake varies from 0 during very poor conditions to about 5 g m−2 h−1 under excellent light conditions, and up to 7 g m−2 h−1 under excellent light conditions combined with high CO2 levels. At night no CO2 is taken up; in contrast, plants produce CO2 due to respiration. Hence the CO2 level in a closed greenhouse naturally increases overnight to above-ambient levels. Ventilation influences the CO2 level, which has three situations: 1. CO2 depletion: the CO2 level is below ambient. Any leakage or ventilation will bring CO2 into the greenhouse. Ample ventilation can prevent CO2 depletion. 2. Elevated CO2 levels due to CO2 enrichment. CO2 gas will rapidly be lost during venting, depending on the vent opening, wind speed, and CO2 level. 3. When the CO2 level in the greenhouse is equal to the level outside. The influx of fresh air plus the CO2 supply exactly compensates the CO2 absorption. In this situation there is no CO2 loss. The CO2 demand equals the CO2 absorption by the plants plus the CO2 lost by leakage or ventilation.

Part G 63.3

Parameters and Sensors for Environmental Control Light. Generally, there are two types of light: sunlight and artificial light from lamps. Visible light (400–700 nm, photosynthetically active radiation) is important for plant growth. Photosynthetic photon flux density (PPFD, measured in μmol m−2 s−1 ) from photon sensors is appropriate when light intensity is measured for plant growth, while intensity of illumination (lux) is measured based on human sensitivity [63.77]. Although intensity and color temperature of sunlight vary from time to time and from place to place, artificial lighting devices can change them more drastically. There are several popular lighting devices: incandescent lamp, fluorescent lamp, high-intensity discharge lamp (HID lamp: Hg lamp, Na lamp, metal harido lamp), light-emitting diodes (LED), electroluminescence (EL), hybrid electrode fluorescent lamp (HEFL), and others. It is necessary to use them based on size, shape, efficiency, light intensity, life, color rendering, and color temperature of the lamp [63.78].

63.3 Greenhouse Automation

1106

Part G

Infrastructure and Service Automation

However, the benefits of CO2 enrichment should outweigh the costs. This depends on the yield increase due to CO2 , as well as on the price of the produce. Moderate CO2 enrichment is sometimes more economic than excessive enrichment. CO2 enrichment should not go beyond 1000 ppm, as it is not beneficial for the plants and is unnecessarily expensive.Sensitive plants (e.g., young or stressed plants, sensitive species) should not be exposed to more than 700 ppm CO2 . Too high CO2 levels cause partial closing of the pores in the leaves, which leads to low growth. Also, at higher CO2 concentration, there is higher risk of accumulation of noxious gases that can be present in the CO2 gas.

Part G 63.3

Air Flow. It is important to keep uniform temperature,

humidity, and CO2 in the greenhouse for proper plant culture and uniform growth. Air flow in greenhouses is achieved in different ways depending on the greenhouse structure. Natural ventilation is usually used due to its low costs. However, control of airflow with natural ventilation is limited. Therefore, it is necessary to analyze natural ventilation properly and increase ventilation efficiency. Natural ventilation is driven by pressure differences created at the vent openings both by wind and/or temperature differences. Prediction of air exchange rates and optimization of greenhouse design requires complicated models due to the coupling and nonlinearities in the energy balance models. Additional controls of air flow include on/off control of fan ventilation systems, side openings, and water sprayers [63.85] with recent developments in rate control achieved by PID or fuzzy-logic control. Control Methods Greenhouse climate control requires consideration of many nonlinear interrelated variables. Control models should take into account weather prediction models, crop growth models, and the greenhouse model. The following methods have been used for control: classical methods (proportional integral derivative control, cascade control), advanced control (nonlinear, predictive, adaptive [63.86]), and artificial intelligence softcomputing techniques (fuzzy control, neural networks, genetic algorithms [63.87, 88]). Control is implemented with programmable logic controllers or microcomputers. Climate controllers that use online measurements of plant temperature, and fruit growth and quality, to estimate actual transpiration and photosynthesis will be the future development. This will enable the development of closed-loop systems that use the speaking plant as the feedback for the control system and thereby result in

effective control of the greenhouse climate [63.89, 90]. Effective control of the greenhouse climate must also incorporate long-term management plans to increase profitability and quality [63.91].

63.3.2 Seedling Production Seedling production is one of the key technologies to grow high-quality products in fruit and vegetable production. Seedling operations such as seed selecting, seeding, irrigating, transplanting, grafting, cutting, and sticking have been mechanized or automated [63.77]. A fully automatic seedling production factory has been reported as a part of a plant factory [63.78], while a precise seeding machine which can seed in the same orientation has also been developed [63.79]. Several grafting robots and robots for transplantation from cell tray to cell tray or to pot have been commercialized. Herein, a grafting robot and a cutting sticking robot will be described as examples. Grafting Robot Grafting operations are conducted for better disease resistance, higher yield, and higher-quality products. Opportunities for the grafting operation are recently increasing, because of the agricultural chemical restrictions introduced to improve food safety and sustainable agriculture in the world. As the demand for grafted seedlings increases, a higher-performance model or a fully automatic model of the grafting robot is currently expected, while semiautomatic models have been commercialized since about 20 years ago. Grafting involves the formation of one seedling by uniting two different kinds of seedlings, using the side of the root of one seedling and the side of the seed leaf of the other. The side of the root of a seedling is called a stock and the side of the seed leaf, a scion. In order to graft a watermelon or a cucumber, a pumpkin is frequently used as a stock. The grafting method shown in Fig. 63.10 is called the single cotyledon grafting method, and is adopted as the operation process of a grafting robot for cucurbitaceous vegetables. For the stock, one seed leaf and its growing point are cut off. For the scion, the side of the root side is cut off diagonally at the middle of the hypocotyl, and the side of the seed leaf which contains the growing point is used. Grafting operation of different kinds of plants is carried out by joining the stock and the scion using a special clip as an adhesive. Although stock seedlings and scion seedlings are hung up on spinning discs and supplied synchronously in some robot, mechanical fin-

Automation in Agriculture

63.3 Greenhouse Automation

1107

Growth point

Grafting

Scion

Scion

Growth point

Rootstock

Clipping

Stock

Cutting

Fig. 63.10 Single cotyledon grafting method and an actual grafted seedling with mechanical fingers in the grafting operation of a robot (after [63.84, 89])

gers handle the seedlings, as shown in Fig. 63.10. Two operators hand the stock and scion to the mechanical fingers individually. At the stock cutting section, the shoot apex which contains one of the seed leaves and growing points are cut off by a spinning cutter which spins in the diagonally upward direction. On the other hand, at the scion cutting section, the side of the root is cut off at the hypocotyl by a spinning cutter which spins in the diagonally downward direction. After removing the useless part, the stock and the scion are gripped by the gripper and sent to the clipping section, where they are joined and fixed by the clip. The most important points in grafting operations are to cut the seedlings at the proper points and fix the stocks and scions precisely. To accomplish a higher success rate, the stock and scion should be properly hung for the spinning cutters when seedlings are handed into the mechanical fingers. The success rate of the grafting robot is 97% and the robot can perform grafting operations ten times faster than human workers [63.77]. Cutting Sticking Robot Cutting sticking operations are often conducted in flower production in order to enhance productivity by using cuttings obtained from mother plants. Currently, humans manually stick the cuttings, however, the operation is monotonous and requires a lot of time and labor. A semiautomatic and a fully automatic chrysanthemum cutting sticking systems [63.80, 81] have been developed so far. In this section, a fully automatic system for chrysanthemum will be introduced, because it has a function of recognizing complicated-shaped seedlings by machine vision.

Robotic Cutting Sticking System. A prototype robotic cutting sticking system (Fig. 63.11) mainly consists of a cutting-provision system, machine vision, a leafremoving device, and a planting device. The figure includes the latter three sections. The flow of cutting sticking operation is as follows: first, a bundle of cuttings is put into a water tank for refreshment because the cuttings are usually stored in a refrigerator for about a week until some amount of cuttings need to be prepared through picking from mother plants. The cuttings are floated on the water and spread out by adding vibrations to the tank. After refreshment in water and spread enough in a while, the cuttings are picked up by a manipulator based on information about the cutHolding plate Planting device

Manipulator Cutting

Table Tray

Leaf removing device

TV camera

Cutting

Fig. 63.11 Chrysanthemum cutting sticking system (prototype)

Part G 63.3

Seedling

Put together

1108

Part G

Infrastructure and Service Automation

Part G 63.3

tings – positions and orientations from a television (TV) camera installed above the water tank. Secondly, another TV camera (Fig. 63.11) detects the position and orientation of the cutting, which is transferred to a table from the water tank by the manipulator. The TV camera indicates the grasping position of the cutting for another manipulator, shown in Fig. 63.11. Thirdly, the manipulator brings the cutting to the planting device via the leaf-removing device. Finally, the cuttings are stuck into a plug tray by the planting device. The leaf-removing device consists of a frame with cutters, a movable plate with rubber, and a solenoid actuator. The movable plate is driven to open and close by the solenoid actuator in order to cut lower leaves and arrange the shape of upper large leaves by chopping them with the cutters. Two identical devices are placed at an angle of 90◦ to cut the leaves completely since each leaf emerges at an angle of 144◦ from the main stem. Parts of the upper large leaves and lower petioles are cut by closing the movable plate. After this operation at the first device, the cuttings are moved to the second device and other leaves desired for removal are cut. The planting device mainly consists of a table to place the cuttings in a row and a holding plate which opens and closes. The holding plate is driven to open and close by a motor which is mounted on the table. The table and the plate are driven in linear motion by another motor and a screw, and are rotated by a motor. A cell tray is set below the planting device. The holding plate closes after ten cuttings are placed on the table since a row of the tray has ten cells. The table rotates until it is perpendicular to the tray and moves downward. The ten cuttings are stuck into the tray together and the planting device adopts the ini-

β

b

Machine Vision. To pick up and transfer the cuttings,

detection of the grasping point of the cutting is required. A monochrome TV camera whose sensitivity ranges from the visible to infrared regions was used with a 850 nm interference optical filter to enhance the contrast of the cutting on a black conveyor. The algorithm to detect the grasping point [63.82] is as follows: the complexity of the boundary line of the cutting on a binary image is investigated and candidate points of the stem tip are found. If only one candidate point is found in the image, the point is determined as a stem tip. When there are more than two candidate points, the complexity of the boundary line around the candidate points is detailed and points which are not adapted to conditions of the main stem are removed. The condition is that boundary lines around the stem tip have a lot of linearity. If only one candidate point remains after processing, the point is determined as the stem tip. In the case of plural points remaining, the whole boundary line of the cutting is detailed, the region of leaves is detected, and a candidate point which has a certain distance from the region of leaves is determined as the stem tip. When no point meets this condition or when more than two points remain even after the processing, the cutting is transferred back to the first stage, because it is too risky to determine the stem tip in these cases. The grasping point was defined as the position 10 mm above the stem tip. Experimental results indicated that about 95% cuttings are satisfactorily detected with no missed detection, and all remaining cuttings were transferred back.

a

Front axle

Rear axle

a

O2 b

tial position after the holding plate opens and the table moves upward.

O1

H

Fig. 63.12 Self-heading-correction mechanism and an unmanned sprayer (courtesy of Maruyama MFg., Co. Inc.) (af-

ter [63.84, 89])

Automation in Agriculture

63.3 Greenhouse Automation

1109

63.3.3 Automatic Sprayers

63.3.4 Fruit Harvesting Robots It can be said that the history of agricultural robots started with a tomato harvesting robot [63.94]. There has been much research on fruit harvesting robots for tomato, cherry tomato, cucumber, eggplant, and strawberry [63.95–100]. Vegetable harvesting robots have also been investigated, but there is no commercial robot yet. The main reasons limiting commercialization of harvesting robots are low success rates due to diversity of plant properties, slow operational speeds, and high costs associated with the seasonal affect. How-

Fig. 63.13 A tomato harvesting robot

ever, practical use of harvesting robots is expected in the future. Tomato Harvesting Robot Research on the first tomato harvesting robot started at Kyoto University in 1982 and several different types of tomato harvesting robots and their components have been developed. A cluster harvesting robot is now under development. The main components of many of the tomato harvesting robots are a manipulator, endeffector, machine vision, and traveling device, as shown in Fig. 63.13. The robot automatically travels between ridges and stops in front of a plant using photosensors and reflection plates on the ridges, which can give the location of the robot in the greenhouse. When the traveling device stops, a machine-vision system measures fruit color and location, the manipulator approaches the cluster, and an end-effector picks a fruit. After completing the operation at the location, the robot moves to the next location of the reflection plate. Phytological Characteristics of Tomato Plant Most tomato plants for the fresh market are usually grown on a vertical plane with supports or with hanging equipments until many fruit clusters are harvested. However, high-density single-truss tomato production systems (STTPS) have been reported [63.101]. In addition, an attempt was conducted to grow the tomato plant upside down on the tomato production system because of the smaller labor requirement for plant training and ease of mechanical operation. Some varieties are for individual harvesting while others are for cluster harvesting. Some varieties produce round-shaped fruits and longer fruits, depending on the season. There are also many fruit sizes. Fruit clusters are supposed to grow

Part G 63.3

Chemical control is required for crop production in controlled environments, and automation of the chemical spray is desirable to minimize exposure of chemicals. Spraying robots have been commercialized so far [63.83, 92, 93]. A key technology of the robots is autonomous control of the vehicle. Figure 63.12 shows the principle of the self-heading-correction mechanism. The front axle can be turned freely around an axis A–B which is fixed to the body diagonally. Assuming that a front wheel on one side runs on a ridge (it is off course), the center of this front wheel shifts from O1 to O2. At the same time, the other front wheel moves down and back. Consequently, the resulting steering angle β causes the vehicle to descend from the ridge, correcting its moving direction by itself. In the case where both a front and a rear wheel run on a ridge at the same time, the effect of the heading correction will be reduced because the steering angle β may be smaller. To obtain an appropriate steering angle, the rear tread is 35 mm shorter than the front tread. An unmanned sprayer is shown in Fig. 63.12. Another method is called electromagnetic induction type. Induction wires are laid down under ridge aisles and/or headland and a vehicle with an induction sensor detecting the magnetic field created by the wires can automatically travel along the wires. When the vehicles move to the next ridge aisle in narrow headlands in greenhouses, several methods have been reported: a pivot shaft that comes out to make the turn, four-wheel steering, an additional rail system to convey the vehicle to the next aisle, and a manual method. In orchards, automatic speed sprayers using induction wires and induction pipes were developed in 1993 and 1994, respectively. A method that uses a remote-controlled helicopter has been very popular in the fields.

1110

Part G

Infrastructure and Service Automation

outwards due to a growth rule, but the main stem sometimes twists, which causes random cluster direction so that tomato fruits may sometimes be hidden by leaves and stems. When a robot is introduced to the production system, it should be adaptable to plant diversity.

Part G 63.3

Manipulator The basic mechanism of a manipulator depends on the configuration of the plant, the three-dimensional (3-D) positions of its work objects, and the approach paths to the objects. In the first attempt to robotize the tomato harvesting operation, a five-degree-of-freedom (DOF) articulated manipulator was used [63.98], and a sevenDOF manipulator was investigated for harvesting six clusters [63.102]. However, the Dutch-style growing system has been popularly introduced to large-scale greenhouses throughout the world, and target fruit are always located at a similar height. Therefore, a selective compliant robot arm (SCARA)-type manipulator can be used. When the fruit cluster is transferred to a container quickly, cluster swing damping is required. End-Effector The fruit cluster has several fruits and their peduncles have joints in many varieties of tomato plants. When a human harvests ripe fruit one by one in the cluster, he/she can pick them off easily by bending them at the joints instead of cutting. To harvest the fruit, several end-effectors have been developed; Fig. 63.14 shows one of them [63.103]. A 10 mm-thick rubber pad is attached to each finger plate to protect the fruit from slipping and damage. The length, width, and thickness of a finger plate are 155, 45, and 10 mm, respectively. The gripping force exerted by the finger plates can be adjusted from 0 to 33.3 N, while these finger plates grip fruits ranging from 50 to 90 mm in diameter. The suction pad was attached to the end of a rack, which is driven back and forth by a DC motor and a pinion between the finger plates. The speed and stroke of the suction pad motion are 38 mm/s and 80 mm, respectively. The suction pad can be moved forward up to 43 mm from the tips of the finger plates. The moving distance and stopping position of the pad can be detected by a rotary-type potentiometer. Two limit switches are attached to both ends of the pad stroke in order to prevent the pad from overrunning. Machine Vision A traditional method of detecting 3-D locations of target fruits is feature-based stereo vision. A pair of identical color cameras acquire images and discriminate

Fig. 63.14 An end-effector

red-colored fruits. Based on the disparity of fruits on both images, the depth of the target fruit can be calculated. Although a small error in the 3-D location occurs because of hidden parts of the fruits, the suction pad can tolerate these error. It is not easy for stereo vision to detect all fruits locations when a corresponding problem happens due to hidden fruits and many fruits in the images. In this case, a 3-D laser sensor or area-based stereo vision may help detect the fruit depths. Traveling Device Figure 63.13 shows a four-wheel-type battery car on which a tomato harvesting robot is mounted. The traveling device moves and stops between the ridges and turns at the headlands to go to another ridge. In Dutch-style large-scale greenhouses, two heating pipes are usually used. It is easy to introduce a rail-type traveling device

Automation in Agriculture

as these pipes can be used as rails. Rail-type traveling devices (manual or self-propelled) are already used

63.4 Animal Automation Systems

1111

for leaf picking, manual harvesting, spraying, and many other operations in greenhouses.

63.4 Animal Automation Systems for advanced monitoring and control. Various systems will be presented in the following sections.

63.4.1 Dairy The dairy industry is probably the most automated agricultural production system, with almost all processes, from feeding to milking, being completely automated. In the dairy industry, many maintenance routines such as milking, feeding, weighing, and online recording of performance are fully automated on an individual animal basis. Optimal management is defined as producing maximum milk yield while minimizing costs. The computation and data-storage capacity of computers theoretically enable sophisticated decision-making to underpin the automated processes in order to obtain optimal individual and herd performance. These include automated feeders, sensors that measure daily activities of cows, and online automated parlor systems for recording milk production and quality. Reproduction monitoring includes systems for timing of insemination based on oestrus detection. Health care systems include detection of mastitis. The objective is to fully automate every process from feeding to milking to reduce production costs and maximize milk yield. The physical process of feeding and recording actual feed consumption is based on feed administration of concentrates and roughage, ration composition, and feed calculation for an individual cow or a group of cows. Analysis of performance data indicates that cow performance under a uniform rationing regime is consistent in trend but varies in magnitude, and therefore an optimal feed policy, in terms of efficient rationing of concentrates, should be on an individual basis [63.107]. An alternative approach, the sweeping method, is based on average values for the herd. This can cause cows not to reach maximum milk yield because of insufficient concentrate ration or imply that excess feed be consumed since there are cows that would have reached their maximum milk yield with a smaller concentrate ration. Both result in redundant financial expense. Due to the advent of technology, the farmer is able to allocate a different amount to each cow using individual computer-controlled calf feeders [63.108] and

Part G 63.4

Automation of animal husbandry systems includes the development of environmental control systems, automated weighing and monitoring systems, and automated feeding systems. Climate control of housed animals has an important influence on the productivity and health of the animals and therefore its control is very important [63.104]. However, this is a difficult and complicated task due to the nonlinear effects of the animals on the temperature and humidity conditions inside animal housing buildings [63.104]. In conditions where animals are housed outside, control is further complicated due to changing environmental conditions. Air-quality and environmental monitoring is important for environmental protection aspects and hence is gaining increasing attention and importance. Devices for electronic animal identification and monitoring became available in the mid 1970s and have enabled implementation of advanced management schemes [63.105], specifically for livestock and swine management. The ISO standardization of injectable electronic transponders in the late 1990s expanded applications to all animal species [63.105]. Several sensors have been developed to provide individual animal parameters such as size, weight, and fat. These parameters are used for management decisions. The current new generation of sensors enable health and production status monitoring, both improving animal welfare and ensuring increased food quality and safety. Recent developments include acoustic passive integrated transponder tags using micro-electromechanical systems (MEMS) technology [63.106]. Tags may be used for tracing animals from growth to final processing for quality control and food security purposes [63.106]. The main expense in animal production systems is food intake. Automated feeding systems decrease production costs while ensuring that animals receive necessary nutrient ingredients. Group and individual feeding systems have been developed to measure and control food intake. Production, health, and welfare controls are being introduced into modern farms using advanced information systems. Data from multiple sensors at the individual and group levels are taken on a daily basis

1112

Part G

Infrastructure and Service Automation

Part G 63.4

Fig. 63.15 A controlled automatic fodder consumption and feeding system (after [63.109])

integrated real-time control systems for measuring, controlling, and monitoring individual food intake of free-housed dairy cows [63.109]. Individual allocation decisions are made according to each cow’s performance, Performance parameters include the individual cow’s output (milk yield and composition) and measurements of physiological variables including body composition [63.110], shape, and size. An example of a system consisting of 40 feeding cells is shown in Fig. 63.15. Each cell comprises an identification system, a fodder weight system, and an automatic opening and closing yoke gate [63.109]. Each feeding stall consists of a feeding trough, an electronic weight scale and central processing unit (CPU), identification system, presence sensor, and a cylinder with valve. All components are connected to a programmable logic controller (PLC) which processes the data and activates the electropneumatic actuators. The data is backed up to a management computer. The management computer is also used as a monitoring station and a basic man–machine interface for defining basic operations and preliminary data analysis. The specific yoke design allows the cow’s head to enter the yoke gate without enabling access to the fodder. This places the radiofrequency identification tag on the cow’s ear close enough to the antenna and simultaneously activates the proximity sensor (by the cow’s head). If the cow is allowed to eat according to the predetermined conditions, the PLC records the current scale’s weight and the yoke gate bar is lowered by the associated electropneumatic cylinder. The cow may then push its head into the fodder trough and feed. The scale measures and records the weight of the fodder at predefined intervals. Each CPU scale is connected to the PLC directly via bi-

nary code to decimal (BCD) so no time delay is caused by weight transmission. A restriction bar on the fodder trough prevents the cow from pushing its head up, thereby preventing spillage of fodder. The use of presence sensors in the yoke appeared to be very important to determine if the cow had left the yoke station. The feeding troughs were arranged in a row to enable convenient dispersal of fodder (into the containers) by the passage of a semiautomated fodder dispersal wagon. Several methods have been developed for automatic weighing of cows. Cows are weighted as they exit the milking parlor so as not to interrupt their daily regime. The motion of cows creates measurement problems, including changes along the scale due to applied forces, crowding of cows on the scales, and significant variations between cows and between the same cow at different times of the day or on different days. Dynamic weighing of cows is a common practice in many commercial farms, achieved by filtering the measured signal and averaging it or recording the peak value as the cow transfers its weight [63.111–113] using physical mathematical models that simulate cow walking [63.114]. Milking cows is a complicated task due to the physics combined (teat treatment, control of the milking unit) and variable biological components (milk secretion, udder stimulation) including the risk of infecting the udder with pathogen microbes [63.115]. Although the first proposals for mechanical milking were presented over 100 years ago, milking machinery became common only in the early 1950s, with completely automatic milking systems being introduced in the 1990s [63.116]). First steps in automating the milking process included detection of end of milking and automatic teat cup detaching [63.115]. Various optical, capacitive, and inductive sensors were developed to detect low milk flow, which indicated end of milking [63.117]. Mechanized stimulation of udder was achieved by using pneumatic and electronic pulsators. Continuous individual variation of vacuum level, pulse rate, and pulse rate for each milking unit was developed. Milk yield recording is implemented using tipping trays and volumetric measuring systems, with many sophisticated measuring systems to separate air from milk to improve accuracy. Automatic milking requires automatic application of teat cups. Ultrasonic sensors, a charge-coupled device (CCD) camera, and a laser are used to locate the teats to control in real time the arm to adapt to the variations in teat positions, spacings, and shape and to the motions of the cow during teat attachment. In most systems a two-stage teat location process has been applied.

Automation in Agriculture

Fig. 63.16 Lely milking robot in an open barn with fans controlled when cow crowding is detected

nomic problems it causes. Leg health is also measured by measuring the dynamic weight or load of each leg while the cows are weighed on scales at the exit of the milking parlor. Several techniques have been developed including pedometers, activity meters worn around the neck, force plates that measure reaction forces on walk-through weighing systems [63.120–123], and increased respiration rate measured using laser distance sensor [63.120]. Ultrasonic back-fat sensor can provide information about the health or growth status of the livestock [63.124]. Another important health measure is mastitis, a main reason for reduced milk yield and early losses in cows, caused by the biological activity of microbes. It can be detected by counting the number of somatic cells in milk. Various methods have been developed to measure it accurately using electrical conductivity measurements, body temperature, and milk temperature. Recently inline near-infrared sensors have been developed to measure milk conductivity and milk temperature of each seperate quarter (a sensor is connected to each udder cup) [63.119]. The primary direct parameter to detect oestrus is concentration of milk hormones (progesterone), indicating the fertility status of the cow. However, it is commonly measured only in laboratories based on samples, although biosensors have been developed for its measurement [63.125]. Several indirect parameters have been developed into automated systems, including electrical conductivity of vaginal secretion, milk temperature, and cow behavior including cow activity measurement using pedometers, heart rate, etc. Improved measurement was achieved by combining information from several parameters (e.g., combining cow activity with milk yield, feed intake, milk temperature). Behavior measurement has been achieved using different systems: a radar-based automatic local position measurement system for tracking dairy cows in free stall barns [63.126], global positioning systems for measuring grazing behavior (Turner et al. [63.127], video measurements [63.128], and automatic tracking systems based on magnetic induction [63.129]. Environmental control systems in the dairy industry are less common since cows are located in barns that are open, shaded or partially shaded. Systems developed include automatic cooling using fans based on online imaging systems that detect crowding (Fig. 63.16) and microclimate and gas emissions in cold uninsulated cattle houses [63.130]. Management information systems that combine herd and individual health and production param-

1113

Part G 63.4

First, the approximate teat positions are determined by dead reckoning using body position sensors, ultrasonic proximity sensors or vision systems. The final attachment is achieved by fine-position sensors using arrays of light beams mounted on the robot arm. Automatic checks of udder condition and milk quality include online milk analysis. Milk quality is a critical parameter both from an economic point of view and from health perspectives [63.116]. Measures include conductivity, temperature, and color of milk, integrated with yield information. Biosensors have been used to measure antibiotic residues, mammary infection components, and metabolites including the development of electronic samplers that enable real-time measurements [63.118]. Online inline milk composition sensors measure in real time during milking the concentrations of fat, protein, and lactose, and indicate the presence of blood and somatic cell count (SCC) based on near-infrared analysis [63.119]. Various teat cleaning systems, including brushes and rollers or separate teat-cup-like cleaning devices, have been developed. In addition, systems for cleaning the complete system (circulation cleaning, cleaning with boiling water, cluster flushing [63.116]) are applied. Robot milking (see Fig. 63.16 for an example), introduced in the early 1990s by several commercial companies (e.g., Lely, DeLaval, GM Zenith, Fullwood Merlin), provides increased yield by increasing the frequency of milking and improved milk quality. Automatic health measurements during automatic milking include leg health measurement and respiration rate measurement [63.120]. Lameness detection is important due to the important welfare, health, and eco-

63.4 Animal Automation Systems

1114

Part G

Infrastructure and Service Automation

eters [63.131, 132] are important to ensure efficient automation. Further advances in design and management of livestock environments will require development of sustainable livestock production systems accounting systematically for the environmental benefits and burdens of the processes using a lifecycle assessment process [63.133]. Strategies will need to be developed to regulate and reduce harmful gas emissions from livestock farms and land application of manure [63.134].

63.4.2 Aquaculture Part G 63.4

Physiological rates of cultured species can be regulated by controlling the environmental conditions and system inputs. This yields increased process efficiency, reduced energy and water losses, reduced labor costs, and reduced stress and disease. Automation applications include algae and feed production, feed management, environmental controls such as filtration systems, and automated air-pressure control. An intensive waterquality monitoring program includes routine sampling (twice a week) and 24 h sampling (every 3 months) of

Fig. 63.17 Water channels and air distribution system. Air-

flow rate is controlled by regulating air-blower frequency using readings of oxygen concentration in the fish tank

nitrogen (NO3 , NO2 ), phosphate, pH, and temperature. Fish and shellfish biomass should be sampled and seaweed should be harvested [63.135, 136]. Automation usually exists in closed systems such as recirculated aquaculture systems, but it can also be applied to pond and offshore aquaculture systems. Intensive recirculating aquaculture systems (RAS) reduce land and water use at the expense of increased energy requirements for operating treatment processes to support high culture densities, often with the addition of pure oxygen (see, e.g., Fig. 63.17). The use of pure oxygen is usually expensive and requires considerable energy for dissolving in the water as well as for stripping off the carbon dioxide created by respiration. In conventional RAS design gas exchange and dissolved waste treatments (e.g., CO2 stripping and ammonia removal by nitrification) are linked into one water-treatment loop. However, because excretion rates of CO2 are an order of magnitude greater than ammonia excretion rates this design may result in toxic CO2 concentrations. In addition, pressurized pumping and pure oxygen addition may increase the risk of gas bubble disease. Hence, low-head recirculating system that separate the gases treatment loop (oxygen and CO2 ) from the nitrification and solid filtration treatment loop by using a high-efficiency airlift producing a bubbly flow are used [63.137]. The integrated pond system (IPS) concept suggests a novel solution for environmentally friendly land-based mariculture. The IPS recycles excreted nutrients (valuable nitrogen) through algal biofilters utilizing solar radiation for their photosynthetic processes [63.138]. Accurate size and shape information of wild and cultured fish population is important for managing the growth and harvesting process including feeding regimes, grading times, and optimum harvest time [63.139]. Information on both average weight and distribution is necessary for grading, feeding, and harvesting decisions [63.140]. Machine vision has been used to determine fish size [63.139,141], mass [63.140]; color [63.141], weight, and activity patterns. The problems with image capture in ponds are the low contrast between fish, the dynamic movement of fish, and changing lighting conditions. Real-time in situ fish behavior quantification and biomass estimation has also been used for management decisions [63.142]. The cost of feed is usually the major operating cost in aquaculture [63.143]. Overfeeding results in leftovers, which leads not only to extra costs but also to poor water quality, causing additional stress and extra loads on mechanical and biofilters and oxygenation

Automation in Agriculture

tion. Feed quantities are calculated on a daily basis to each fish tank according to fish weight, water temperature, and growth rate

devices [63.143]. In addition feeding rhythms affect feed conversion rates and proximal composition of fish flesh. Automated feeding systems (see, e.g., Fig. 63.18) include timer-controlled feeders [63.144], demand feeders, and automated data-acquisition systems to assess fish feeding rhythm, and acoustic, photoelectric sensors to detect the turbidity of the effluent. Hydroacoustic sensors and machine-vision systems have been used to detect left-over pellets. Future research should be directed towards engineering environmental monitoring and controlling recirculated systems, and the development of sustainable automated systems. Considering that sustainable development is probably the major challenge faced by aquaculture [63.145, 146], one should consider sustainability, which can be considered in three main categories: environmental, economical, and sociological [63.147]. Another perspective of sustainable development relates to resource utilization and external effects that are described by various indicators (mainly in physical terms [63.136]). This should include online reporting of system failures and automation of the final harvesting and grading process [63.148], thereby improving food safety and maintaining product quality.

63.4.3 Poultry Poultry house controllers include sensors for internal and external temperature measurement, moisture, static pressure, feed lines, water consumption, and gas and vent box status [63.149, 150]. Additional automa-

tion equipment includes feed consumption monitoring equipment, bird weight scales, feed bin load sensors, gas meters, and water meters. Physiological signals are important for health monitoring and behavior analysis. Several systems have been developed, including an implanted radiotelemetry system for remote monitoring of heart rate and deep body temperature, and multispectral image analysis for realtime disease detection [63.151]. An automated growth and nutrition control system has been developed for broiler production using an online parameter estimation procedure to model the dynamic growth of broiler chickens as a response to feed supply [63.152]. Image based bird behavior analysis can be can be used to develop time profiles of bird activity (movement, response to ventilation, huddling, etc.) as well as to compare activity levels in different portions of the house. Time profiles of bird activity can contribute to improved feeder and water design, and enhanced distribution of ventilation air to provide more uniform bird comfort [63.149, 150, 153]. Several mechanical poultry catching systems [63.154] have led to improvements in bird welfare in addition to manual labor reduction. Systems include [63.154]: rubber paddles that rotate onto the birds from above and then push the birds onto a conveyor belt which carries them back to a loading platform where they are deposited into crates, a hydraulic drive system that advances along the poultry house and picks up the birds with soft rubber-fingered cylinders that gently lift them onto a conveyor that transfers the birds to a caging system, and the Anglia Autoflow (Norfolk, UK) batch-mode catcher that shuttles birds from collection to a separate packing unit.

63.4.4 Sheep and Swine Robot shearing operations have been developed and commercially applied in Australia [63.155]. The sheep is constrained with straps on a movable platform. Hydraulically position clippers using force feedback control the actual shearing of the wool. Path computations are continuously updated during the shearing process. Several feeding systems exist in sow farming: commercial electronic feeding systems that feed one at a time by enclosing each sow as it eats, electronic sow feeding systems in loose housing environments that limit the feed ration [63.156], and a computercontrolled system that allows sows to feed from one of two feed formulations to meet their nutritional re-

1115

Part G 63.4

Fig. 63.18 Aquaculture closed system with a feeding sta-

63.4 Animal Automation Systems

1116

Part G

Infrastructure and Service Automation

Part G 63.5

quirements while satisfying their need for satiety by using bulk ingredients providing automatic body weight and average daily weight gain [63.157]. An important indicator of animal growth and health is the animals’ weight, in addition to its importance in determining readiness for market. Weighing has been accomplished using walk-through weighing based on mechanical scales and imaging systems [63.158]. Physiological variables measurements include body shape and size using image analysis [63.159]. Ultrasonic probes have been applied to measure back fat for monitoring animal growth and feeding regimes. A robotic system capable of holding a sensor and placing it on the pig while it is located in the feeding stall has been developed [63.160].

Real-time behavior and control of swine thermal comfort has been achieved using imaging systems [63.161]. Planning individual showering systems for pregnant sows to prevent heat stress [63.162] as been used in automatic shower cages to prevent waste water and improve efficiency. Automatic cleaning systems to reduce infections risks between batches of pigs has been used based on an intelligent sensor for robotic cleaning [63.163]. Recent environmental policies limiting the amount of nitrogen and phosphorus that can be applied in the field have led to the development of online analysis of pig manure systems, including mobile spectroscopy instruments in the visible and near-infrared wavebands [63.164].

63.5 Fruit Production Operations Fruit production automated systems deal with all stages of production: growing (automated sprayers, weeders), harvesting, and post harvest operation (grading, sorting).

63.5.1 Orchard Automation Systems Fruit production operations in orchards such as pruning, thinning, harvesting, spraying, and weeding have been mechanized and automated. Even when automation systems have been developed for the same variety of fruit tree, their components differ substantially because plant training systems, cultivation methods, climate conditions, labor conditions, and other conditions and situations differ from country to country. This section describes functions, mechanisms, and important observations of automation systems in orchards. Fruit Harvesting Robots in Orchards Several types of shakers are working in orange fruit orchards: trunk shake and catch, mono-boom trunk shake, canopy shake and catch, continuous canopy shake, and others. These shakers are used due to labor shortage, but the harvested fruits are only for processing into juice; they cannot be consumed in the fresh market because of unavoidable damage. Several types of orange harvesting robots that have manipulators with picking end-effectors and machine-vision systems have been reported in the USA, Japan, and European countries [63.165–169]. Figure 63.19 shows an articulated manipulator with three degrees of freedom (DOFs) mounted on the base attached to the boom.

It was developed by Kubota Co., Ltd., Japan. The advantage of the articulated manipulator is its compact size when folded up in a narrow space between trees. Figure 63.20 shows a prismatic arm with three DOFs driven by hydraulic power. Citrus trees have large canopies and many branches, twigs, and leaves. Since these can often be obstacles for fruit harvesting, research on robots with more degrees of freedom has also been reported [63.170, 171]. Color cameras were often used as sensing systems to detect fruit because citrus fruit have orange colors. Fruit locations are calculated by use of stereo vision, differential object size, vision servoing, ultrasonic sensors or a combination of them [63.172–179]. Their end-effectors have the function of rotating semicircular cutters so that they can cut peduncles in various directions.

Fig. 63.19 Orange harvesting robot (Kubota Co., Ltd.)

Automation in Agriculture

End-effector

63.5 Fruit Production Operations

1117

Manipulator

Ridge Guide rollers

Fig. 63.21 A multioperation robot

Grape [63.180, 181], apple [63.182, 183], melon [63.184], watermelon [63.185], and other fruit harvesting robots [63.186] have also been studied. The basic mechanisms of the manipulators depend on fruit tree canopy size and shape. Grapevines in many of European and American countries are grown in crop rows, but those in Asian countries are grown on a trellis training system due to different climate conditions. Melons and watermelons are grown on the ground. There is research on fence-style training systems for orange trees [63.187] for higher-quality products. This approach of changing the training system takes a horticultural approach to accomplish a higher rate of success for harvesting robots. Since the harvesting operation is usually conducted once in a year in orchards, during a short period, a robot which can only harvest fruits is not economical. Therefore, other operations such as thinning, bagging, and spraying are needed for the orchard robot. Some of these functions are accomplished by replacing end-effectors and software [63.188]. Automation of Spraying and Weeding Operations Control of disease, insect pests, and weeds is an essential operation to gain a stable high yield of crops and high-quality products. This operation includes biological, physical, and chemical methods. Chemical spraying is widely used in agricultural production environments. Today, technologies with high accuracy to spray only the necessary parts of the plant using a minimum amount of chemicals are required to protect workers as well as the environment. A nozzle-positioning system for a precision sprayer was studied with a robust crop position detection sys-

tem at Tohoku Experimental Station, Japan [63.189] under varying field light conditions in rice crop fields. The data from a vision sensor was transmitted to a herbicide applicator that is made up of a microcontroller, with slidable arms coupled with spray nozzles. Nozzles were driven to the optimal positions. The system was tested to evaluate its performance. It had high enough accuracy for use in Japanese rice crop fields. A fluidhandling system to allow on-demand chemical injection was developed for a machine-vision-controlled sprayer. The system was able to provide a wide range of flow rates of chemical solution [63.190]. Wiedemann et al. [63.191] developed a spray boom that could sense mesquite plants. Sprayers were attached to tractors and all-terrain vehicles. Controllers were designed to send fixed-duration voltage pulses to solenoid valves for spray release through flat-fan nozzles when mesquite canopies interrupted the light. The levels of mesquite mortality achieved were equivalent to those achieved by hand-spraying by ground crews. The speed-sprayer (SS) has been widely used in orchards and its autonomous control is a main theme in the automation of the spraying operation. The electromagnetic induction type and pipe induction type were commercialized in 1993 and 1994 [63.192, 193]; both types require induction wires or pipes on the ground, underground, or above ground at 150–200 cm height and between tree rows. Induction sensors, safety sensors (ultrasonic sensor, touch sensors), and other internal sensors are installed in the unmanned SS, and autonomous control is conducted with fuzzy theory. Another method of SS control with genetic algorithm and fuzzy theory using GPS has been reported [63.194]. Figure 63.21 shows a multioperation robot with a three-DOF Cartesian coordinate manipulator and an end-effector [63.195]. When an end-effector shown in Fig. 63.22 is attached to the manipulator, it can weed

Part G 63.5

Fig. 63.20 Orange harvesting robot (University of Florida)

1118

Part G

Infrastructure and Service Automation

Fig. 63.22

A weeding endeffector DC motor

Weeding knife 40 mm

Part G 63.5

on the ridge between crops. Color images of the weed are fed to a computer from a color camera and the three-dimensional location of the weed is calculated using a binocular stereo method. Weed detection is conducted using color or texture difference between weed and soil or crops [63.196–198]. The end-effector was a weed knife with a spiral shape (4 cm diameter). This robot can also be a leaf-vegetable harvesting robot or a transplanting robot when the end-effectors were replaced [63.186].

ity among many farmers associations. The impetus for these trends can be attributed to increased awareness of consumers about their health well-being and a response from producers to provide quality-guaranteed products with consistency. It is in this context that the field of automatic inspection and machine vision comes to play the important role of quality control for agricultural products [63.184–188]. Unlike most industrial products, quality inspection of agricultural products presents specific challenges because nonstandard products must be inspected according to their appearance and internal quality, which are acceptable to customers only for nondestructive methods [63.199]. Several sensors have been developed and applied for internal quality determination, including sugar content, acidity, rind puffing, rotten core, and other internal defects [63.190–194].

63.5.2 Automation of Fruit Grading and Sorting

Fruit Grading System with Conveyors Figure 63.23 shows an automated inspection system for quality control of various agricultural products, with fruits and vegetables being the main ones. As a representative of other agricultural products, discussion in this section is focused mainly on the orange fruit, a major agricultural product inspected by this system. The main components of the system for automated inspection and sorting can be outlined as follows:

Because of the ever-growing need to supply highquality food products within a short time, automated grading of agricultural products is getting special prior-

1. Product reception from supplier 2. Container unpacking and dumping of products 3. Feeding of products to the conveyor line

Judgement PC Sugar-acid PC

X-ray image PC

Light interceptor

X-ray camera

Image A PC

Camera A in

Camera A out

Image B PC

Camera A top

Spin, 180 ° turn Light projector

X-ray generator

Camera B in

Camera B out

Fig. 63.23 A schematic diagram of the camera and lighting setup

DL light

Camera B top

Automation in Agriculture

4. Inspection for internal and external conditions and defects followed by assignment of quality rating 5. Weight adjustment and release of the inspected product into packing box 6. Labeling of grade and size using an inkjet printer 7. Box closure and sealing 8. Box transfer onto palette and loading ready for marketing

Illumination and Image-Capture Devices Illumination is one of the most important components for the machine-vision system to inspect products, because it determines the quality of images acquired, especially for glossy products whose cuticular layers are thick. Polarizing filters are sometimes used in front of lighting devices and camera lenses to eliminate halation on the acquired images [63.195]. A color CCD TV camera is often employed to sense light through photosensitive semiconductor devices, and the CCD array data is transferred by progressive scan mode to the frame storage area, representing an image of the scene [63.196]. The TV camera is equipped with one chip that transfers red–green–blue (RGB) analogue data and is set at a shutter speed of 1/1 000 s during inspection because line speed is usually 1 m/s. Image-capture boards with 8 bit level resolution and spatial resolution of about 512 × 512 pixels have been used to store and digitize video signals and output the data to computer memory for analysis or display on the monitor. Recently, a special image-acquisition device, a universal serial bus (USB) or a local-area network (LAN) is often used between TV camera and personal computer (PC) instead of the image-capture board, enabling image processing to be performed within 10–30 ms. Product Reception and Forwarding The first step in the inspection procedure starts at the receiving platform, situated on the ground floor. Agricultural products are packed into containers by the farmers and delivered to the inspection factory in trucks. A folk lift is used to unload the containers on one pallet and deliver them to the depalletizer device that separates the containers automatically so that they are fed one by one to the conveyor, which propels them to the upper floor, where the main inspection line is located.

1119

The depalletizer has a capacity to handle 1200–1400 pallets per hour. After depalletization, the containers are handled by the dumper machine. The dumper is an automated machine that turns and empties the containers gently and then spreads the fruit on a belt conveyor. Using specialized rollers fruits are singulated so that they are fed singly to the roller-pin conveyor before processing. To acquire a complete view of the fruit the roller pins have been designed so that the fruit is always positioned at the center point. Internal Quality Inspection The first stage in the inspection sequence is to determine the sugar and acid contents using a near-infrared (NIR) inspection system. A special sensor determines the sugar content (brix equivalent) and acidity level of the fruits from light wavelengths received by specific sensors after light is transmitted through the fruit. The sensor photoelectrically converts the light into signals and sends them to the computer unit, where they are processed and classified. In addition, the internal fruitquality sensor measures the granulation level of the fruit, which indicates its internal water content. Next, the fruit is conveyed to the x-ray imaging component, which inspects for biological defects such as rind puffing and the granulation status of the juice sacs. X-ray imaging operates by transmitting x-rays released from a generator through the orange fruit. The emitted optical x-ray image is relayed to the x-ray scintillator, an optical device that consists of a thin coat of luminescent materials through which x-rays are converted into the visible light of a normal image. The resulting image is captured by a monochrome CCD camera and copied to computer memory through an image-capture board. Image Analysis At the next operation, the fruit is conveyed to the third inspection stage where the main image processing and grading takes place using factory automation computers (Fig. 63.23). Six CCD cameras set in random trigger mode acquire images of the fruits as they are conveyed at constant speed. Firstly, two side cameras on the right side, placed equidistance from the central position of the fruit, capture images of the right side of the fruit. Next, two side cameras on the left side, again placed equidistance from the central position of the fruit, capture images of the left side of the fruit. Next, a top camera acquires the top surface image. Finally, the fruit is then spun through 180◦ around a horizontal axis by mechanically controlled roller pins, which facilitates the

Part G 63.5

These features are integrated into an operational line that combines advanced designs, expert fabrications, and automatic mechanical control with the main objective of offering the best visual solutions and stable quality judgment.

63.5 Fruit Production Operations

1120

Part G

Infrastructure and Service Automation

acquisition of another image of the lower surface by a sixth camera. Images are captured by CCD cameras after a trigger signal is received through the digital input/output (DIO) board. Sensors, wired to a sequencer box, are used to track the fruit position and the output is relayed to the DIO board from where the program reads the 8 bit output data. Image processing is then executed and the following features are inspected:

Part G 63.5

1. Size (maximum and minimum diameter, area, and extrapolated diameter) 2. Color (color space based on hue, saturation, intensity (HSI) values and RGB ratios) 3. Shape (perimeter L, L 2 /area, inflection point of outer surface, and center of gravity) 4. Bruise (based on intensity of blue color level and summation of color levels for blue, and R–G derived images) Results of processing are written to a shared memory area using a memo-link from where a judgment PC makes decision about the quality of the fruit. Grading for quality is assigned by ranks of between four and six. Graded fruits are conveyed to the weight adjustment machine, which controls the total weight of oranges to be packed in a box according to preset values. Between four and eight weight rankings of fruits are used to fill different pack boxes. An automatic barcode labeler then prints the grade and size outputs on the box using an inkjet printer before the packing box is closed by the packing machine and then automatically sealed by the case-sealer machine. At the end of the product inspection line a robot-controlled palletizer completes the process by arranging the boxes onto palettes ready for onward loading onto trucks and transport to consumer markets. Data Maintenance An important feature of the grading system design is that it is adaptable to the inspection of different products such as potato, tomato, persimmon, sweet pepper, waxed apple, and kiwi fruits with adjustments only to the processing codes. Several lines for orange fruit inspection combined with high conveyance and highspeed microprocessors enable the system to handle large batches of fruit product at high speeds. Apart from quality inspection another objective of this system is to gather data about product performance. Identification of certain defects and counts can lead to the discovery of the cause and its severity. All data from receipt of fruits

at the collection site through the analytical processes, packing, and shipping is stored in an office computer connected through a local-area network (LAN) to the corresponding factory automation PCs. Experienced personnel manage the data, based on which day-to-day operations can be monitored remotely. By making product performance records available on the Internet it will be possible to monitor performance online and provide a useful service to the customers. All in all, the customer of a product is the final judge of its quality. Therefore, keeping internal standards and specifications in line with customer expectations is a priority that is achieved through good relationships and regular communications with the customer. Fruit Grading Robot Based on the technologies of the grading system described in the previous section and robotic technologies, a fruit grading robot as shown in Fig. 63.24 was developed in 2002. This robot system has two three-DOF Cartesian manipulators, 16 suction cups as end-effectors, and 16 machine-vision systems consisting of 16 color TV cameras and 36 lighting devices. This can be applied to tomato, peach, pear, apple, and other fruits. Operation flow with this grading system is as follows:

1. When products are received, four blocks of containers (a block consists of ten containers) are loaded on a pallet. 2. A block of the containers is lifted up to the second floor, where the main parts of the grading system work, and a container separator sends containers one by one to a barcode reader.

Fig. 63.24 A tomato fruit grading robot

Automation in Agriculture

Figure 63.25 shows the actions of the two manipulators: the fruit providing and grading robots. A container in which 8 × 6, 6 × 5, 6 × 4 or 5 × 3 fruits are filled is pushed into the working area of the providing robot by a pusher (1). The providing robot has a three-DOF Cartesian coordinate manipulator and eight suction pads as end-effectors. The robot sucks eight (maximum) fruits up (2) and transfers them to a halfway stage, spacing fruit intervals in the y direction (3). Two providing robots independently work and set 16 (maximum) fruits on a halfway stage. A grading robot which consists of another three-DOF manipulator (two prismatic joints and a rotational joint) and 16 suction pads sucks them up again (4) and moves them to trays on a conveyor line. Bottom images of fruits are acquired as the grading robot moves over 16 color TV cameras. The cameras and lighting devices turn down 90◦ following the grading robot’s motion (5). Before releasing the

Blower

x

Grading robot

z

Providing robot

TV camera Halfway stage

Pusher Lifter

Pusher

Fig. 63.25 Actions of robots

fruits to trays on the line, the TV cameras acquire four side images of fruits as they rotate through 270◦ (6). After image acquisition, the robot releases the fruits into trays (7) and a pusher pushes 16 trays to a conveyor line (8). This grading robot’s maximum speed was 1 m/s and its stroke was about 1.2 m. It took 2.7 s for the robot to transfer the 16 fruit to trays, 0.4 s to move down from the initial position, 1 s to move back from releasing fruits, and 0.15 s for waiting. Total time was 4.25 s to move back and forth for the stroke. This makes this robot performance approximately 10 000 fruit/h. In this system, four blowers with specification of 1.4 kW, 3400 rpm, 38 kPa, 1.3 m3 /min displacement were used for two providing robots and a grading robot. About 30 kPa vacuum force was suitable for sucking peach fruit, while 45 kPa was used for pear and apple fruits and no damage was observed even after sucking peach fruits twice. The tray has a data carrier (256 byte EE-PROM), and grading information of each fruit is sent from a computer to the data carrier through an antenna after image processing. A conveyor line transfers the trays at 30 m/min [63.197].

63.6 Summary Despite the problems in introducing automation into agricultural production systems many automation systems have been developed and are commonly applied in agricultural operations. Automation has increased the

1121

efficiency and quality of agricultural production systems. However, automated or semiautomated farming is far from a reality in many parts of the world. Due to

Part G 63.6

3. After obtaining information from each barcode attached to a container, the container is sent to a robot, the fruit providing robot. 4. The robot sucks fruits up by using suction pads and moves them to a halfway stage. 5. Another robot, the grading robot, picks fruits up again from the halfway stage and bottom and side images of fruits are acquired by TV cameras during transferring fruits. 6. The robot transfers them to trays on a conveyor line and a top image of the fruit is acquired in a camera box. 7. After appearance inspection, internal conditions and sugar content are inspected by an infrared analysis sensor. 8. Fruit that pass the internal quality sensor box are packed into a corrugated cardboard box by a packing robot based on their grading results. Grade, size, and name of fruit variety are printed on the box surface by an inkjet printer and the box is closed and sealed. 9. Finally, the boxes are transferred onto palettes and are loaded into a truck for marketing.

63.6 Summary

1122

Part G

Infrastructure and Service Automation

cheap labor in third-world countries, much of the work on farms is still performed manually. Despite the large capital investment needed to purchase the equipment, automation will probably be introduced also into these countries to provide the needs for increased production and land efficiency. In industrialized countries the production trend is towards large-scale farms and hence automation will be advanced and commercialized to make this feasible. Farmers must produce their food at competitive prices

to stay in business and automation of farming technology is the only way forward. With the improvement of sensors and computers, and decrease of automation equipment costs, this is becoming feasible and more systems will be introduced. The current century will probably see significant advances in automation and robotization of farm operations. The future farm will include integration of advanced sensors, controls, and intelligent software to provide viable solutions to the complex agricultural environment.

References

Part G 63

63.1

63.2

63.3

63.4

63.5

63.6

63.7

63.8

63.9

63.10

63.11

63.12

J.K. Schueller: Automation and control. In: CIGR Handbook of Agricultural Engineering, Information Technology, Vol. VI, ed. by A. Munack (CIGR, Tzukuba 2006) pp. 184–195, Chap. 4 H.G. Ferguson: Apparatus for coupling agricultural implements to tractors and automatically regulating the depth of work, Patent GB 253566 (1925) G. Singh: Farm machinery. In: Agricultural Mechanization & Automation, Encyclopedia of Life Support Systems (EOLSS), ed. by P. McNulty, P.M. Grace (EOLSS, Oxford 2002) J.N. Wilson: Guidance of agricultural vehicles a historical perspective, Comput. Electron. Agric. 25(1), 3–9 (2000) T. Torii: Research in autonomous agriculture vehicles in Japan, Comput. Electron. Agric. 25(1), 133–153 (2000) R. Keicher, H. Seufert: Automatic guidance for agricultural vehicles in Europe, Comput. Electron. Agric. 25(1), 169–194 (2000) J.F. Reid, Q. Zhang, N. Noguchi, M. Dickson: Agricultural automatic guidance research in North America, Comput. Electron. Agric. 25(1), 155–167 (2000) H. Auernhammer, T. Muhr: GPS in a basic rule for environment protection in agriculture, Proc. Autom. Agric. 11(91), 394–402 (1991) M. O’Connor, T. Bell, G. Elkaim, B. Parkinson: Automatic steering of farm vehicles using GPS, Proc. 3rd Int. Conf. Precis. Agric. (Minneapolis 1996) pp. 767– 778 T. Stombaugh, E. Benson, J.W. Hummel: Automatic guidance of agricultural vehicles at high field speeds, ASAE Paper No. 983110 (ASAE, St. Joseph 1998) T. Bell: Automatic tractor guidance using carrierphase differential GPS, Comput. Electron. Agric. 25(1/2), 53–66 (2000) N. Noguchi, M. Kise, K. Ishii, H. Terao: Field automation using robot tractor, Automation Technology for Off-road Equipment, Proc. 26–27 July Conf., ed. by Q. Zhang (ASAE, Chicago 2002) pp. 239– 245

63.13

63.14

63.15

63.16

63.17

63.18

63.19

63.20

63.21

63.22

63.23

63.24

63.25

G.P. Gordon, R.G. Holmes: Laser positioning system for off-road vehicles, ASAE Paper No. 88-1603 (ASAE, St. Joseph 1988) N. Noguchi, K. Ishii, H. Terrao: Development of an agricultural mobile robot using a geomagnetic direction sensor and image sensors, J. Agric. Eng. Res. 67, 1–15 (1997) J.F. Reid, S.W. Searcy, R.J. Babowic: Determining a guidance directrix in row crop images, ASAE Paper No. 85-3549 (ASAE, St. Joseph 1985) J.B. Gerrish, G.C. Stockman, L. Mann, G. Hu: Image rocessing for path-finding in agricultural field operations, ASAE Paper No. 853037 (ASAE, St. Joseph 1985) J.A. Marchant, R. Brivot: Real time tracking of plant rows using a Hough transform, Real Time Imaging 1, 363–375 (1995) J.A. Marchant: Tracking of row structure in three crops using image analysis, Comput. Electron. Agric. 15, 161–179 (1996) S. Han, Q. Zhang, B. Ni, J.F. Reid: A guidance directrix approach to vision-based vehicle guidance systems, Comput. Electron. Agric. 43, 179–195 (2004) J. Billingsley, M. Schoenfisch: The successful development of a vision guidance system for agriculture, Comput. Electron. Agric. 16(2), 147–163 (1997) J.A. Farrell, T.D. Givargis, M.J. Barth: Real-time differential carrier phase GPS-aided INS, IEEE Trans. Control Sys. Technol. 8(4), 709–721 (2000) L. Guo, Q. Zhang, S. Han: Position estimate of offroad vehicles using a low-cost GPS and IMU, ASAE Paper No. 021157 (ASAE, St. Joseph 2002) M.A. Abidi, R.C. Gonzales: Data fusion. In: Robotics and Machine Intelligence (Academic, San Diego 1992) M.S. Grewal, A.P. Andrews: Kalman Filter: Theory and Practice Using MATLAB, 2nd edn. (Wiley, New York 2001) O. Cohen, Y. Edan: A new framework for online sensor and algorithm selection, Robot. Auton. Syst. 56(9), 762–776 (2008)

Automation in Agriculture

63.26 63.27

63.28

63.29

63.30

63.32

63.33

63.34

63.35

63.36

63.37

63.38

63.39

63.40

63.41

63.42

63.43

63.44

63.45 63.46

63.47

63.48

63.49

63.50

63.51

63.52

63.53

63.54

63.55

63.56

63.57

63.58

automated harvesting, Proc. 8th Int. Top. Meet. Robot. Remote Syst. (1999) T. Pilarski, M. Happold, H. Pangels, M. Ollis, K. Fitzpatrick, A. Stentz: The Demeter system for automated harvesting, Auton. Robot 13, 19–20 (2002) RAS: Robotics and Automation Society, Service Robots (IEEE, Piscataway 2008) B. Astrand, A.J. Baerveldt: An agricultural mobile robot with vision-based perception for mechanical weed control, Auton. Robot 13, 21–35 (2002) R.N. Jørgensen, C.G. Sørensen, J.M. Pedersen, I. Havn, H.J. Olsen, H.T. Søgaard: Hortibot: An accessory kit transforming a slope mower into a robotic tool carrier for high-tech plant nursing - part I, ASAE Paper No. 63082 (ASAE, St. Joseph 2006) C.G. Sørensen, R.N. Jørgensen, M. Nørremark: HortiBot: Application of quality function deployment (QFD) method for horticultural robotic tool carrier design planning - part II, ASAE Paper No. 67021 (ASAE, St. Joseph 2006) M. Nørremark, C.G. Sørensen, R.N. Jørgensen: HortiBot: Comparison of potential present and future weeding technologies - part III, ASAE Paper No. 67023 (ASAE, St. Joseph 2006) J.L. Merriam, S.W. Styles, B.J. Freeman: Flexible irrigation systems: concept, design, and application, J. Irrig. Drain. Engrg. 133(1), 2–11 (2007) S.J. Kim, P.S. Kim: Optimal gate operation of irrigation reservoir using water management program, ASAE Paper No. 042067 (ASAE, St. Joseph 2004) G. Park, M.S. Lee, S.J. Kim: Networking model of paddy irrigation system using archyhydro GIS, ASAE Paper No. 052079 (ASAE, St. Joseph 2005) Y. Lam, D.C. Slaughter, W.W. Wallender, S.K. Upadhyaya: Machine vision monitoring for control of water advance in furrow irrigation, Trans. ASAE 50(2), 371–378 (2007) Y. Lam, D.C. Slaughter, S.K. Upadhyaya: Computer vision system for automatic control of precision furrow irrigation system, ASAE Paper No. 062078 (ASAE, St. Joseph 2006) Y. Kim, R.G. Evans, W. Iversen, F.P. Pierce, J.L. Chavez: Software design for wireless in-field sensor based irrigation management, ASAE Paper No. 063704 (ASAE, St. Joseph 2006) N.L. Klocke, C. Hunter, M. Alam: Application of a linear move sprinkler system for limited irrigation research, ASAE Paper No. 032012 (ASAE, St. Joseph 2003) B.A. King, R.W. Wall, L.R. Wall: Distributed control and data acquisition system for closedloop site-specific irrigation management with center pivots, Appl. Eng. Agric. 21(5), 871–878 (2005) M. Yitayew, K. Didan, C. Reynolds: Microcomputer based low-head gravity-flow bubbler irrigation

1123

Part G 63

63.31

H. Choset: Coverage for robotics - a survey of recent results, Ann. Math. Artif. Intell. 31, 113–126 (2001) T. Oksanen, S. Kosonen, A. Visala: Path planning algorithm for field traffic, ASAE Paper No. 053087 (ASAE, St. Joseph 2005) J. Jin, L. Tang: Optimal path planning for arable farming, ASAE Paper No. 061158 (ASAE, St. Joseph 2006) U. Shani: Filling regions in binary raster images: a graph-theoretic approach, SIGGRAPH ’80 Conf. Proc. (ACM, New York 1980) pp. 321–327 Y.Y. Huang, Z.L. Cao, E.L. Hall: Region filling operations for mobile robot using computer graphics, Proc. of IEEE Int. Conf. Robot. Autom. (1986) pp. 1607–1614 Z.L. Cao, Y. Huang, E.L. Hall: Region filling operations with random obstacle avoidance for mobile robots, J. Robot. Syst. 5(2), 87–102 (1988) S.A. Gray: Planning and Replanning Events for Autonomous Orchard Tractors. Ph.D. Thesis (Utah State University, Utah 2001) J. Park, P.E. Nikravesh: A look-ahead driver model for autonomous cruising on highways, 1996 Future Transport. Technol. Conf. Expo. (Warrendale, 1996) Q. Zhang, H. Qiu: A dynamic path search algorithm for tractor automatic navigation, Trans. ASAE. 47(2), 639–646 (2004) D. Wu, Q. Zhang, J.F. Reid, H. Qiu: Adaptive control of electrohydraulic steering system for wheel-type agricultural tractors, ASAE Paper No. 993079 (ASAE, St. Joseph 1999) Q. Zhang: Hydraulic linear actuator velocity control using a feedforward-plus-PID control, Int. J. Flex. Autom. Integr. Manuf. 77, 275–290 (1999) H. Qiu, Q. Zhang, J.F. Reid: Fuzzy control of electrohydraulic steering systems for agricultural vehicles, Trans. ASAE 44(6), 1397–1402 (2001) L. Guo, Q. Zhang, S. Han: Agricultural machinery safety alert system using ultrasonic sensors, J. Agric. Saf. Health 8(4), 385–396 (2002) J. Wei, F. Rovira-Mas, J.F. Reid, S. Han: Obstacle detection using stereo vision to enhance safety of autonomous machines, Trans. ASAE 48(6), 2389– 2397 (2005) M. Kise, Q. Zhang, N. Noguchi: An obstacle identification algorithm for a laser range finder-based obstacle detector, Trans. ASAE 48(3), 1269–1278 (2005) Y. Matsuo, S. Yamamoto, O. Yukumoto: Development of tilling robot and operation software. In: Autom. Technol. Off-Road Equip. (ATOE) Proc., ed. by Q.Zhang, ASAE Publication No. 701P0509 (2002) pp. 184–189 J.F. Reid: Mobile intelligent equipment for off-road environments, Proc. ATOE Conf. (ASAE, St. Joseph 2004) pp. 1–9 T. Pilarski, M. Happold, H. Pangels, M. Ollis, K. Fitzpatrick, A. Stentz: The Demeter system for

References

1124

Part G

Infrastructure and Service Automation

63.59

63.60

63.61

63.62

Part G 63

63.63

63.64

63.65

63.66

63.67

63.68

63.69

63.70

63.71

63.72

system design, Comput. Electron. Agric. 22, 29–39 (1999) F.S. Zazueta, A.G. Smajstrla: Microcomputer-based control of irrigation systems, Appl. Eng. in Agric. 8(5), 593–596 (1992) B. Cardenas-Lailhacar, M.D. Dukes, G.L. Miller: Sensor-based control of irrigation in Bermudagrass, ASAE Paper No. 052180 (ASAE, St. Joseph 2005) M.B. Haley, M.D. Dukes: Evaluation of sensor based residential irrigation water application, ASAE Paper No. 072251 (ASAE, St. Joseph 2007) S.R. Evett, R.T. Peters, T.A. Howell: Controlling water use efficiency with irrigation automation, South. Conserv. Syst. Conf. (Amarillo 2006) D.F. Wanjuru, S.J. Maas, J.C. Winslow, D.R. Upchurch: Scanned and spot measured temperatures of cotton and corn, Comput. Electron. Agric. 44, 33–48 (2004) S.R. Herwitz, L.F. Johnson, S.E. Dunagan, R.G. Higgins, D.V. Sullivan, J. Zheng, B.M. Lobitz, J.G. Leung, B.A. Gallmeyer, M. Aoyagi, R.E. Slye, J.A. Brass: Imaging from an unmanned aerial vehicle surveillance and decision support, Comput. Electron. Agric. 44, 49–61 (2004) J.A. Poss, W.B. Russell, P.J. Shouse, R.S. Austin, S.R. Grattan, C.M. Grieve, J.J. Lieth, L. Zheng: A volumetric lysemeter system: an alternative to weighing lysimeters for plant-water relations studies, Comput. Electron. Agric. 43, 55–68 (2004) Y. Kim, R.G. Evans, W. Iversen, F.P. Pierce: Instrumentation and control for wireless sensor network for automated irrigation, ASAE Paper No. 061105 (ASAE, St. Joseph 2006) T. Hess: A microcomputer scheduling program for supplementary irrigation, Comput. Electron. Agric. 15, 233–243 (1996) M.J. Upcraft, D.H. Noble, M.K.V. Carr: A mixed linear programme for short-term irrigation scheduling, J. Oper. Res. Soc. 40(10), 923–931 (1989) K. Milla, S. Kish: A low cost microprocessor and infrared sensor system for automating water infiltration measurements, Comput. Electron. Agric. 53, 122–129 (2006) J. Artigas, A. Beltran, C. Jimenez, A. Baldi, R. Mas, C. Dominguez, J. Alonmso: Application of ion sensitive field effect transistor based sensor for soil analysis, Comput. Electron. Agric. 31(3), 281–293 (2001) R.T. Peters, S.R. Evett: Using low-cost GPS receivers for determining field position of mechanized irrigation systems, Appl. Eng. Agric. 21(5), 841–845 (2005) Y. Kim, R.G. Evans, W. Iversen, F.P. Pierce: Evaluation of wireless control for variable rate irrigation, ASAE Paper No. 062164 (ASAE, St. Joseph 2006)

63.73

63.74

63.75

63.76

63.77 63.78

63.79

63.80

63.81

63.82

63.83 63.84

63.85

63.86

63.87

63.88

63.89

F.R. Miranda, R. Yoder, J.B. Wilkerson: A sitespecific irrigation control system, ASAE Paper No. 031129 (ASAE, St. Joseph 2003) F.R. Miranda, R.E. Yoder, J.B. Wilkerson, L.O. Odhiambo: An autonomous controller for site-specific management of fixed irrigation systems, Comput. Electron. Agric. 468, 183–197 (2005) King B.A. W.W. Wall, D.C. Kincaid, D.T. Westermann: Field testing of a variable rate sprinkler and control system for site-specific water and nutrient application. Appl. Eng. Agric. 21(5), 847–853 (2005) A.T. Csordas, M.J. Delwiche, J. Barak: Automated real-time PCR Biosensor for the detection of pathogens in produce irrigation water, ASAE Paper No. 047045 (ASAE, St. Joseph 2004) N. Kondo, K.C. Ting (Eds.): Robotics for Bioproduction Systems (ASAE, St. Joseph 1998) T. Mitsuhashi, A. Yamazaki, T. Shichishima: Automation of plant factory, Proc. 4th SHITA Symp. (Tokyo 1994) pp. 45–57 N. Kondo, M. Monta, N. Noguchi: Agri-Robots (II) Mechanisms and Practice (Corona, Tokyo 2006) pp. 1–223 W. Simonton: Automatic geranium stock processing in a robotic workcell, Trans. ASAE 33(6), 2074–2080 (1990) N. Kondo, M. Monta: Basic study on chrysanthemum cutting sticking robot, Proc. Int. Symp. Agric. Mech. Autom., Vol. 1 (1997) pp. 93–98 N. Kondo, M. Monta, Y. Ogawa: Cutting providing system and vision algorithm for robotic chrysanthemum cutting sticking system, Preprints of the International Workshop on Robotics and Automated Machinery for Bioproductions (Valencia 1997) pp. 7–12 U-shin LTD.: US-500 Users manual (Tokyo, 1993) E. Nederhoff: Energy and CO2 Enrichment (Galileo Services Ltd, New Zealand 2007), http://www. redpathaghort.com/bulletins/co2.html C. Kittasa, N. Katsoulasa, A. Bailleb: SE-structures and environment: Influence of greenhouse ventilation regime on the microclimate and energy partitioning of a rose canopy during summer conditions, J. Agric. Eng. Res. 79(3), 349–360 (2001) E.J. van Henten: Greenhouse climate management: an optimal control approach. Ph.D. Thesis (Wageningen University, Holland 1994) R. Caponetto, L. Fortuna, G. Nunnari, L. Occhipinti, M.G. Xibilia: Soft computing for greenhouse climate control, IEEE Trans. Fuzzy Sys. 8(6), 1101–1120 (2000) T. Morimoto, Y. Hashimoto: An intelligent control for greenhouse automation, orieneted by the concepts of SPA and SFA, Comput. Electron. Agric. 29, 3–20 (2000) L.D. Albright: Controlling greenhouse environments, Acta Horticulturae 578, 47–54 (2002)

Automation in Agriculture

63.90

63.91

63.92 63.93 63.94

63.96

63.97

63.98

63.99

63.100 63.101

63.102

63.103

63.104

63.105

63.106

63.107 E. Maltz, S. Devir, O. Kroll, B. Zur, S.L. Spahr, R.D. Shanks: Comparative responses of lactating cows to total mixed rations or computerized individual concentrates feeding, J. Diary Sci. 75(6), 1588–1603 (1992) 63.108 F. Seipelt, A. Bunger, R. Heeren, D. Kähler, M. Lüllmann, G. Pohl: Computer controlled calf rearing, Fifth Int. Dairy Housing Proc. 29–31 January 2003 Conf., Fort Worth, ed. by K. Janni (2003) pp. 356– 360, ASAE Publication Number 701P0203 63.109 I. Halachmi, Y. Edan, E. Maltz, U.M. Peiper, U. Moalem, I. Brukental: A real-time control system for individual dairy cow food intake, Comput. Electron. Agric. 20, 131–144 (1998) 63.110 A.V. Fisher: A review of the technique of estimating the composition of livestock using the velocity of ultrasound, Comput. Electron. Agric. 17(2), 217–231 (1997) 63.111 D.E. Filby, M.J.B. Turner, M.J. Street: A walkthrough weigher for dairy cows, J. Agric. Eng. Res. 24, 67–78 (1979) 63.112 J. Ren, N.L. Buck,, S.L. Spahr: A dynamic weight logging system for dairy cows, Trans. ASAE 35, 719– 725 (1992) 63.113 U. Peiper, Y. Edan, S. Devir, M. Barak, E. Maltz: Automatic weighing of dairy cows, J. Agric. Eng. Res. 56(1), 13–24 (1993) 63.114 D. Cveticanin, G. Wendl: Dynamic weighing of dairy cows: using a lumped-parameter model of cow walk, Comput. Electron. Agric. 44, 63–69 (2004) 63.115 D. Ordolff: Introduction of electronics into milking technology, Comput. Electron. Agric. 30, 125–149 (2001) 63.116 K. de Koning: Automatic milking lessons from Europe, ASAE Paper No. 044188 (ASAE, St. Joseph 2004) 63.117 D. Ordolff: Introduction of electronics into milking technology, Comput. Electron. Agric. 30(1–3), 125– 149 (2001) 63.118 D.M. Jenkins, M.J. Delwiche, R.W. Claycomb: Electrically controlled sampler for milk component sensors, Appl. Eng. Agric. 18(3), 373–378 (2002) 63.119 G. Katz, A. Arazi, N. Pinski, I. Halachmi, Z. Schmilovitz, E. Aizinbud, E. Maltz: Current and Near Term Technologies for Automated Recording of Animal Data for Precision Dairy Farming (ADSA, San Antonio 2007) 63.120 M. Pastell, A. Aisla, M. Hautala, J. Ahokas: Automatic cow health measurement system in a milking robot, ASAE Paper No. 064037 (ASAE, St. Joseph 2006) 63.121 P.G. Rajkondawar, U. Tasch, A.M. Lefcourt, B. Erez, R. Dyer, M.A. Varner: A system for identifying lameness in dairy cattle, Appl. Eng. Agric. 18(1), 87–96 (2002) 63.122 U. Tasch, P.G. Rajkondawar: The development of a SoftSeparator for a lameness diagnostic system, Comput. Electron. Agric. 44(3), 239–245 (2004)

1125

Part G 63

63.95

B. Bailey: Natural and mechanical greenhouse climate control. Acta Horticulturae 710, Int. Symp. Des. Environ. Control Trop. Subtrop. Greenhouses (2006) C. Serodio, J. Boaventura Cunha, R. Morais, C. Couto, J. Monteiro: A networked platform for agricultural management systems, Comput. Electron. Agric. 31, 75–90 (2001) Maruyama MFG. Co. Inc.: Shuttle spray-car MSC5100U Users manual (Tokyo 2000) Kioritz Corporation: Robotic Spray-car Users manual (Tokyo 2003) N. Kawamura, K. Namikawa, T. Fujiura, M. Ura: Study on agricultural robot (Part 1), J. Soc. Agric. Mach. (Japan) 46(3), 353–358 (1984) S. Arima, N. Kondo, Y. Shibano, J. Yamashita, T. Fujiura, H. Akiyoshi: Study on cucumber harvesting robot (Part 1), J. Soc. Agric. Mach. (Japan) 56(1), 45–53 (1994) S. Arima, N. Kondo, Y. Shibano, T. Fujiura, J. Yamashita, H. Nakamura: Study on cucumber harvesting robot (Part 2), J. Soc. Agric. Mach. (Japan) 56(6), 69–76 (1994) T. Fujiura, I.D.M. Subrata, T. Yukawa, S. Nakao, H. Yamada: Cherry tomato harvesting robot, Proc. Int. Symp. Autom. Robot. Bioprod. Process., Vol. 2 (Jpn. Soc. Agric. Mach., Kobe 1995) pp. 175–180 N. Kondo, M. Monta, Y. Shibano, K. Mohri: Basic mechanism of robot adapted to physical properties of tomato plant, Proc. Int. Conf. Agric. Mach. Process Eng., Vol. 3 (Seoul 1993) pp. 840–849 N. Kondo, Y. Nishitsuji, P.P. Ling, K.C. Ting: Visual feedback guided robotic cherry tomato harvesting, Trans. ASAE 39(6), 2331–2338 (1996) N. Kondo, K.C. Ting: Robotics for Bioproduction Systems (ASAE, St. Joseph 1998) K.C. Ting, G.A. Giacomelli, W. Fang: Decision support system for single truss tomato production, Proc. of XXV CIOSTA-CIGR V Congr. (1993) pp. 10–13 N. Kondo, M. Monta, Y. Shibano, K. Mohri: Basic mechanism of robot adapted to physical properties of tomato plant, Proc. Int. Conf. Agric. Mach. Process Eng. (Seoul 1993) pp. 840–849 N. Kondo, M. Monta, Y. Shibano, K. Mohri: Two finger harvesting hand with absorptive pad based on physical properties of tomato, Environ. Control Biol. 31(2), 87–92 (1993) P.I. Daskalov, K.G. Arvanitis, G.D. Pasgianos, N.A. Sigrimis: Nonlinear adaptive temperature and humidity control in animal buildings, Biosyst. Eng. 93(1), 1–24 (2006) W.J. Eradus, M.B. Jansen: Animal identification and monitoring, Comput. Electron. Agric. 24, 91–98 (1999) S. Holm, J. Brungot, A. Ronneklein, L. Hoff, V. Jahr, K.M. Kjolerbakken: Acoustic passive integrated transponders for fish tagging and identification, Aquac. Eng. 36(2), 122–126 (2007)

References

1126

Part G

Infrastructure and Service Automation

Part G 63

63.123 M. Pastell, H. Takko, H. Gröhn, M. Hautala, V. Poikalainen, J. Praks, I. Veermäe, M. Kujala, J. Ahokas: Assessing cows’ welfare: weighing the cow in a milking robot, Biosyst. Eng. 93(1), 81–87 (2006) 63.124 A.R. Frost, C.P. Schofield, S.A. Beaulah, T.T. Mottram, J.A. Lines, C.M. Wathes: A review of livestock monitoring and the need for integrated systems, Comput. Electron. Agric. 17(2), 139–159 (1997) 63.125 M. Delwiche, X. Tang, R. Bondurant, C. Munro: Estrus detection with a progesterone biosensor, Transactions ASAE 44(6), 2003–2008 (2001) 63.126 L. Gygax, G. Neisen, H. Bollhalder: Accuracy and validation of radar based automatic local position measurement system for tracking dairy cows in free-stall barns, Comput. Electron. Agric. 56, 23–33 (2007) 63.127 L.W. Turner, M. Anderson, B.T. Larson, M.C. Udal: Global positioning systems and grazing behavious in cattle, Livest. Environ. VI, 640–650 (2001) 63.128 T.J. DeVries, M.A.G. von Keyserlingk, K.A. Beauchemin: Frequency of feed delivery affects the behavior of lactating dairy cows, J. Dairy Sci. 88, 3553–3562 (2005) 63.129 L. Gygax, G. Neisen, H. Bollhalder: Accuracy and validation of a radar-based automatic local position measurement system for tracking dairy cows in free-stall barns, Comput. Electron. Agric. 56(1), 23–33 (2007) 63.130 F. Teye, H. Gröhn, M. Pastell, M. Hautala, A. Pajumägi, J. Praks, V. Poikalainen, T. Kivinen, J. Ahokas: Microclimate and gas emissions in cold uninsulated dairy buildings, 2006 ASABE Annu. Int. Meet. (ASABE, St. Joseph 2006), ASABE Paper No. 064080, pp. 1–8 63.131 R.M.T. Baars, C. Solano, M.T. Baayen, R. Rojas, L. Mannetje: MIS support for pasture and nutrition management of dairy farms in tropical countries, Comput. Electron. Agric. 15, 27–39 (1996) 63.132 M.A.P.M. van Asseldonk, R.B.M. Hurine, A.A. Didkhuizen, A.J.M. Beulens, A.J. Udink ten Cate: Information needs and information technology on dairy farms, Comput. Electron. Agric. 22, 97–107 (1999) 63.133 C.M. Wathes, S.M. Abeyesinghe, A.R. Frost: Environmental design and management for livestock in the 21st century: resolving conflicts by integrated solutions, Livest. Environ. VI: Proc. 6th Int. Symp. (2001) pp. 5–14, ASAE Publication No. 701P0201 63.134 J.M. Powell, P.R. Cusick, T.H. Misselbrook, B.J. Holmes: Design and calibration of chambers for mearuing ammonia emissions from tie-stall dairy barns, Trans. ASABE 50(3), 1045–1051 (2007) 63.135 N. Mozes, O. Zemora, C. Porter, H. Gordin: Marine integrated pond system under desert conditions in southern israel – potential, results and limitations, Aquacult. Eur. 2003 Conf. (Trondheim 2003)

63.136 N. Mozes: Ustainable development of land-based mariculture: integrated system with algal biofilter versus recirculation system with bacterial biofilter, Aquacult. Eur. 2003 Conf. (Trondheim 2003) 63.137 N. Mozes, I. Haddas, D. Conijeski, M. Eshchar: The low-head megaflow air driven recirculating system – minimizing biological and operational risks, Proc. Aquacult. Eur. 2004 Conf. (Barcelona 2004) pp. 598–599 63.138 M. Shpigel, A. Neori, D.M. Popper, H. Gordin: A proposed model for ’environmentally clean’ landbased culture of fish, bivalves and seaweeds, Aquaculture 117(1/2), 115–118 (1993) 63.139 C. Costa, A. Loy, S. Cataudella, D. Davis, M. Scardi: Extracting fish size using dual underwater cameras, Aquacult. Eng. 35, 218–227 (2006) 63.140 J.A. Lines, R.D. Tillet, L.G. Ross, D. Chan, S. Hockaday, N.J.B. McFarlane: An automatic image base system form estimating mass of free-swimming fish, Comput. Electron. Agric. 31, 151–168 (2001) 63.141 B. Zion, V. Alchanatis, V. Ostrovsky, A. Barki, I. Karplus: Comput. Electron. Agric. 56(1), 34–45 (2007) 63.142 P.G. Lee: A review of automated control systems for aquaculture and design criteria for their implementation, Aquacult. Eng. 14(3), 205–227 (1995) 63.143 C.W. Chang, W.R.C. Fang. Jao, C.Z. Shyu, I.C. Lioa: Development of an intelligent feeding controller for indoor intensive culturing of eel, Aquacult. Eng. 32, 343–353 (2005) 63.144 N. Papandroulakis, P. Dimitris, D. Pascal: An automated feeding system for intensive hatcheries, Aquacult. Eng. 26, 13–26 (2002) 63.145 F.J. Muir, C. Brugere Young, A.J.A. Stewart: The solution to pollution? The value and limitations of environmental economics in guiding aquaculture development, Aquacult. Econom. Manag. 3(1), 43–57 (1999) 63.146 A.W. Wurts: Sustainable aquaculture in the twenty first century, Rev. Fish. Sci. 8(2), 141–150 (2000) 63.147 R.H Caffey: Quantifying Sustainability in Aquaculture Production (Louisiana State University, Baton Rouge 1998) 63.148 F. Wheaton, S. Hall: Research needs for oyster shucking, Aquacult. Eng. 37, 67–72 (2007) 63.149 G.F. Figueiredo, M.D. Dawson, E.R. Benson, G.L. van Wicklen, N. Gedamu: Development of machine vision based poultry behaviour analysis, ASAE Paper No. 0330834 (ASAE, St. Joseph 2003) 63.150 G.F. Figueiredo, M.D. Dawson, E.R. Benson, G.L. van Wicklen, N. Gedamu: Advancement in whole house machine vision based poultry behaviour analysis, ASAE Paper No. 043084 (ASAE, St. Joseph 2004) 63.151 K. Chao, Y.R. Chen, W.R. Hruschka, B. Park: Chicken heart disease characterization by multispectral imaging, Appl. Eng. Agric. 17(1), 99–106 (2001)

Automation in Agriculture

63.168 F. Juste, I. Fornes: Contributions to robotic harvesting of citrus in Spain, Proc. of the AG-ENG 90 Conf. (Berlin, 1990) pp. 146–147 63.169 G. Rabatel, A. Bourely, F. Sevila, F. Juste: Robotic harvesting of citrus, Proc. Int. Conf. Harvest and Post-harvest Technol. Fresh Fruits and Vegetables (Guanajuato, 1995) pp. 232–239 63.170 N. Kondo, M. Monta, T. Fujuira, Y. Shibano, K. Mohri: Control method for 7 DOF robot to harvest tomato, Proc. Asian Control Conf., Vol. 1 (1994) pp. 1–4 63.171 M.W. Hannan, T. Burks: Current developments in automated citrus harvesting, ASAE Paper No. 043087 (ASAE, St. Joseph 2004) 63.172 E. Molto, F. Pla, F. Juste: Vision systems for the location of citrus fruit in a tree canopy, J. Agric. Eng. Res., 52, 101–110 (1992) 63.173 N. Kondo, N. Kawamura: Methods of detecting fruit by visual sensor attached to manipulator, J. Soc. Agric. Mach. (Japan) 47(1), 60–65 (1985) 63.174 N. Kondo, S. Endo: Methods of detecting fruit by visual sensor attached to manipulator (II), J. Soc. Agric. Mach. (Japan) 51(4), 41–48 (1989) 63.175 N. Kondo, S. Endo: Methods of detecting fruit by visual sensor attached to manipulator (III), J. Soc. Agric. Mach. (Japan) 52(4), 75–82 (1990) 63.176 T. Fujiura, J. Yamashita, N. Kondo: Agricultural robots (1): Vision sensing system, ASAE Paper No.923517 (ASAE, St. Joseph 1992) 63.177 G. Rabatel: A vision system for the fruit picking robot, Proc. Agric. Eng. ’88 Conf. (Paris 1988), AGENG Paper No. 88-293 63.178 G. Rabatel, A. Bourely, F. Sevila: Objects detection with machine vision in outdoor complex scenes, Proc. Robot. Syst. Eng. Syst. Intell. (Corfou 1991) pp. 395–403 63.179 D.C. Slaughter, R.C. Harrell: Color vision in robotic fruit harvesting, Trans. ASAE 30(4), 1144–1148 (1987) 63.180 N. Kondo: Harvesting robot based on physical properties of grapevine, Jpn. Agric. Res. Q. 29(3), 171–177 (1995) 63.181 A. Sittichareonchai, F. Sevila: A robot to harvest grapes, ASAE Paper No. 89-7074 (ASAE, St. Joseph 1989) 63.182 L. Kassay: Hungarian robotic apple harvester, ASAE Paper No. 92-7042 (ASAE, St. Joseph 1992) 63.183 A. Grand d’Esnon: Robotic harvesting of apples, Proc. Agri-Mation 1st Conf. Expo. (ASAE, Chicago 1885) pp. 210–214 63.184 Y. Edan, G.E. Miles: Design of an agricultural robot for harvesting melons, Trans. ASAE 36(2), 593–603 (1993) 63.185 M. Iida, K. Furube, K. Namikawa, M. Umeda: Development of watermelon harvesting gripper, J. Soc. Agric. Mach. (Japan), 58(3), 19–26 (1996) 63.186 N. Kondo, K.C. Ting: Robotics for Bioproduction Systems (ASAE, St. Joseph 1998)

1127

Part G 63

63.152 K.F. Stacey, D.J. Parsons, A.R. Frost, C. Fisher, D. Filmer, A. Fothergill: An automatic growth and nutrition control system for broiler production, Biosyst. Eng. 89(3), 363–371 (2004) 63.153 D. Sergeant, R. Boyle, M. Forbes: Computer visual tracking of poultry, Comput. Electron. Agric. 21, 1– 18 (1998) 63.154 S. Jaiswa, E.R. Benson, J.C. Bernard, G.L. van Wicklen: Neural network modeling and sensitivity analysis of mechanical poultry catching system, Biosyst. Eng. 92(1), 59–68 (2005) 63.155 J.P. Trevelyan: Sensing and control for sheep shearing robots, IEEE Trans. Robot. Autom. 5(6), 716–727 (1989) 63.156 F. Perez-Munoz, S.J. Hoff, T. Van Hal: A quasi ad-libitum electronic feeding system for gestating sows in loose housing, Comput. Electron. Agric. 19(3), 277–288 (1998) 63.157 F. Perez-Munoz, S.J. Hoff, T. van Hal: A quasi ad-libitum electronic feeding system for gestating sows in loose housing, Comput. Electron. Agric. 19, 277–288 (1998) 63.158 Y. Wang, W. Yang, P. Winter, L.T. Walker: Noncontact sensing of hog weights by machine vision, Appl. Eng. Agric. 22(4), 577–582 (2006) 63.159 C.P. Schofield, C.T. Whittemore, D.M. Green, M.D. Pascual: The determination of beginning and end of period live weights in growing pigs, J. Sci. Food Agric. 82, 1672–1675 (2002) 63.160 R.D. Tillet, A.R.S. Frost, S.K. Welch: Predicting sensor placement targets on pigs using image analysis, Biosyst. Eng. 81(4), 453–463 (2002) 63.161 H. Xin, B. Shao: Real-time behaviour-based assessment and control of swine thermal comfort, Proc. 7th Int. Symp. (ASAE, St. Joseph 2005) pp. 694–702, ASAE Publication No. 701P0205 63.162 M. Barbari: Planning individual showering systems for pregnant sows in dynamic groups, Livest. Environ. VII, 130–137 (2005), ASAE Publication No. 701P0205 63.163 G. Zhang, J.S. Strom, M. Blanke, I. Braithwaite: Spectral signatures of surface materials in pig buildings, Biosyst. Eng. 94(4), 495–504 (2006) 63.164 W. Saeys, A.M. Mouazen, H. Ramon: Potential for onsite and online analysis of pig manure using visible and near infrared reflectance spectroscopy, Biosyst. Eng. 91(4), 393–402 (2005) 63.165 R.C. Harrell, P.D. Adsit, T.A. Pool, R. Hoffman: The Florida Robotic Grove-Lab, Trans. ASAE 33(2), 391– 399 (1990) 63.166 M. Hayashi, Y. Ueda, H. Suzuki: Development of agricultural robot, Proc. 6th Conf. Robot. (Robotics Society of Japan, 1988) pp. 579–580 63.167 T. Fujiura, M. Ura, N. Kawamura, K. Namikawa: Fruit harvesting robot for orchard, J. Soc. Agric. Mach. (Japan) 52(2), 35–42 (1990)

References

1128

Part G

Infrastructure and Service Automation

Part G 63

63.187 K. Kurokami: Fence type training system of mandarin orange tree, Agric. Hortic. 55(2), 289–293 (1980) 63.188 M. Monta, N. Kondo, Y. Shibano, K. Mohri: Basic study on robot to work in vineyard (Part 3) – measurement of physical properties for robotization and manufacture of berry thinning hand, J. Soc. Agric. Mach. (Japan) 56(2), 93–100 (1994) 63.189 K. Nishiwaki, K. Amaha, R. Otani: Development of Nozzle Positioning System for Precision Sprayer, Automation Technology for Off-Road Equipment (ASAE, St. Joseph 2004) 63.190 K.P. Gilles, D.K. Giles, D.C. Saughter, D. Downey: Injection and fluid handling system for machinevision controlled spraying, ASAE Paper No. 011114 (ASAE, St. Joseph 2001) 63.191 H.T. Wiedemann, D. Ueckert, W.A. McGinty: Spray boom for sensing and selectively spraying small mesquite on higway rights-of-way, Appl. Eng. Agric. 18(6), 661–666 (2002) 63.192 K. Tosaki, S. Miyahara, T. Ichikawa, Y. Mizukura: Development of microcomputer controlled driverless air blast, J. Soc. Agric. Mach. (Japan) 58(6), 101–110 (1996)

63.193 Japanese Society of Agricultural Machinery: Handbook of Bioproduction Machinery (Corona, Tokyo 1996) p. 731 63.194 S.I. Cho, J.H. Lee: Autonomous speed-sprayer using differential GPS system, genetic algorithm and fuzzy control, J. Agric. Eng. Res. 76, 111–119 (2000) 63.195 M. Dohi: Development of multipurpose robot for vegetable production, Jpn. Agric. Res. Q. 30(4), 227– 232 (1996) 63.196 U. Ahmad, N. Kondo, S. Arima, M. Monta, K. Mohri: Weed detection in lawn field based on gray-scale uniformity, Environ. Control Biol. 36(4), 227–237 (1998) 63.197 U. Ahmad, N. Kondo, S. Arima, M. Monta, K. Mohri: Weed detection in lawn field using machine vision. utilization of textural features in segmented area, J. Soc. Agric. Mach. (Japan) 61(2), 61–69 (1999) 63.198 B.L. Steward, L.F. Tian, L. Tang: Distance-based control system for machine vision-based selective spraying, Trans. ASAE 45(5), 1255–1262 (2002) 63.199 J. Njoroge, K. Ninomiya, N. Kondo, H. Toita: Automated fruit grading system using image processing, Proc. SICE Ann. Conf. (Osaka 2002), MP18-3 on CD-ROM

1129

Control Syste

64. Control System for Automated Feed Plant

Nick A. Ivanescu

64.1 Objectives ........................................... 1129 64.2 Problem Description ............................ 1130 64.3 Special Issues To Be Solved ................... 1131 64.4 Choosing the Control System................. 1131 64.5 Calibrating the Weighing Machines ....... 1132 64.6 Management of the Extraction Process .. 1133 64.7 Software Design: Theory and Application1133 64.7.1 Project Structure and Important Issues................... 1134 64.8 Communication ................................... 1136 64.9 Graphical User Interface on the PLC ....... 1136 64.10 Automatic Feeding of Chicken............... 1137 64.11 Environment Control in the Chicken Plant ............................ 1137 64.12 Results and Conclusions ....................... 1138 64.13 Further Reading .................................. 1138 References .................................................. 1138

64.1 Objectives One of the recent projects we worked on for was to design and execute a complete control system for a combined fodder-producing plant. From the start it must be specified that we had to find solutions to command and control the existing machines, and the only things that could be replaced or added were sensors and transducers. Most other devices, such as motors, limit sensors, tension transducers, etc., remained in place. Also 90% of the cable system was retained. This project had several objectives: 1. Design of the command and control system, taking into account the electrical characteristics of the ma-

chines, and the number and type of the electrical signals coming from and going to the system 2. Development of a powerful software system to allow manual control of the whole plant, continuous visualization of all signals and commands, and full automatic control of the production process 3. Real-time communication with personal computers in a local network, enabling managers and other personnel to supervise the production flow and results 4. Ensuring that the total quantity of the final product should not exceed or be less than 5% of the programmed quantity. Also the percentage of every component of the resulted material should be less than 5% different from the calculated recipe.

Part G 64

Many factories, especially in developing countries, still use old technology and control systems. Some of them are forced to replace at least the control and supervising system in order to increase their productivity. This chapter presents a modern solution for updating the control system of a fodder-producing factory without replacing the field devices or the infrastructure. The automation system was chosen in order to allow correct control of the whole plant, using a single programmable logic controller (PLC). Structure and design of the software project is described. Also, several interesting software solutions for managing special processes such as material extraction and weighing machines calibration are presented. Production quality results and future development are also discussed. In the last part of the chapter some guidelines for automation of a chicken-growing plant are presented.

1130

Part G

Infrastructure and Service Automation

64.2 Problem Description A combined fodder plant produces food for industrial grown poultry. This food is a mixture of different types of cereals combined with some concentrated products. Practically, there are three main areas inside the factory:

• • •

The raw material storage area, consisting of several storage bins The weighing and mixing area, where the final product is obtained The finished-product storage area, consisting of several storage bins, where the resulting product is stored while waiting to be taken to poultry farms.

Part of the plant is presented in Fig. 64.1. Let us take a brief look at the components of the plant shown in Fig. 64.1:

Part G 64.2

• • •

There are eight raw-materials storage bins, containing eight different types of cereals. From these bins material can be extracted to weighing machine 1, by means of several extractors. Weighing machine 1 is used to weigh specific quantities of cereals, with a maximum of 2000 kg supported by the machine. The machine supplies an analog signal in voltage, which we converted to a unified 4–20 mA signal.

• • • • • •

The intermediate tank between weighing machine 1 and the mill is needed because the speed of the mill is lower than the evacuation speed from weighing machine 1. The mill is used for milling the cereals. The grinding machine is used for grinding the milled cereals. The mixing machine is a large tank where the processed cereals are mixed together with some special oil and another component (premix). The materials circulate between the machines by means of several transporters and elevators. Weighing machines 2 and 3 weigh, respectively, oil and premix extracted from the milling machines. Details will be given later in the chapter.

The production flow can be briefly described as follows: 1. The human operator must establish the quantities for every type of cereal, for oil, and for premix that must be part of the final product. Quantities are determined for only one charge of extraction, because the capacity of the weighing machines is limited. Also the number of charges is specified, in order to produce the whole amount of material wanted. Transporter

Raw material storage bins

Elevators Intermediate tank Grinding machine Transporter

Weighing machine 1

Transporter

Premix weighing machine 3

Oil weighing machine 2

Mill

Transporter

Elevators Mixing machine

Transporter

Fig. 64.1 Production part of the plant

Control System for Automated Feed Plant

2. The process starts with extraction of specified quantities of cereals into weighing machine 1. 3. Weighing machine 1 is emptied into the intermediate tank, if some specific conditions are fulfilled. Immediately a new extraction should begin for the next charge. 4. The milling and grinding processes are done automatically; some sensors signal when the corresponding tanks are empty. 5. When the grinding is over, material must be transferred to the mixing machine. After a short time, oil and premix are also loaded into the mixing machine.

64.4 Choosing the Control System

1131

6. The mixing process lasts several minutes (a configurable period of time), at the end of which the machine is opened and the finished product is transported to the finished-product storage bins and the charge can be considered ended. It is necessary to say that, in order to obtain high productivity, another charge must be in course of processing, even if the previous one is not finished. Of course the detailed process implies several constraints and internal conditions, some of which will be discussed later in the chapter.

64.3 Special Issues To Be Solved ing weighing machine 3. Firstly, the four tensions transducers that measured the weight inside the machine were not of the same type. One transducer had been replaced in the past but with a different type, and had a different output electrical tension for the same measured weight compared with the other three transducers. This resulted in nonlinear variation of the unified analog signal with the weight inside the machine. The solution to this problem is described later in the chapter. The other special effect discovered was that, when weighing machine 3 was opened and premix was transferred to the mixing machine, an air flow developed and pushed up the weighing machine, resulting in incorrect measurement of weight during this process. Stabilization of the signal appears many seconds after extraction stopped. A software solution was chosen for this practical problem also.

64.4 Choosing the Control System To choose the main control device for such an industrial system correctly, several factors must be taken into consideration, including:

• • • •

The number and type of input/output signals The complexity of the software that must be developed The communication facilities that are requested and can be fulfilled The ease of developing a human–machine interface for supervising and commanding the processes



The budget allocated to this part of the control system.

The solution chosen in this case was the ThinkIO PLC from the German company Kontron. The ThinkIO device is an innovative concept to integrate highperformance personal computer (PC) functionality, fieldbuses, and input/output (I/O) modules. It has a powerful Pentium processor, two Ethernet interfaces, universal serial bus (USB) for keyboard and mouse, and digital visual interface (DVI) for liquid-crystal display

Part G 64.4

One of the first and most difficult parts of the project was to identify all analog and digital signals that must come from and go to the installations. Without proper documentation or an electrical schematic of the plant, this job proved to be extremely time consuming. After analysis, 192 digital inputs, 126 digital outputs, and 12 analog signals were identified, together with the cables connected to them from the previous control system. Another issue that had to be taken into account was the improper grounding of most of the machines inside the plant, which can cause undesired variation of analog signals. Most of the work regarding this issue was done in software because electrical solutions were not feasible (as they should have been taken into consideration during the design and build of the factory). During study of the rest of the machines in the plant, some special situations were determined regard-

1132

Part G

Infrastructure and Service Automation

(LCD) or cathode-ray tube (CRT) displays. For automation applications it can run programs developed in 3S Software Codesys package. Also it can handle hundreds of I/O signals, so it fulfilled the system necessities. Another big advantage of this device is that even the human–machine interface runs on it, so practically you do not need a separate PC to supervise the process or to send manual commands to it. We chose the Linux

operating system for this PLC. An object linking and embedding (OLE) process control (OPC) server runs on this PLC so communication with it is easy and reliable. After completing connection of it to the field devices, the next step was to design and develop the software. However, before designing the software solution, there was an important job to do, essential for correct results of the production process.

64.5 Calibrating the Weighing Machines

Part G 64.5

All three weighing machines have some tension sensors that output an electric signal that is (theoretically) proportional to the weight in the machine. Weighing machines 1 and 3 have four sensors, mounted at the four corners of the weighing tank, that output a voltage (0–100 mV) proportional to the force on each sensor. The sum of the four tensions is converted to a current in the range of 0–20 mA and connected to an analogue input of the PLC. A potential problem could appear if the sensors are not of the same type (different output tension range) or are not mounted perfect