RF Bulk Acoustic Wave Filters for Communications
For a listing of recent titles in the Artech House Microwave Library...
720 downloads
2445 Views
6MB Size
Report
This content was uploaded by our users and we assume good faith they have the permission to share this book. If you own the copyright to this book and it is wrongfully on our website, we offer a simple DMCA procedure to remove your content from our site. Start by pressing the button below!
Report copyright / DMCA form
RF Bulk Acoustic Wave Filters for Communications
For a listing of recent titles in the Artech House Microwave Library, turn to the back of this book.
RF Bulk Acoustic Wave Filters for Communications Ken-ya Hashimoto Editor
artechhouse.com
Library of Congress Cataloging-in-Publication Data A catalog record for this book is available from the U.S. Library of Congress.
British Library Cataloguing in Publication Data A catalogue record for this book is available from the British Library.
ISBN-13: 978-1-59693-321-7
Cover design by Igor Valdman
© 2009 ARTECH HOUSE 685 Canton Street Norwood, MA 02062 All rights reserved. Printed and bound in the United States of America. No part of this book may be reproduced or utilized in any form or by any means, electronic or mechanical, including photocopying, recording, or by any information storage and retrieval system, without permission in writing from the publisher. All terms mentioned in this book that are known to be trademarks or service marks have been appropriately capitalized. Artech House cannot attest to the accuracy of this information. Use of a term in this book should not be regarded as affecting the validity of any trademark or service mark.
10 9 8 7 6 5 4 3 2 1
Contents Preface
ix
CHAPTER 1 Background and History
1
1.1 BAW Technology Background 1.1.1 Basic Definitions 1.1.2 Role of Piezoelectric Materials 1.1.3 Transducers and Resonators 1.1.4 Comparisons with SAW and Plate Wave Resonators 1.1.5 Other Kind of Resonators 1.1.6 Electrical Characteristics of Piezoelectric Resonators 1.1.7 Technology Driving Forces 1.2 Thin Plate Resonators: Towards High Frequencies 1.2.1 Conventional Quartz Crystal Thinning 1.2.2 Bonded Plate Resonators 1.3 Composite Resonators 1.4 Development of Thin Films 1.5 Multidimensional Effects 1.6 Legacy Filter Topologies 1.6.1 Balanced Bridge Filter 1.6.2 Ladder Filters 1.6.3 Lattice Filter 1.6.4 Monolithic Filters 1.7 Some Acoustic Device and Materials Processing Legacy References
1 1 2 3 4 5 7 10 11 11 11 12 13 14 15 15 16 17 18 18 19
CHAPTER 2 Resonator and Filter Topologies
21
2.1 Plate Edge-Supported Resonators 2.1.1 Pothole Membrane 2.1.2 Pocket Membrane 2.1.3 Undercut Air Gap Membrane 2.2 Solidly Mounted Resonators 2.3 Electrode Metallization 2.4 Temperature Compensation 2.5 Electrically Coupled Filters 2.5.1 Ladder Filters
21 21 24 25 27 29 31 34 34
v
vi
Contents
2.6
2.7 2.8 2.9
2.5.2 Balanced Ladder 2.5.3 Conventional Lattice Acoustically Coupled Filters 2.6.1 Stacked Crystal Filter 2.6.2 Coupled Resonator Filter Wide-Bandwidth Tuned Coupled Resonator Filters Hybrid Filters Summary References
CHAPTER 3 BAW Device Basics
37 37 37 38 42 45 47 48 48
51
3.1 Thin Film Bulk Acoustic Wave Resonator 3.1.1 The Prototype Resonator and Piezoelectric Constitutive Relations 3.1.2 The Basic Parameters and Equivalent Circuit 3.2 Basic Physics 3.2.1 Wave Propagation, Transmission, Reflection, and Attenuation of Acoustic Waves 3.2.2 Electroacoustic Conversion 3.2.3 Mason Model 3.2.4 Dispersion Relations and Wave Modes 3.2.5 Resonator Design Based on Dispersion Relations 3.3 Device Design 3.3.1 Effective Coupling Coefficient 3.3.2 Loss Mechanisms and Q-Values 3.3.3 Spurious Modes 3.3.4 The Other Important Parameters 3.4 Summary References
59 62 64 67 70 74 74 78 82 88 89 89
CHAPTER 4 Design and Fabrication of BAW Devices
91
4.1 Design Considerations for BAW Devices 4.1.1 Electromechanical Coupling Coefficient 4.1.2 Quality Factor 4.1.3 Spurious Modes 4.1.4 Power Handling 4.1.5 Temperature Coefficient of Frequency 4.1.6 Area Efficiency 4.1.7 Interconnect Losses and Parasitics 4.1.8 Robustness 4.1.9 Nonlinearities 4.2 Fabrication of BAW Devices 4.2.1 Material Selection 4.2.2 Fabrication of SMR Resonators and Filters 4.2.3 Fabrication Tolerances and Trimming
52 52 57 59
91 91 92 92 93 93 94 94 95 96 97 97 101 102
Contents
vii
4.2.4 Process Controls 4.3 Application Space for BAW-FBAR Technology 4.3.1 RF Filters and Duplexers 4.3.2 Oscillators 4.3.3 Sensors References
108 108 108 112 113 115
CHAPTER 5 FBAR Resonators and Filters
117
5.1 Introduction 5.1.1 Short History of FBAR 5.1.2 The Duplexer 5.1.3 The Package 5.1.4 FBAR in Context with the Rest of the World 5.2 FBAR Technology 5.2.1 Introduction 5.2.2 Modeling of FBARs 5.2.3 Method of Ascertaining Q 5.2.4 The Rayleigh-Lamb Modes 5.2.5 Apodization 5.2.6 Frames 5.2.7 Temperature-Compensated Resonators 5.2.8 Coupled Resonator Filters 5.3 FBAR Filters 5.3.1 Interstage Filters 5.3.2 The Duplexer and Multiplexers 5.4 Conclusions References
117 117 119 122 123 124 124 126 129 133 137 140 145 149 150 150 152 156 158
CHAPTER 6 Comparison with SAW Devices
161
6.1 Introduction 6.2 Structural Comparison and Features 6.3 Resonator Performance and Reliability 6.3.1 Q-Factor 6.3.2 Power Durability 6.4 Filter Design 6.5 Manufacturing Process 6.6 Temperature Compensation Technique 6.7 Application Map References
161 161 162 162 165 166 168 168 169 170
CHAPTER 7 Thin Films Deposition for BAW Devices
173
7.1 Most Commonly Used Piezoelectric Materials 7.1.1 Zinc Oxide 7.1.2 PZT
173 173 173
viii
Contents
7.1.3 Aluminum Nitride 7.2 Methods of Deposition of Piezoelectric Films 7.2.1 Sputtering 7.2.2 Practical Aspects of the Sputter Deposition of the AlN Films 7.2.3 Electron Cyclotron Resonance Deposition 7.2.4 Ion Beam Deposition 7.2.5 Metalorganic Chemical Vapor Deposition 7.2.6 Jet Vapor Deposition 7.2.7 Nonvacuum Deposition 7.3 Metal Deposition for BAW Applications 7.3.1 Aluminum 7.3.2 Molybdenum 7.3.3 Tungsten 7.3.4 Platinum 7.3.5 Ruthenium 7.3.6 Combinations of Metals References
174 175 175 183 187 188 188 189 189 189 191 192 192 193 194 194 194
CHAPTER 8 Characterization of BAW Devices
197
8.1 Introduction 8.2 Single-Layer Material Characterization 8.2.1 Introduction 8.2.2 Dielectric and Piezoelectric Layers 8.2.3 Metallic Layers 8.3 Laser Interferometry 8.3.1 Introduction 8.3.2 Measurement Setup 8.3.3 Evaluation of Dispersion 8.4 Loss Mechanisms 8.4.1 Introduction 8.4.2 Acoustic Leakage 8.4.3 Acoustic Leakage Through the Bragg Reflector 8.4.4 Laterally Leaking Waves 8.4.5 Electrical Losses 8.4.6 Viscoelastic Losses 8.4.7 Scattering Losses 8.5 Electrical Characterization 8.5.1 Introduction 8.5.2 Resonator Measurements 8.5.3 Filter Measurements References
197 198 198 198 200 201 201 201 203 204 204 205 207 211 212 212 214 214 214 214 217 219
CHAPTER 9 Monolithic Integration
221
9.1 Introduction 9.2 Compatibility Issues Between IC and BAW Technologies
221 223
Contents
ix
9.3 Practical Implementation 9.3.1 Technology Description 9.3.2 Filtering LNA 9.3.3 WCDMA RF Front-End 9.3.4 WLAN Oscillator 9.4 Conclusion Acknowledgements References
224 225 227 228 232 232 233 233
CHAPTER 10 System-in-Package Integration
235
10.1 Introduction 10.2 Trends in Front-End Integration for Wireless Applications 10.2.1 Multiband, Multimode Wireless Systems 10.2.2 SiP Versus SoC 10.3 SiP Technologies 10.3.1 Laminate Platform 10.3.2 LTCC Platform 10.3.3 Thin Film Platform 10.4 SiP Design 10.4.1 Electromagnetic Modeling 10.4.2 Design Methodology 10.5 Test and Industrialization, Known-Good Die Concept 10.6 RF-SiP Examples 10.6.1 General Wireless Examples 10.6.2 Examples Including BAW References
235 235 235 239 241 241 242 243 245 246 251 252 253 253 255 257
Glossary
259
About the Author
265
List of Contributors
266
Index
267
Preface Nowadays, electromechanical (EM) resonators are widely used in most sophisticated electronic equipment. For example, bulk acoustic wave (BAW) resonators using crystal quartz are indispensable for frequency or time generation owing to their outstanding performances. The mobile communication market has grown explosively in last two decades. From a technological point of view, this growth is significantly indebted to the rapid evolution of silicon technologies, and most of all, functionalities are now realized by the use of silicon integrated circuits (Si-IC). However, highly precise frequency generation and excellent radio-frequency (RF) filtering are exceptional. They were only realizable by the use of quartz resonators and surface acoustic wave (SAW) devices, respectively. RF-BAW devices employing a piezoelectric thin membrane were proposed in 1980. Although their excellent performance was well recognized, the majority of engineers believed that their applicability was very limited due to extremely tight requirements given to the device fabrication. However, the tremendous efforts of a few believers moved mountains. RF-BAW devices progressed surprisingly in the last decade and are now mass produced. Furthermore, they are attempting to take over the current RF-SAW filter market. The devices also receive much attention from Si-IC industries for their use as a core element in sophisticated RF front-end and/or one-chip radio modules based on the system-on-chip (SoC) or system-in-package (SiP) integration with active circuitry. This book deals with key technologies and hidden know-hows necessary for the realization of high-performance RF-BAW resonators and filters. All the authors are prominent professionals in this field, and they did their best to transfer their knowledge to the younger generation. This book is invaluable not only for young engineers and students who wish to acquire this exotic technology, but also for experts who wish to further extend their knowledge. It is extremely hard for any person to prepare such a monograph solely, and only fruitful collaboration of these authors could make this difficult task possible. By the way, the term film bulk acoustic wave resonator (FBAR) might be more familiar to a majority of readers. However, its use is often limited to the category of a free-standing membrane fabricated by the surface or bulk micromachining technology. Namely, the solidly mounted BAW resonator (SMR) employing the multilayered reflector(s) is excluded from this category. From this reason, we follow this categorization, and the RF-BAW resonator is used as the whole set of these two categories throughout this book.
xi
xii
Preface
In Chapter 1, Dr. Keneth Lakin, a pioneer of the RF-BAW devices and a technical leader in this field, reviews the background and history of the RF-BAW resonators and takes readers on a virtual tour of extensive efforts that brought the technology to its current success. In Chapter 2, Dr. Lakin gives detailed explanations on resonator and filter topologies that frequently appear in current RF-BAW technologies. Electrical characteristics of RF-BAW device are simulated quite well by computer simulation and its use is vital in current device design. In Chapter 3, Dr. Jyrki Kaitila describes the BAW device basics, explaining the one-dimensional modeling, detailing various second effects inherent for the precise simulation, and then discussing numerical techniques and underlying physics. In Chapter 4, Dr. Robert Aigner and Dr. Lueder Elbrecht discuss RF-BAW devices based on the solidly mounted resonator technology. First, they consider their design and then discuss their fabrication for mass production in a semiconductor fabrication environment. In Chapter 5, Dr. Richard Ruby, the father of FBAR, reviews free-standing bulk acoustic resonators (FBARs). Dr. Ruby begins this chapter with a short history about the high obstacles that he and his group encountered, how he struggled, and how he achieved a great triumph at the last minute. In Chapter 6, Dr. Masanori Ueda compares the RF-BAW device with the RFSAW device from various points of view. Dr. Ueda has been involved in the research and development of both of these devices, and can evaluate them without bias. As described before, BAW device performances can be simulated numerically fairly well. However, achievable performances are critically dependent on employed manufacturing process, especially the quality of deposited piezoelectric thin films. In Chapter 7, Dr. Sergey Mishin and Yuri Oshmiansky describe one of the most important technologies for the fabrication of RF-BAW devices, namely, deposition of high-quality thin films mandatory for realization of high-performance BAW devices. In Chapter 8, Dr. Gernot Fattinger and Dr. Stephan Marksteiner discuss one more important factor for the realization of high-performance RF-BAW devices: namely, characterization of RF-BAW materials and devices. They also discuss the major technologies of laser probing and electrical properties. Integration of RF-BAW devices with semiconductor circuitry is one of the most important concerns for the future in this community. In Chapter 9, Dr. Marc-Alexandre Dubois, a principal researcher of the famous MARTINA European Consortium, details monolithic integration of RF-BAW devices on Si. In Chapter 10, Dr. A. Bart Smolders, Dr. Jan-Willem Lobeek, and Dr. Nicolaus J. Pulsford discuss the RF integration from another aspect—system-in-package (SiP) integration. They explain various technologies used in the SiP integration, demonstrate its effectiveness, and then show how the BAW technologies fit well with RF-SiP, which will be the mainstream for further RF integration. Ken-ya Hashimoto Editor Chiba University Chiba-sha, Japan May 2009
CHAPTER 1
Background and History Ken Lakin
1.1
BAW Technology Background The purpose of this chapter is to give a brief history of the development of BAW technology which is covered in technical detail in later chapters of this book. First it is necessary to define what the BAW technology is and then put the history in that context. For the purposes of this book, BAW history is interesting not so much as who did what when (that will be apparent from numerous references) but how other technologies were drawn upon to make the development of the modern thin film BAW technology possible. Microelectronics has played a key role over the years by providing materials-processing techniques previously unavailable. Review papers give an overview of thin film resonator technology [1–5]. 1.1.1
Basic Definitions
The term bulk acoustic wave (BAW) refers to primary acoustic waves that propagation in the bulk of a material whose dimensions are infinite and wherein the wave occupies all of that volume. There are three possible propagation modes called the normal modes of the material. Those modes are well understood for a large number of materials whose elastic properties are known. In more practical terms, a wave in a finite three- dimensional region can only approximate the propagation characteristics of an infinite region. The first approximation required to support a BAW is that the lateral extent of the medium is much larger than the wavelength and cross-section of the wave. The practical definition of BAW is imprecise and depends on what artifacts crop up due to the finiteness of the beam. For example, a beam starting out as being of comparable dimensions to the wavelength would appear as a point source and spread widely, due to diffraction, but could be described as some complex linear combination of the normal modes. The second approximation is that the lateral extent of the wave, and therefore of the medium, is such that the wave is primarily one-dimensional but with some residual effects due to lateral finiteness. In the direction of propagation the material extent may be very finite, such as a half-wavelength thick for a resonator. Yet in such a case, dimensions will appear large in the direction of propagation because the wave bounces within the resonator between parallel surfaces maintaining its characteristics as if propagating over considerable distance. Typical average lateral dimensions might be
1
2
Background and History
approximately 100 times the wavelength for resonators in filters designed for 50-ohm source and load impedances. Whereas finiteness is a distortion imposed on BAW, other modes of propagation are uniquely tied to the finiteness of a structure. For example, waves can propagate along and be guided by a surface or at an interface. The most notable being the solid to air interface that supports surface acoustic waves (SAWs). A feature of waves is that they tend to be guided by regions of slower velocity and lower energy density. If there is a lateral deformation at or very near a surface, the material can expand perpendicular to the force (Poisson effect) out into the air region. That added degree of freedom makes the surface appear mechanically softer and as a result the SAW is confined to the surface. In the case of SAWs the material region must be just a half space with the relevant approximation that the material is sufficiently thick that the wave does not exist at any other surfaces. If the material region is formed as a plate with two parallel surfaces, but large in lateral extent, then another set of waves, plate waves (PW), can propagate along the parallel boundaries of the plate. These waves are most pronounced when the thickness of the plate is comparable to the propagation wavelength. It turns out that such a geometrical constraint is met by a typical BAW resonator. Further, plate waves can be generated in BAW resonators and can plague high-performance BAW resonators with parasitic resonances. Other modes of propagation are possible in the typical BAW structural approximation but PW are the most pronounced. Since a resonator can be though of as a confinement structure for a wave bouncing between reflecting surfaces, it is only a manner of properly generating and confining a wave to make a useful resonator. Two issues then emerge. First, how to generate the wave, and second how to confine the wave so that most of the energy is stored with a minimum amount of energy loss except on a controlled basis. 1.1.2
Role of Piezoelectric Materials
The most straight forward method of generating an acoustic wave is to use a piezoelectric material. The piezoelectric direct and inverse effects are described in general by the equations, T = cS − eE
(1.1)
D = eS + εE
(1.2)
Here (1.1) is Hook’s law of elasticity, T is stress (force per unit area), S is strain, e is the piezoelectric coefficient, c is mechanical stiffness, ε is permittivity, and E is the electric field. The second equation shows the contribution of mechanical strain to electric charge generation and displacement current. Accordingly, mechanical deformations and electric properties are piezoelectrically coupled. As will be shown in subsequent chapters, the strength of the piezoelectric coupling determines the bandwidth of filters and the mechanical losses in the material will determine resonator Q and accordingly filter insertion loss.
1.1 BAW Technology Background
1.1.3
3
Transducers and Resonators
The transduction process requires the application of an electric field to the piezoelectric material. This is done generally in the form of metal electrodes applied to, or in close proximity to, the surfaces of the piezoelectric material. In the technical discussions that follow it will be useful to keep in mind the distinction between transducer and resonator. Figure 1.1 shows cross-sections of three devices. Note the adoption of the microelectronic custom of drawing wherein vertical dimensions are greatly expanded over horizontal dimensions. In Figure 1.1(a) a set of metal electrodes is applied to a piezoelectric plate much as in a simple capacitor. The outer metal surfaces are against air or vacuum so that acoustic waves reflect off these surfaces and effectively stay confined to the material body. The combination of electrodes and piezoelectric plate constitute a transducer and because the energy is confined within the outer surfaces of the electrodes the transducer is also a resonator. In Figure 1.1(c) the electrodes are close to the piezoelectric plate but not in mechanical contact with the plate. Small air gaps between the electrodes and piezoelectric plate insure that most of the applied voltage is applied to the piezoelectric plate. Because of the air boundary on the piezoelectric plate surfaces, sound energy is confined to the piezoelectric plate. Thus the piezoelectric and electrodes are together the transducer but the piezoelectric alone is the resonator. Since the electrodes are not part of the mechanical resonance their thickness can be made large for mechanical strength and electrical conduction purposes. Prior to about 1950, many quartz crystal resonators were made in this format. The areas of those old resonators were made about 2 cm2 in order to accommodate the large currents flowing through resonator circuits of that era. Interest in this configuration has reemerged where thin electrodes have too much electrical loss. In the air gap coupled resonator the added series capacitance of the combined air gaps, Cg, reduces the effective piezoelectric coupling by the factor 1/(1+Ct /Cg) where Ct is the piezoelectric plate capacitance. Figure 1.1(b) shows a transducer atop another plate of material. The resonator in this case is the material region from topside of the top electrode to bottom side of the bottom plate. The resonator may be one or many half-wavelengths thick. Practical resonators have been made where the thickness is around 120 half-wavelengths (mode number equal to 120) at a given frequency. These are called overmoded reso-
PIEZOELECTRIC
d
PIEZOELECTRIC
ELECTRODES (a)
(c)
PIEZOELECTRIC ELECTRODES d
d
ELECTRODES
PIEZOELECTRIC
d
(d)
(b)
Figure 1.1 Cross-sections of BAW resonators. (a) Piezoelectric plate with attached electrodes. (b) Piezoelectric transducer attached to a substrate. (c) Piezoelectric plate with electrodes separated by an air gap from the plate. (d) Piezoelectric plate with lateral electric field excitation.
4
Background and History
nators (OMR). A small change in frequency can cause the resonance to shift up or down one mode number and many resonances can exist over the bandwidth of the transducer. The frequency spacing is the reciprocal of the round-trip time of a propagating wave. In Figure 1.1(d) the lateral field resonator is designed to keep electrodes out of the resonator by exciting the piezoelectric plate with fringing electrical fields that are mostly parallel to the plate surface. Resonance is established between two unelectroded surfaces. Historically, resonators (called crystals then and today) of the type in Figure 1.1(c) were used until the early 1950s. With the need for smaller resonators and the availability of metal plating techniques, crystals of the form in Figure 1.1(a) were produced in ever decreasing sizes. The configuration in Figure 1.1(b) was limited to transducers for delay lines and other applications. Transducer bonding techniques were not advanced enough to support low-loss transduction although some metallurgical techniques showed some promise in special applications. It was the need for BAW delay-line transducers at high frequencies that led to the development of piezoelectric thin film deposition [6]. And, it was not until the introduction of thin film deposition did the composite configuration show some promise as a resonator. The air gap and lateral field resonators have a modern day application in quartz crystals for low-aging applications where metal electrodes would have detrimental effects, or for microwave resonators where acoustic losses in the electrodes are excessive. 1.1.4
Comparisons with SAW and Plate Wave Resonators
It is apparent from the discussion above that an acoustic resonator can be formed by a transduction means and mechanical boundaries that confine the energy. As introduced above, there are other waves that exist at boundaries such as SAWs and PWs. Figure 1.2 shows the cross-section of a SAW transducer wherein the thickness of the substrate is much larger than the wavelength of the SAW. Here spatially periodic
(a)
(b)
Figure 1.2 SAW transducer and resonator. (a) Side view showing driven electrodes and reflectors. (b) Top view of transducer and resonator. In practice there are many more pairs of transducer electrodes and more reflector stripes on both sides of the transducer.
1.1 BAW Technology Background
5
electrodes generate a wave that is synchronous at center frequency with the periodicity of the electrodes. Typical electrodes are a quarter-wavelength long in the propagation direction and much wider that a wavelength in the width (depth in the drawing) direction. Once launched, a SAW propagates in both directions along the surface until intercepted by another transducer. A resonator can be formed by using an array of electrodes on both sides of the transducer that intercept the wave to such an extent as to cause a significant reflection back towards the transducer. The transducer can be designed so that some of the reflection occurs within the transducer itself. Accordingly, a resonator can be formed by the transduction and reflection process. A SAW can also be abruptly reflected by a vertical termination of the material region but this leads to waves that reflect in such a manner as to radiate into the bulk of the material and thus would constitute a loss mechanism. The details of SAW resonators and filters are described in a later chapter. Plate waves propagating in the lateral dimension of a plate are confined to the plate by the top and bottom surfaces. It is assumed that the plate is much larger in width than in thickness. In Figure 1.3(a) is illustrated an electrode pair, one on top and one on the bottom of the plate. The electrodes are approximately a half-wavelength long in the propagation directions. The number of waves that can be excited is quite complex unless means are taken to trap a single mode under the electrodes and have the propagation of other modes cutoff in the external regions. When energy is trapped in the electrode region the device becomes a resonator. Figure 1.3(b) shows the results of a numerical calculation of the mechanical displacement for a trapped resonator. The electroded region slows the wave propagation and allows the energy to stay confined. The standing wave is confined to the electroded region and has an evanescent decay outside the region where other modes are accordingly cutoff. Historically, plate wave resonator and associated monolithic crystal filter (MCF) technologies were overcome by the advancements in SAW devices. The main advantage of the MCF would be comparatively small size at a given frequency but particularly at frequencies below 100 MHz. 1.1.5
Other Kind of Resonators
Figure 1.4 illustrates some other resonators of historical significance that can be implemented in thin film form. They will be discussed in order of apparent stiffness and therefore applicable frequency. In Figure 1.4(a) the top view of a cantilever beam is shown and it is assumed that the lateral dimensions of the beam are much larger than the plate thickness. The flexural beam resonance has the lowest potential operating frequency because of the relatively high degree of compliance of the beam. In Figure 1.4(b) the beam is clamped at both ends and so is substantially stiffer. In Figure 1.4(c) a thin plate is clamped on all sides resulting in a stiffer structure which can support a “drum head” resonance. Finally, in Figure 1.4(d) a beam is supported in the center, which forces a node point, and is allowed to vibrate in the length dimension. This is known as the length extension mode. An extension of this device is a disk supported at the center node point and has motion in the radial direction.
6
Background and History
PIEZOELECTRIC
d
Amplitude, Angstroms
(a)
Electrodes
Horizontal Distance (b) Figure 1.3 Plate wave excitation and trapped energy. (a) Cross-section showing top and bottom electrode stripes used to excite the plate wave. The electrodes are normally comparable to the principal wavelength in the lateral propagation direction and longer in the depth direction of the figure by many times the plate thickness. (b) Calculation of wave amplitude in the vicinity of the electrode showing that energy is actually trapped.
These various forms of resonators may occur by deliberate design or as an artifact in some other more desired resonator. They have also appeared in one form or another in the field of MEM devices. Figure 1.5 suggests a possible implementation in thin film form of a piezoelectric bimorph cantilever beam resonator designed to operate in a flexure mode. A voltage applied to the top piezoelectric plate can excite a length extension strain that causes the beam to bend. The bending of the lower beam is detected by the piezoelectric and generates an output voltage. Although useful in low-frequency resonators and filters, the driven flexure vibration can possibly occur in high-frequency BAW devices as a parasitic effect. Figure 1.6 gives a pictorial summary of frequency ranges for different modes of vibration resonators over a range of plate thicknesses. BAW modes for longitudinal and shear waves are higher in frequency because the material structure is stiffer for those modes and frequency is simply inversely proportional to thickness.
1.1 BAW Technology Background
7
a
a Air Gap
(a)
(c)
a a Air Gap
Air Gap
(b)
(d)
Figure 1.4 Other forms of resonant structures in a plate that is much thinner than the lateral extent of the device. (a) Beam resonator clamped at one end. (b) Beam resonator clamped at both ends. (c) Membrane clamped on all sides. (d) Length-extensional resonator.
(a) Gnd
Substrate (b)
I/O Gnd I/O
(c) Figure 1.5 Beam resonator. (a) Bonded plate low-frequency piezoelectric bimorph. Piezoelectric film implementation as an example of how classical resonator or filter structures can be reinvented in thin film form. (b) Cross-section view. (c) Top view showing electrode run-out.
1.1.6
Electrical Characteristics of Piezoelectric Resonators
This section will briefly describe the electrical properties of a resonator in order to bring to light the reemergence of problems in thin film BAW resonators that have plagued the BAW quartz crystal field.
8
Background and History
FILM THICKNESS, MICROMETERS
100 AlN SHEAR AlN LONGITUDINAL
ZnO LONGITUDINAL ZnO SHEAR CLAMPED MEMBRANE 10
LENGTH EXTENSION BEAMS
1.0
0.1 100 Hz
1 MHz
10 MHz
100 MHz
1 GHz
10 GHz
FREQUENCY
Figure 1.6 Approximate required film thickness as a function of frequency range for a number of resonator technologies. A width or length to plate thickness ratio of 10:1 was assumed for the low-frequency plate wave devices. Highest frequency operation for a given thickness is for longitudinal AlN. Lower frequencies require thicker films and materials of slower velocity, or shear waves would be applicable.
The interaction between the applied voltage and resulting current flow gives rise to a complex impedance that exhibits both series and parallel resonance as will be described in detail in Chapter 3. Without going into the details, the impedance of a simple resonator is given by, ⎛ tan φ⎞ Z = (1 jωC )⎜1 − K 2 ⎟ φ ⎠ ⎝ φ=
kd π ⎛ f ⎞ = ⎜⎜ ⎟⎟ 2 2 ⎝ fp ⎠
(1.3)
(1.4)
f p = V 2d
(1.5)
K 2 = φ tan φ for f at series resonance frequency
(1.6)
where f is frequency, V is the velocity of propagation, d is the thickness of the plate, C is geometric capacitance, and K2 is the piezoelectric coupling coefficient. Figure 1.7 shows a plot of the modeled magnitude and phase of a representative resonator. If the device were not a piezoelectric resonator and just a capacitor then the impedance would have the characteristic 1/f response (nearly a horizontal line on the frequency scale in Figure 1.7). For frequencies outside resonance the resonator has near −90° of phase, characteristic of a capacitor. As series resonance is approached the reactance drops and a large capacitive current flows just below series resonance. At series resonance impedance drops to a minimum value and is resistive. For frequencies slightly above series resonance the current flow is inductive. As parallel resonance is approached, the impedance becomes very large and
1.1 BAW Technology Background
9 90.0
500
75.0 60.0 400
Parallel Resonance
Series Resonance
300
30.0 15.0 0 -15.0
200
Phase, Deg.
Zmag, Ohms
45.0
-30.0 -45.0 100
-60.0 -75.0
0 1450
1500
1550
1600
1650
-90.0 1700
Frequency, MHz
Figure 1.7 Simulated magnitude and phase of impedance over frequency for a one-dimensional finite Q resonator. Series resonance occurs where the phase crosses zero with positive slope and the impedance is a minimum. Parallel resonance is where phase crosses zero with negative slope and impedance is a maximum.
reaches a maximum and resistive value at parallel resonance. For frequencies above parallel resonance the resonator again becomes capacitive. The principal value of a piezoelectric resonator is the realization of a high Q inductance if only over a short range of frequencies. This is the ideal case that can only be approximated in practice. Resonators whose lateral extent is much larger than plate thickness act as many resonators operating in parallel. The various area segments of the resonator tend to operate independently and thickness control can be an issue. For example, suppose a very high-quality resonator would normally exhibit a series resonant resistance of 1 ohm and a parallel resonant resistance of 2,000 ohms. Now assume that the majority of the resonator is at parallel resonance but that 0.05% of the resonator area is at series resonance with a series resistance accordingly scaled by area to be 2,000 ohms. These two resonator portions are electrically in parallel and the combination for the overall resonator is 1,000 ohms. Thus, the apparent parallel resonance resistance of resonator has been significantly reduced. If the area of the resonator is 200 × 200 μm, the area of the parasitic portion would be only 4.5 μm2. Figure 1.8 shows the effect on resonator phase of the parasitic resonance. This is an extreme example only in that the parasitic resonator was assumed to be discrete and well defined. Distributed thickness effects such as roughness can also degrade resonator performance. The parasitic area effect can occur as a result of a lack of parallelism during resonator thinning. As crystal plates were made thinner to reach higher frequencies, the degree of mechanical processing tolerance decreased, making large-area thin resonators very difficult to fabricate. For this and other reasons, quartz crystal reso-
10
Background and History
Phase, Deg.
90 75 60 45 30 15 0 -15 -30 -45 -60 -75 -90 1550
1570
1590
1610
1630
1650
Frequency, MHz Figure 1.8 Modeled phase of a resonator having small-area parasitic resonator having series resonance at the parallel resonant frequency of the principal resonator. In practice such effects could occur over a distribution of frequencies.
nators became smaller in lateral extent compared to thickness to the point of no longer being BAW resonators. Finally, multidimensional wave propagation effects were incorporated for energy trapping and resonance mode control. 1.1.7
Technology Driving Forces
In order to appreciate the contents of this book it is important to understand the driving forces that brought about the development of thin film BAW technology. The discussion above suggests that there is more than one way to build resonators and resonator-based filter, as is certainly the case. The drivers from the technology’s applications are cost, performance, and device size. The performance issue was the need for high-frequency operation well beyond the frequencies reached by quartz crystal technology and to a certain extent beyond SAW technology. This in turn required the development of processes for manufacturing thin piezoelectric films and resonators. Filters are required that exhibit the necessary system bandwidths with low loss. This is because system architectures have largely eliminated IF filtering and require that these functions be carried out at the front end. A front-end filter must have low-insertion loss for receiving and lower loss for transmitting. Filters are a major cost driver in the cell phone market. Not only is the filter cost itself a concern, but an inefficient filter leads to increased battery requirements. The economies brought about by wafer-scale manufacturing have had a significant affect on filter cost in high-volume production. Device size is important as circuit boards become smaller and space on the board a premium. In wafer-scale manufacturing the wafer die count is important in determining end unit cost. In military systems the availability of small filters has a significant affect on system architectures. Systems that might have been deemed undesirable because they required a number of large-area dominating filters become feasible with the existence of small filters. In particular, a thin film BAW filter is
1.2 Thin Plate Resonators: Towards High Frequencies
11
approximately 1,000 times smaller than a ceramic filter for the same frequency and characteristics. From a historical perspective, none of this is really all that new. What has been significantly different is the intense pressure to achieve these goals in a short period of time. The goals then for the development of BAW technology were, and still are today: (1) higher frequencies and better performance, (2) small size, and (3) low cost. Again, from a historical perspective it is interesting to see what other technologies have been brought to bear on this effort. The sections below review the core legacy resonator technologies to see what thin film BAW has been built upon.
1.2
Thin Plate Resonators: Towards High Frequencies 1.2.1
Conventional Quartz Crystal Thinning
The obvious approach to reach higher frequencies with conventional piezoelectric resonator materials is to thin a crystal plate until the desired frequency is obtained. Clearly there are practical limits to thinning large-area crystal plates in mass production with perhaps the most important issue being the need to mechanically support the thin-plate resonator after the fact. For example, AT-cut quartz crystal unsupported plates are commercially available in thickness of less than 25 micrometers (equivalent to approximately 60-MHz fundamental frequency) having areas of approximately 25 mm2. (That these plates survive subsequent processing is probably due to the fact that quartz does not exhibit cleavage planes.) Once thinned to a practical limit, these blanks can then be used as a starting point to further increase the resonator frequency by selective area thinning [7]. One such approach, shown in Figure 1.9, is the inverted mesa configuration wherein a thin resonator region is supported by a much thicker supporting substrate of the same material [8]. Chemical etching techniques have been extensively investigated along with ion milling to produce the thin plates in the mesa [9–17]. Further, considerable effort has been directed towards chemical etching techniques that do not leave a crystal facet roughened surface. The final result can be a large crystal blank having an array of inverted mesas in a wafer-scale manufacturing format. The chemical properties of quartz, that allow it to be relatively easily chemically or plasma etched, have historically not been available for high K2 materials of interest for resonators. 1.2.2
Bonded Plate Resonators
Other fabrication techniques were proposed to obtain thin plates, such as the one suggested in Figure 1.10 [18]. Here a crystal plate is bonded to a substrate having an
Figure 1.9
Inverted mesa quartz plate with the thinned area produced by chemical etching.
12
Background and History
appropriate void region and the mechanical strength required to support the eventual thin crystal plate for the resonator. Once bonded, the crystal plate can be mechanically thinned to the desired amount while the peripheries of the crystal plate are supported by the substrate. Today wafer-bonding techniques developed in microelectronics might be usefully applied in this resonator configuration, and recently more advanced processing techniques and topologies have been proposed for quartz mesa resonators [17]. The advantage of this legacy approach is that materials not producible in thin film form could be processed into resonators. In Chapter 2 an etching process is described that would allow the plate in Figure 1.10 to be bonded to a flat silicon wafer, thinned, and the hole etched in the wafer afterwards. This is a much more practical approach because it avoids the problem of the plate bowing into the hole during polishing when the plate is thin compared to the lateral extent of the hole.
1.3
Composite Resonators Rather than thin down a single crystal plate, it became apparent to researchers early on that growing the resonator material to a desired thickness might be a viable approach [19, 20]. However, these ideas occurred well in advance of the materials science and technology necessary to support actual device fabrication. The lead in to the composite resonator was the microwave bulk wave delay line which required a thin film piezoelectric transducer for high-frequency operation. These delay lines were very thick and amounted to microseconds of time delay. The composite resonator is basically a delay line that does no have an output transducer and is thin.
PIEZOELECTRIC PLATE
SUBSTRATE (a)
(b)
(c)
Figure 1.10 Bonded plate resonator. In (a) a piezoelectric plate with bottom electrodes and substrate with an open area, in (b) the plate has been bonded to the substrate, and in (c) the plate has been thinned and upper electrodes attached. A modern approach would be to form the hole as a final step.
1.4 Development of Thin Films
13
One of the first composite approaches, shown in Figure 1.11, would have resulted in resonators having high mode numbers and low effective coupling coefficients because the likely substrates would have been many half-wavelengths thick. It was not until thin silicon substrates became available that the composite resonator could be demonstrated at high frequency. That device is discussed in detail in Chapter 2. The most important concept forwarded by these and other approaches, irrespective of their relative implementation successes, is that the desirable electrical, mechanical, and processing properties need not reside within a single material but can be realized by a composite of materials and processing techniques. And, that perspective constitutes a major departure from the conventional crystal plate technology. Accordingly, the major developments in resonator technology have been highly dependent on advances in materials processing, primarily those driven by microelectronics integrated circuit technology.
1.4
Development of Thin Films The principal driving force for the development of piezoelectric thin films was the need for higher frequency microwave delay lines, and the lack of adequate fabrication techniques for thinning piezoelectric crystal plates to high frequencies. In addition, methods for bonding piezoelectric plates to delay lines were only moderately successful due in large part to the need for an electrode between the piezoelectric plate and delay line material. In the early 1970s, there was also a desire to have higher velocity substrates for SAW devices to allow operation at higher frequencies. Eventually, high-resolution electron beam lithography, developed by the microelectronics industry, allowed the fabrication of high-frequency SAW devices. The first reported work directed towards thin piezoelectric films was that for zinc oxide (ZnO) and CdS transducers for microwave delay lines [1]. Subsequent work on films quickly moved towards piezoelectric films for SAW devices [21–24]. AlN deposition on sapphire substrates for SAW applications was reported in the early 1970s [22]. A significant amount of work was done on ZnO for SAW devices. The assumption with ZnO was that a process developed for bulk wave delay lines could be easily transferred to SAW applications. However, as with other piezoelectric films, it was discovered that a higher quality of film was required for SAW transduction than for delay lines. In microwave delay lines the transducer is heavily loaded by the delay line and the unloaded Q of the piezoelectric film need not be much higher than the loaded Q of the transducer. When propagation was along the length of the piezoelectric film a higher quality film was required for SAW propagaPiezoelectric Film Substrate
Figure 1.11 Composite resonator composed of a thin film piezoelectric grown on a suitable substrate. The film and substrate will have a 180° phase for a fundamental mode resonator.
14
Background and History
tion. The problem of material Q surfaced again, and in the extreme, when films were applied to thin film BAW resonators where most of the energy is in the film. Films good enough for SAW were not good enough for BAW resonators. AlN films grown by high-temperature organometallic chemical vapor deposition for SAW devices were of the required quality but lacked a viable means of putting an electrode under the resonator. Significant advances have been made in sputter film deposition as will be detailed in a later chapter.
1.5
Multidimensional Effects All structures actually fabricated are of course three dimensional, and it is only a matter of the degree of multidimensionality that affects device performance. The most important issue with BAW resonators is the generation of plate wave modes that can be seen as spurious responses in the normal resonator response. Figure 1.12 illustrates the physics of the problem. In Figure 1.12(a) a simple resonator having electrodes and a lateral dimension comparable to the piezoelectric plate thickness is shown. Assuming a simple longitudinal mode-thickness excitation, vertical deformation causes a lateral deformation through the natural Poisson coupling. This coupling causes lateral vibrations in additional to thickness vibration in time harmonic excitation. In Figure 1.12(b), the plate is assumed to be much larger in lateral extent. Thus when volume element A is subjected to excitation its lateral deformation is canceled by the like lateral deformation of adjacent cells C and B. The result is a one-dimensional deformation locally. End cell D is also driven to a thickness deformation but in this case there is no adjacent cell on the left-hand side to cancel the lateral deformation in that direction. Accordingly, volume element D generates vertical and horizontal deformations capable of exciting lateral wave propagation in the plate. Once excited at the plate edges, plate waves will propagate throughout the plate reflecting off any material or electrical discontinuity. The energy contained in the lateral wave will be dependent on the strength of the excitation. Most important is the ratio of plate wave energy to that in the primary thickness mode. In the small resonator case of Figure 1.12(a), there is no real distinction between the two excitations because the deformations are so tightly coupled and occupy the same volume. In the extended resonator of Figure 1.12(b), the internal volume elements driven in the thickness mode will have an associated energy larger than the plate wave in approximate proportion to the width-to-thickness ratio of the resonator. In Figure 1.12(c) the plate wave problem is cast in a format closer to the thin film BAW case. Here the piezoelectric plate is assumed to be of a lateral extent larger than the electroded region, or more pertinent, the overlap of the electroded region. D (a)
C
A (b)
B
D
C
A
B
(c)
Figure 1.12 Resonator geometry for describing plate wave excitation. (a) Resonator having comparable lateral and thickness dimensions, (b) large lateral-to-thickness dimensions, and (c) part of an extended plate.
1.6 Legacy Filter Topologies
15
The excitation of the plate wave is somewhat softened by the stiff material region in the plate outside the electrodes. Fringing electric fields at the edges can also excite other modes. Total current flow in the resonator is a result of integration of displacement current across the electrodes. Two main factors determine the influence of plate waves on total current flow; first is the strength of the excitation, and second is the lateral extent of the electrode relative to the wavelength of the lateral wave. Figure 1.13 shows a simulation of a BAW resonator done with numerical analysis [25]. It is clear that plate waves cause ripple in the impedance. Figure 1.14 shows the wave distribution across the resonator. Displacement current in the resonator has a similar ripple and as frequency changes the mode number changes for the lateral standing wave. The electrode has the effect of integrating the displacement current flowing into the electrode. For an even number of lateral half-waves the plate wave current component averages to zero but when frequency shifts then there is a plus/minus contribution of current that is left over and that causes the current ripple. Later chapters will discuss how to mitigate this problem.
Legacy Filter Topologies There are a number of legacy filter technologies that have application to high-frequency applications. Most of the quartz crystal technology involved the use of individual resonators integrated into a circuit possibly with the use of transformers and inductors. 1.6.1
Balanced Bridge Filter
The balanced bridge filter is shown in Figure 1.15 is probably the simplest filter short of using a single series resonator between input and output. The bridge is
Phase, Deg.
Impedance
1.6
5.40 5.46 5.52 5.58 5.64 5.70 5.76 5.82 5.88 5.94 6.00 Frequency, GHz x μm
Figure 1.13 Numerical analysis simulation of the phase and amplitude across a piezoelectric plate. Frequency scale is normalized by plate thickness and impedance is normalized by resonator capacitance reactance magnitude.
16
Background and History
Amplitude
Electrodes
Distance (mesh points) Figure 1.14 Numerical analysis simulation of the standing wave distribution across a resonator. The ripple is due to plate waves.
X1
Rload C1
Figure 1.15 Classical bridge filter. In some configurations there is a resonator of shifted frequency in the lower branch as well.
designed so that the capacitor matches the capacitance of the crystal when off the resonant frequency. At series resonance the filter circuit is out of balance and the low impedance of the crystal provides minimum insertion loss. At parallel resonance the bridge is also out of balance but the reactance of the capacitor is much larger than the load resistance and so there is minimal transmission. More complicated bridge filters use resonators in both branches with the resonators slightly offset in frequency for a multipole response. For high-frequency miniature filter applications the transformer presents a problem and other filter topologies are much better. 1.6.2
Ladder Filters
The ladder filter configuration is shown in Figure 1.16 in two formats. In Figure 1.16(a) the shunt branches are capacitors. At low frequencies the Q of the resonators are sufficiently high to overcome the reactance of the capacitors and provide a multipole response. Conventionally these filters have been employed in applications that do not require low insertion loss.
1.6 Legacy Filter Topologies
17
X1
X2
C4
X3
C5 (a)
X1
X2
X4
X3
X5
(b)
Figure 1.16 Ladder-filter circuits. In (a) capacitors are used in the shunt branches as commonly done in low-frequency quartz crystal filters. In (b) resonators are used in both series and shunt branches. This configuration is used in high-frequency BAW resonator filters.
In Figure 1.16(b) the resonators are also used in the shunt branches. As will be described later, the use of shunt resonators gives lower insertion loss and a symmetrical passband. This is the legacy filter technology that is widely used in high-frequency applications today. In practice, more or fewer resonator sections are employed. 1.6.3
Lattice Filter
The lattice filter is another legacy filter configuration that is of increasing interest for high-frequency applications wherein the direct connection with RF ICs is best done with balanced networks. Shown in Figure 1.17 is the schematic of a simple lattice filter. These filters are used to provide a balanced input and output and may be cascaded to give better selectivity. Redrawn, the lattice is a form of a balanced bridge filter. Typically, these filters used transformers for input and output.
X1
X2
X2
X1
Figure 1.17 Lattice-filter configuration that provides a balanced input and output. This configuration can have wider bandwidths than the ladder filters.
18
Background and History
1.6.4
Monolithic Filters
The monolithic filter, shown in cross-section in Figure 1.18, is a relatively miniature filter that was widely used at frequencies up to 100 MHz with some demonstrated at higher frequencies. One R&D filter was demonstrated at around 800 MHz [26]. In the evolution of filter development the monolithic filter was replaced by SAW filters in most applications because the SAW filter is much easier to design and manufacture. Advanced thin film processing techniques show some promise for thin film BAW implementation of the MCF configuration.
1.7
Some Acoustic Device and Materials Processing Legacy It is readily apparent from Figure 1.1 that the BAW resonator is a simple device compared to other microelectronic structures. It appears to be just a piezoelectric plate with two electrodes. The quartz crystal legacy is to take a quartz plate and grind it down to the required thickness to achieve the desired resonance. This has been the most cost-effective manufacturing approach for decades supplemented in more recent times by chemical etching and microelectronics style batch processing. As electronics technology evolved from large vacuum tubes to smaller ones and to solid state the need and desirability of smaller area resonators increased and the size of
(a)
(b)
Figure 1.18 Representation of a three-pole monolithic crystal filter (MCF). (a) Cross-sectional view, and (b) top view. Electrode overlaps form the excitation or I/O regions. The center resonator typically is not connected to outside circuitry. Energy trapping can also be done by thinning the piezoelectric plate between and outside the resonators.
1.7 Some Acoustic Device and Materials Processing Legacy
19
quartz crystal resonators became smaller. The most valuable contribution to thin film BAW from quartz crystal technology was a simple equivalent circuit model and the physical understanding and computational handling of resonator spurious responses. Thin film BAW has most of its roots in areas of microwave acoustics and transduction. Thin piezoelectric films were first developed to support for microwave delay lines in upper-GHz frequencies. The act of attaching a piezoelectric plate to a delay line and grinding down the plate to the desired thickness was a difficult task. It seemed much more desirable to grow the piezoelectric film to the required thickness on an underlying electrode and subsequently fabricate a thin film top electrode. Pioneering work in ZnO and AlN deposition was done initially for microwave delay lines. Perhaps the greatest contribution came from the microelectronics industry in the form of photo lithography, film deposition, wet and dry (plasma) processing, magnetron sputtering of metals and dielectrics, and the availability of precision substrates and wafer planarization techniques. In the area of thin film deposition there are a number of representative contributions [27–38].
References [1] Weigel, R., et al., “Microwave Acoustic Materials, Devices, and Applications,” IEEE Trans. MTT, Vol. 50, No. 3, March 2002, pp 738–749. [2] Ruby, R., “Review and Comparison of Bulk Acoustic Wave FBAR and SMR Technology,” IEEE 2007 Int. Ultrasonics Symp. Proceedings, paper 11E-3. [3] Muralt, P., “Is there A Better Material For Thin Film BAW Applications Than AlN?” 2005 IEEE Int. Ultrasonics Symp. Proceedings, paper 5C1. [4] Lakin, K. M., “Thin Film Resonator Technology,” 2003 Frequency Control Symp. Proceedings, paper WE1A-4 (Invited). [5] Lakin, K. M., “Review of Thin Film Resonator Technology,” IEEE Microwave Mag., Vol. 4, No. 4, December 2003, pp. 61–67. [6] Foster, N. F., et al., “Cadmium Sulphide and Zinc Oxide Thin-Film Transducers,” IEEE Trans. on Sonics and Ultrasonics, Vol. Su-15, No. 1, January 1968, pp. 28–41. [7] XECO, 1651 Bulldog, Cedar City, UT 84720. [8] Guttwein, G. K., A. D. Ballato, and T. J. Lukaszek, “VHF-UHF Piezoelectric Resonators,” U.S. Patent 3,694,677. [9] Hanson, W. P., “Chemically Polished High Frequency Resonators,” Proc. 37th Ann. Freq. Contr. Symp., 1983, pp. 261–264. [10] Hunt, J. R., and R. C. Smythe, “Chemically Milled VHF and UHF AT-Cut Resonators,” Proc. 39th Ann. Freq. Contr. Symp., 1985, pp. 292–300. [11] Lepek, A., and U. Maishar, “A New Design for High Frequency Bulk Resonators,” Proc. 43rd Annual Frequency Control Symposium, Denver, CO, May 31–June 2, 1989, pp. 544–547. [12] Berte, M., “Acoustic-Bulk-Wave Resonators and Filters Operating in the Fundamental Mode at Frequencies Greater Than 100 MHz,” Electronic Letters, Vol. 13, No. 9, April 28, 1977, pp. 248–250. [13] Stern, F. M., et al., “The Fabrication of High Frequency Fundamental Crystals by Plasma Etching,” Proc. 43rd Ann. Freq. Contr. Symp. (AFCS), 1989, pp. 634–639. [14] Wang, J. S., S. K. Watson, and K. F. Lau, “Reactive Ion Beam Etching for VHF Crystal Resonators,” Proc. 34th Ann. Freq. Contr. Symp. (AFCS), 1984, pp. 101–104.
20
Background and History [15] Brauge, J., M. Fragneau, and J. P. Aubry, “Monolithic Crystal Filters Fabricated by Chemical Milling,” Proc. 39th Freq. Cont. Symp., pp. 504–513. [16] Ishii, O., et al., “High Frequency Fundamental Resonators and Filters Fabricated by Batch Process Using Chemical Etching,” Proc. 1995 IEEE Freq. Cont. Symp., pp. 818–826. [17] Lakin, K. M., G. R. Kline, and K. T. McCarron, “Self Limiting Etching of Piezoelectric Crystals,” Proc. 1995 IEEE Int. Freq. Cont. Symp., pp. 827–831. [18] Coussot, G., and E. Dieulesaint, “Method of Manufacturing an Electromechanical System Having a High Frequency Resonance,” U.S. Patent 3,924,312. [19] Curran, D. R., “Composite Resonator,” U.S. Patent 3,401,275. [20] Sliker, T. R., and D. A. Roberts, “A Thin-Film CdS-Quartz Composite Resonator,” J. App. Phys., Vol. 38, 1967, pp. 2350–2358. [21] Manasevit, H. M., F. M. Erdmann, and W. I. Simpson, J. Electrochem. Soc., Vol. 118, No. 1864, 1971. [22] Lakin, K. M., J. Liu, and K. Wang, “Aluminum Nitride on Sapphire,” 1974 IEEE Ultrasonics Symp. Proceedings, Milwaukee, WI, November 11–14, 1974, p. 302. [23] Shiosaki, T., Proc. IEEE 1978 Ultrasonic Symp., Vol. 100, 1978. [24] Hickernell, F. S., Proc. IEEE, Vol. 64, No. 631, 1976. [25] Lakin, K. M., and K. G. Lakin, “Numerical Analysis of Thin Film BAW Resonators,” 2003 IEEE Int. Ultrasonics Symposium, paper 4A-3. [26] Lakin, K. M., G. R. Kline, and R. S. Ketcham, “Low Insertion Loss Filters Synthesized with Thin Film Resonators,” 1987 IEEE Ultrasonics Symposium, Denver, CO, October 14–16, 1987, Vol. 1, p. 375. [27] Hashimoto, K.-Y., et al., “Preparation of Piezoelectric ZnO Films by Target Facing Type of Sputtering Method,” 1998 IEEE Ultrasonics Symp. Proc., Vol. 1, 1998, p. 207. [28] Iriarte, G. F., et al., “Synthesis of C-Axis Oriented AlN Thin Films on Metal Layers: Al, Mo, Ti, TiN and Ni,” 2002 Ultrasonics Symposium Proc., Vol. 1, 2002, pp. 311–315. [29] Emanetoglu, N. W., et al., “MgxZn1-xO: A New Piezoelectric Material,” 2001 IEEE Ultrasonics Symposium Proc., Vol. 1, 2001, pp. 253–256. [30] Mishin, S., et al., “Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality, Microstructure and Film Properties,” 2003 IEEE Ultrasonics Symp., 2003, p. 2028. [31] Naik, R. S., et al., “Measurement of the Bulk, C-Axis Electromechanical Coupling Constant as a Function of AlN Film Quality,” 2002 IEEE Ultrasonics Symp. Proc., 2002, p. 292. [32] Lakin, K. M., K. T. McCarron, and J. F. McDonald, “Temperature Compensated Bulk Acoustic Thin Film Resonators,” 2002 IEEE Ultrasonics Symp. Proc., Vol. 1, 2002, pp. 855–858. [33] Bjurstrom, J., et al., “Dependence of The Electromechanical Coupling on the Degree of Orientation of C-textured Thin AlN Films,” IEEE Trans. on Ultrasonics, Ferroelectrics and Frequency Control, Vol. 51, 2004, p. 1347. [34] Guy, I. L., E. M. Goldys, and S. Muensit, “Measurements of Piezoelectric Coefficients of Nitride Semiconductor Films,” Semiconducting and Insulating Materials Conference, 2000. SIMC-XI. International, July 3–7 2000, pp. 55–58. [35] Shiosaki, T., “Growth and Applications of Piezoelectric and Ferroelectric Thin Films,” 1990 IEEE Ultrasonics Symp. Proc., Vol. 1, 1990, pp. 537–546. [36] Driscoll, M. M., et al., “Low Noise, UHF Oscillators Utilizing High Overtone, Lateral-Field Excitation, Lithium Tantalate Resonators,” 1991 IEEE Ultrasonics Symp. Proc., Vol. 1, 1991, pp. 453–457. [37] Lee, C. H., et al., “Silicon Bulk Micromachined RF MEMS Switches with 3.5 Volts Operation by Using Piezoelectric Actuator,” 2004 IEEE MTT-S Digest, p. 585. [38] Krishnaswamy, S. V., B. R. McAvoy, and M. H. Francombe, “Thin Film in Microwave Acoustics,” in Physics of Thin Films, Academic Press, Vol. 16, 1992, pp. 145–224.
CHAPTER 2
Resonator and Filter Topologies Ken Lakin
The principal requirement for a resonator topology is that it must have suitable boundary surfaces that act to confine the sound wave to the resonator region. Of course the structure needs to be practical from a manufacturing standpoint having high yield and low cost. A configuration that might have seemed unpractical in times past can suddenly be of interest through the application of advanced manufacturing techniques. There are two principal thin film resonator topologies, one with two air interfaces and the other having one air interface and one reflector stack as described below. Both topologies are widely used in high-volume manufacturing.
2.1
Plate Edge-Supported Resonators From an acoustic reflector standpoint, an edge-supported membrane having top and bottom air interfaces would seem to be the most ideal topology. The question is how to fabricate such a structure in a practical manner. 2.1.1
Pothole Membrane
The first thin film resonators were made with the so-called pothole process illustrated in Figure 2.1 [1–8]. The process was made possible by some pioneering work in microelectronics [9]. In Figure 2.1(a) a silicon wafer having (100) orientation is given an oxide layer on the backside and a highly doped p layer of Boron on the topside. This is a batch process that can be done in a diffusion furnace processing hundreds of wafers at a time at low cost. Next, in Figure 2.1(b), an oxide window is opened to expose the bottom of the pothole. The pothole is etched from the backside of the wafer using a wet chemical batch process that etches fast along the crystal’s [100] direction but slowly along the crystal’s [111] directions leaving four walls of (111) orientation. Once the surface is deglazed of the highly doped glass layer formed during the diffusion, the wafer is ready for further processing. The patterns formed in silicon are restricted to rectangular shapes because of the anisotropy of the etching process. The p membrane so formed is typically less than 1-micrometer thick and is accordingly translucent, appearing light brown in transmitted light. This degree of transparency allows the location of the pothole to
21
22
Resonator and Filter Topologies
p+ Silicon
(100) Silicon
Oxide
(a)
Pothole (b) Piezoelectric
Electrodes (c)
Removed p+ Layer (d)
Figure 2.1 Pothole membrane process for fabricating thin film resonators: (a) a silicon (100) wafer is given a p layer about 1.5 micrometers thick, (b) a wet etch is used to form the pothole in the backside of the wafer, (c) the piezoelectric device is fabricated on top of the p membrane, and finally, (d) the p membrane is plasma etched to free up the piezoelectric membrane and devices.
be identified from the topside of the wafer during subsequent IC photolithographic processing. Next, the bottom electrodes are formed by a normal IC process. Typically, an Al film is deposited on the surface of the wafer in such a manner as to provide the correct (111) orientation for subsequent AlN deposition. Typically, the piezoelectric film is grown by sputter deposition covering the entire wafer. After the top electrode is processed, a capacitor-type structure is formed. It is possible to conduct resonator measurements at this stage because the resonator is a composite resonator being composed of AlN, electrodes, and the p layer. In early work, the p layer was not removed and was part of the resonator. The last step in Figure 2.1(d) is to plasma etch the p membrane from the bottom side of the wafer to leave an edge-supported piezoelectric plate. Although Figure 2.1(d) suggests a single resonator for illustration purposes, the membrane can actually support complex filter topologies having many resonators. The pothole process was used to fabricate resonators in the 1980s and the first membrane resonators, without the p layer, were reported in 1982 [8]. The freely supported resonator with air on both sides is now often called an FBAR. A disadvantage of this process is that the p layer is still in the wafer field outside of the pothole region. Since p silicon is a relatively good conductor it can give rise to parasitic resonators where top electrode out-traces overlap the p field. In general, the p area can act as an unwanted ground plane unless removed by some other processing.
2.1 Plate Edge-Supported Resonators
23
The step in Figure 2.1(b) could be followed by a patterning of the p layer to remove it from the majority of the topside field and, if necessary, a deposition of silicon dioxide followed by a planarization of the wafer. This process is outlined in Figure 2.2. In Figure 2.2(b) the p layer is selectively removed by an IC process and then covered by an oxide deposition. Planarization of the wafer gives the structure in Figure 2.2(c). Finally, the piezoelectric device is fabricated and the p layer is removed. Topside electrode traces over the oxide are now given a measure of isolation from the silicon wafer (which could of the high-resistivity type). Again, the advantage of the pothole process is that low-cost batch processing can be employed. Critical dimensionality control occurs only with the actual resonator fabrication, but that issue is common to all resonator fabrication techniques. A disadvantage is the large wafer area taken up by the vertical projection of the opening at the backside of the wafer. This could be mitigated to a certain extent by wafer thinning as now routinely practiced in the IC industry. The purpose of the above exercise is to illustrate how a resonator topology first implemented in the 1970s and then mostly abandoned in the early 1990s can be revived through application of advances in IC processing that have taken place since then. These advances include, the ability to use thinner silicon wafers, advanced plasma processing, and the wide spread use of chemical mechanical polishing (CMP) or other planarizing processes.
(a) Oxide
p+ Silicon
(b) Oxide
(c)
Piezoelectric Oxide Silicon
(d)
Figure 2.2 Advanced pothole process. In (a) the wafer is already provided with a p membrane, (b) the p+ membrane is patterned and then overlain with an oxide layer, (c) the wafer is planarized to smooth the wafer surface, and (d) the piezoelectric device is fabricated followed by a plasma etch to remove the p region and in the process smooth the pothole walls.
24
Resonator and Filter Topologies
2.1.2
Pocket Membrane
The pocket process is similar to the pothole process except the manner of carrying out the backside etch is much more sophisticated, offers greater freedom in structural features, but is more expensive. In Figure 2.3(a) an etch stop layer is formed (if needed) followed by complete device fabrication in Figure 2.3(b). The backside of the wafer needs to be oxide patterned and then followed by a deep reactive ion etch (RIE) that leaves vertical side walls, Figure 2.3(c). The deep-etch process involves a complex plasma chemistry that leaves the vertical side walls protected yet allows etching in the direction of the impinging beam. The etching is known to effectively stop on AlN and allow a good degree of process control. The etching process is done one wafer at a time, and that adds to the cost element. The use of thin wafers is important here. One of the features of the deep RIE etching process, that has been exploited by the IC industry, is the very high aspect ratio vertical structures that can be formed. For thin film resonators, the waste of topside area caused by the pothole process is eliminated by the steep vertical walls. The lateral resolution of the process is such that wall-anchored vertical support structures could be fabricated as well as vertical silicon features that are only supported by the membrane. Figure 2.4 suggests that, if needed, some of the piezoelectric membrane can be left with fine-detailed silicon support structures. The deep RIE process can produce very vertical narrow side wall features with high depth-to-width aspect ratios of 100:1 or greater. Wafers are processed individually and sufficient energy is imparted to the wafer as to require wafer cooling to avoid damage.
Etch Stop Layer
(100) Silicon
Oxide
(a) Piezoelectric
Etch Stop Layer
Electrodes
Oxide
(b)
(c)
Figure 2.3 Pocket membrane process. The steps are summarized in (a) an etch stop layer (such as AlN) is applied to the top of the wafer and an oxide layer formed on the back of the wafer to allow definition of the hole, (b) fabrication of the thin film resonator in the normal manner, and (c) a deep RIE to open up the hole and expose the bottom of the resonator.
2.1 Plate Edge-Supported Resonators
25
Support Wall
Piezoelectric Membrane
(a) Piezoelectric Support Wall
Support Wall (b)
Figure 2.4 Pocket process with support structures allowed by the very high aspect ratio provided by the RIE process (a) top view and (b) side view. Height-to-width ratios of over 100:1 are easily achieved by this process.
Subsequent to the pothole membrane development, and about the time of the pocket membrane process, significant advances were made in wafer bonding. Accordingly, wafer bonding would be a way to seal off the backside holes as part of a wafer packaging process. Either pothole or pocket process allows the wafer to be subsequently diced with a wet process, sawing. 2.1.3
Undercut Air Gap Membrane
Perhaps the most elegant of the membrane processes is the one that forms an air gap under the membrane, as a postfabrication dry process implemented from the topside of the wafer [10–13]. For example, in Figure 2.5(a) a patterned sacrificial layer is fabricated on the topside of the substrate. This layer must be compatible with the following metal deposition such that the metal’s crystal orientation is proper for the subsequent piezoelectric deposition. Typically, the sacrificial layer is in a polycrystalline or amorphous state that allows the metal to orient along preferred directions without direct influence from the sacrificial layer. The high-energy state of the layer is also very conducive to the subsequent removal process. On top of the patterned sacrificial layer the lower level metal is deposited and patterned followed by the piezoelectric film deposition. The care with which these steps must be carried out is discussed in a later chapter. Next, in Figure 2.5(b) VIAs are etched to access buried electrodes and the top metal is deposited and fabricated. A VIA hole is etched or ion milled through the overlaying device structure into the sacrificial layer. The wafer is then subjected to
26
Resonator and Filter Topologies Piezoelectric Support Substrate (a)
(b)
(c)
Figure 2.5 Air gap process. In summary, (a) a sacrificial support layer is deposited and patterned on the wafer followed by lower electrodes and then piezoelectric film deposition, (b) top electrodes are formed and then followed by VIAs to access the buried electrodes and the sacrificial layer, and (c) the sacrificial layer is removed by a highly selective etching process.
an etching agent that enters through the hole and etches out the sacrificial layer leaving a membrane as suggested in Figure 2.5(c). The etching hole need not be plugged as long as the wafer is subsequently only dry processed, including trimming to frequency, wafer dicing step, and any packaging operations. Examples of the process are shown in the optical photographs of some test structures in Figures 2.6 and 2.7. The tests were done for two different sized etch holes. The area of the small holes in Figure 2.7 can be compared to the area of the undercut region. The rectangular regions (with tabs in Figure 2.6) mark the boundaries of the
Figure 2.6 Demonstration test patterns. The rectangular regions with tabs are the pattern of the sacrificial layer. There are no metal layers under the AlN film. Holes were etched through the AlN to expose the sacrificial layer and the wafer subsequently etched to remove the sacrificial layer. The optical interference pattern is due to the AlN membrane bowing upward.
2.2 Solidly Mounted Resonators
27
Figure 2.7 Test structure similar to the one in Figure 2.6, except that there are more holes of smaller diameter. Holes could be within the pattern and not just at the edges.
sacrificial layer. The optical interference pattern is caused by mechanical stress in the film, acquired during film growth, relieved by upward bowing the film. The width-to-thickness ratio of the sacrificial layer is over 100:1, yet the activated gas is able to diffuse throughout the area and reaction products escape out the hole. The mechanical pinning of the membrane to the wafer support structure would be as good as that of the pothole or pocket process. The narrow gap, of the order one micrometer, could allow parasitic capacitance from the resonator bottom electrodes down to the silicon, and accordingly couple resonator electrodes. Typical lateral dimensions of electrodes would be 100 times the gap thickness, even if the silicon wafer is of high resistivity, the parasitic capacitance effect might be important at parallel resonance. The smaller etch holes could be effectively plugged by an overlay deposition of sufficient thickness. The problem is that this would allow the film to be stressed by thermally generated pressure in the gas trapped under the film.
2.2
Solidly Mounted Resonators A more mechanically rugged resonator structure, illustrated in Figure 2.8, can be formed by isolating the resonator from the substrate with a reflector array [14–17]. The array is composed of nominally quarter wavelength (acoustic) thick layers sometimes called a Bragg reflector, the optical analog. The number of layers in the reflector depends on the total reflection coefficient required and the reflection occurring at each layer interface. If the substrate has relatively high impedance then the first layer on top of the substrate should be of low impedance, the next layer high impedance, and so forth. A suitable sequence might be SiO2 and AlN or SiO2 and W (tungsten) on a silicon or sapphire wafer. If the total reflector reflection coefficient is large enough, little or no sound enters the substrate. In which case it does not matter if the first layer is of high or low impedance relative to the substrate. Because tungsten has relatively high mechanical impedance, each material boundary has a higher reflection coefficient than if AlN were used and therefore fewer layers are required. Since AlN and most piezoelectric materials have moderately high impedance, the layer under the resonator is of low impedance and is usually silicon dioxide. If conductors are used for the high-impedance layers, then they generally have to be patterned as a means of avoiding parasitic effects in complex arrays of resonators used in filters. Such patterning can leave the wafer nonplanar but a clever
28
Resonator and Filter Topologies Electrodes Piezoelectric
Reflector Layers
Substrate
Figure 2.8 Solidly mounted resonator cross-section. The reflector stack is composed of acoustically quarter-wavelength layers of materials that produce a substantial reflection coefficient at each layer boundary. The bottom boundary of the resonator is 180° of phase down from the top of the resonator.
technique of reflector fabrication and subsequent planarization has been demonstrated [17]. Figure 2.9 shows a plot of mechanical displacement versus depth for an AlN resonator with aluminum electrodes at 1,800 MHz. The resonator plot is for a frequency near series resonance. The standing wave is largest in the piezoelectricelectroded region and decreases gradually through the depth of the nine-layer (not all layers are shown) reflector stack. The entire structure is the resonator and the electroded piezoelectric region is technically just a transducer. Because energy is stored outside of the electrically sampled region the effective piezoelectric-coupling coefficient of the resonator is reduced over that of an isolated thin film resonator.
0.10
Al Electrodes
0.08
0.04 0.02 0.00
AlN
SiO2
AlN
AlN
-0.06
SiO2
-0.04
SiO 2
-0.02
AlN
Displacement, A
0.06
-0.08 -0.10
0
2
4
6
8
10
Distance, μm
Figure 2.9 Simulation of resonator displacement versus depth. The resonator is series resonant at 1,800 MHz. The most wave amplitude, and hence energy, is stored in the AlN–electroded region with wave amplitude decreasing with depth into the reflector. The reflector is composed of nine layers in total on a sapphire substrate.
2.3 Electrode Metallization
29
In the case shown, the transducer region is 180° thick (simply called a half-wavelength even though there are three material regions) and the material boundary to the right of the “bottom” electrode is also the “resonator” boundary. If, for example, the near-in reflector layer were thicker than a quarter-wavelength, the excess portion would appear in the resonator, causing the resonant frequency to shift downward. Because there is more sound energy in the near-in reflector layer than the other layers, that layer has a greater effect on overall resonator performance compared to more distant reflector layers. Resonator properties and some wide bandwidth filter properties are strongly influenced by the reflection spectrum of the reflector. Bandwidth of the reflector is affected by the impedance ratio between layers with the SiO2/W sequence having a much wider bandwidth than an SiO2/AlN sequence as shown in Figure 2.10. In both cases, the number of reflectors was chosen to such that there was no significant increase in resonator performance through the addition of more layers. The various layers in the reflector need not have exactly the same materials in the high/low sequence so long as the sequence alternates between high and low. For example, the first two layers nearest the resonator might be SiO2/W while the remaining layers needed just SiO2/AlN, but fewer than nine in total because of the large reflection at the W interfaces. That way only one W layer need be patterned. Specific details on reflectors will be given in a later chapter.
Electrode Metallization There are two key elements of electrode metallization that strongly affect resonator performance as illustrated in Figure 2.11 [18, 19]. First, the effective resonator-coupling coefficient has a peak in K2 at a particular thickness ratio. Here the ratio is defined as the thickness of one metal layer to the piezoelectric thickness, and the data is for both electrodes of the same thickness. Since filter bandwidth is strongly tied to K2, wide-bandwidth filters may require the use of W or Mo for metallization as a means of increasing effective K2. The second affect on resonators is the reduction in piezoelectric film thickness for a given frequency. The thinner the piezoelec-
Reflection coefficient
2.3
Frequency, MHz
Figure 2.10 Reflection spectrum of a reflector stack. The solid line is for nine layers of the SiO2/AlN sequence and the dashed line is for a SiO2/W sequence. It will be apparent how reflector bandwidth affects the stacked crystal filter as described in a later section.
30
Resonator and Filter Topologies
Au
Al
W
Mo
(a)
Al Mo Au
W
(b) 2
Figure 2.11 Simulation of resonator effective K and frequency constant for AlN thin film resonators having equal thickness metal on both sides shown as a function of the thickness ratio of one of 2 2 the metal thicknesses to the AlN thickness. In the K plot, K has a characteristic peak near the 0.1-thickness ratio for Al. The frequency constant falls rapidly for the higher impedance films of Mo, W, and Au, allowing significantly thinner piezoelectric thickness for a given frequency.
tric the smaller the area required to achieve a desired capacitative reactance. Smaller area translates into more filters per wafer during manufacturing and probably lower per-filter cost. Electrode resistance is a secondary but important factor in metallization that can be controlled to some extent by resonator layout and methods to connect the resonator to other circuit elements or the I/O structures. At 2 GHz the skin depth in Al is approximately 2 micrometers and the optimum metal thickness for electrodes at that frequency is approximately 200 nm (2,000A). This suggests that the current flow in the electrodes is mostly uniform throughout the electrode thickness. As a consequence, there are power losses in the electrodes that must be carefully controlled. Further, the assumed equal potential nature of the electrode may be doubted around series resonance where current flow is the greatest. Composite electrodes composed of a high mechanical impedance electrode such as W might include an Al layer for better conduction. Because electrode metals are more lossy mechanically than the other materials used in the structure, a design tradeoff is required. Thicker metal lowers resistive losses but increases mechanical losses. Somewhere inbetween there is an optimum
2.4 Temperature Compensation
31
metal thickness that depends on the whole resonator design. However, at the upper microwave frequencies it may be necessary to increase metal thickness to reduce resistive losses because thinner metals become somewhat discontinuous and disproportionately more resistive. Figure 2.12 shows a calculation of series resonant Q versus metal thickness for Mo, Au, and Al metal electrodes at 10 GHz. The piezoelectric thickness was reduced to maintain the same resonant frequency as the metal thickness increased. In the model, the Q of AlN was chosen to be 5,000 for an epitaxial film. At near-zero metal thickness the series resistance losses dominate resonator Q. As metal thickness increases a point is reached wherein the electrode resistance and mechanical losses are equal, giving a peak in the Q response. Beyond that point metal mechanical losses begin to dominate. Ironically, one way to reduce metal losses in microwave resonators is to use the air-gap-coupled electrode configuration used in 1940s resonators. Here there is no sound in the electrodes and therefore they can be made as thick as required for mechanical stability while reducing resistive losses. Two problems arise. First, the air gap will form a capacitance in series with the resonator and thereby lower the effective K2. For example, if the combined air gap thickness is equal to one-tenth the piezoelectric thickness, the series air gap capacitance equals the piezoelectric capacitance and K2 is reduced by a factor of two. Second, it is very difficult to maintain an air gap small enough not to significantly impact K2 because of finite strain in the piezoelectric films.
Temperature Compensation Most materials have a negative temperature coefficient for stiffness, meaning quite simply that they get softer as temperature increases. Some ferroelectric materials have a sufficiently large polarization induced piezoelectric effect to affect the stiffness of the material in a manner that is significant for acoustic resonators. Quartz is 900 800 Mo
700
Qseries
2.4
600
Au
500 400 Al
300 200 100 0 0
0.02
0.04
0.06
0.08
0.1
0.12
Metal, μm
Figure 2.12 Simulation of series resonant Q versus metal thickness for each of two electrodes on a piezoelectric thin film resonator. The AlN Q was assumed to be 5,000, Mo Q of 400 with 5-ohms-per-square-sheet resistance at 500A, Au Q of 100 with 2.5 ohms per square at 500A, and Al Q of 200 with 3 ohms per square for 500A.
32
Resonator and Filter Topologies
a unique material that has a positive temperature coefficient of stiffness due to stretching of the Si-O chain upon increased temperature. The effect causes the material to become stiffer with temperature over a useful range of temperatures. In particular, the property is manifest in the polycrystalline form as used in microelectronics as well as in the crystal form. Since there are no temperature-compensated materials readily available in thin film form that are also piezoelectric, it is necessary to use composite structures composed of positive and negative coefficient characteristics. Temperature compensation can therefore be obtained with a composite layering of materials of normal negative coefficient with silicon dioxide which has a positive temperature coefficient [20]. Figure 2.13 shows four material composite configurations that can be used to achieve a degree of temperature compensation. In Figure 2.13(a) the oxide layers are shown below the electrodes. This format is good when Al electrodes are used because it allows the Al layer to escape the high temperature of the oxide deposition (300°C) unless it is already pinned by another layer. So, the oxide is deposited first, followed by the Al film, which has a tendency to orient properly on the polycrystalline surface of the oxide. Next AlN is deposited but not at such high temperature as to cause the Al electrode to hillock. The top layer of oxide is deposited onto the AlN where adherence is not a problem. Finally, the top metal layer is deposited and the electrodes formed. This is the preferred configuration for the SMR because, if there is already an oxide layer next to the resonator, it only needs to be made thicker. In that configuration the resonator is inherently not symmetric and that can give rise to spurious resonances. A high frequency spurious response can be prevented by making the added oxide layer half the thickness of the oxide layer that is on top of the AlN. In Figure 2.13(a, c, d) configurations, the oxide layer inside the resonator acts as a series capacitance that reduces the effective K2 of the resonator. As practiced, the Figure 2.13(a) configuration was used in the design of narrow-bandwidth filters which required temperature compensation. The level of TC is a matter of degree and as the filter bandwidth is narrowed through the use of the series oxide capacitance
SiO 2 Piezoelectric
SiO2 (a)
SiO2 Piezoelectric
SiO2 (b)
SiO 2 Piezoelectric Piezoelectric
SiO2 Piezoelectric
(c)
(d)
Figure 2.13 Possible temperature compensation layouts using silicon dioxide or similar temperature-compensated material. For simplicity, the drawing is for thin film resonators but the same can be done for SMR.
2.4 Temperature Compensation
33
Df/fa, ppm
the required degree of temperature compensation increases in such a manner as to hold the filter stable down to bandwidths of just under 1%. The configuration of Figure 2.13(b) is interesting because the resonator remains symmetrical and for a given frequency smaller in size because of the oxide layers. However, the top oxide must be deposited on top of the top metal electrode, which could be a problem with Al unless the metal is pinned with an initial sputter-deposited oxide layer. There should be no problems using the upper oxide layer with more refractory metals such as Mo or W. The configuration in Figure 2.13(c) has a single oxide layer that is probably not desirable because it leaves the resonator highly nonsymmetric. In Figure 2.13(d) the oxide is in the center of the resonator, at the point of maximum stress, where the effect of the oxide might be most pronounced. However, that configuration would require that the upper piezoelectric layer be grown on the oxide, and that is not likely to result in a satisfactory film. In the SMR, a small fraction of the acoustic energy is stored in the topmost layers of the reflector as previously described. Consequently, the resonator TC is automatically partially compensated if the last reflector layer is a positive TC material such as silicon dioxide (+85 ppm/°C in film form). The normal −25 ppm/°C of an AlN-only resonator is reduced to −15 ppm in this case. The first thin film TC composite resonator was the AlN on silicon p+ resonators using shear wave propagation [21]. Figure 2.14 shows some experimental results for an SMR resonator having a degree of temperature compensation compared to experimental data for AT-cut quartz. The process of compensating is to offset on material with another so as to cancel out the first-order variation. The data in Figure 2.14 suggests that too much oxide was used because the curve is actually turned over in the linear variation. 200 180 160 140 120 100 80 60 40 20 0 −20 −40 −60 −80 −100 −120 −140 −160 −180 −200 −100 −75
Parallel
Series
Quartz
−50
−25
0
25
50
75
100
125
150
Temperature, deg. C
Figure 2.14 Some early experimental results for a SMR compensated with silicon dioxide in the configuration of Figure 2.13(a).
34
Resonator and Filter Topologies
Although first-order temperature-coefficient data is known for many materials, very few higher order elastic coefficient terms are known. Results on resonator aging have been published [20].
2.5
Electrically Coupled Filters With resonators as circuit element building blocks, networks of resonators can be designed to implement various filter characteristics. The sections below will present a general overview of filter topologies of current interest which will include balanced and unbalanced filters, the latter is of increasing interest to cell phone applications and IC integration. 2.5.1
Ladder Filters
Electrically connected resonators can form ladder, lattice, or other similar circuits, introduced briefly in Chapter 1. The interconnect techniques are straightforward and can be implemented to minimize parasitic effects and inordinate conduction losses in the electrode metallization. Figure 2.15 shows the circuit diagram of a simple ladder filter having resonators in series and shunt branches. One or both of the end series resonators can be eliminated or more sections added depending on design constraints. A typical ladder filter response is shown in Figure 2.16, for the purpose of describing how the ladder filter works. In this case all the series resonators have
Figure 2.15
Simple ladder filter having series and shunt resonators.
Figure 2.16 Experimental results for a simple ladder filter having five series and four shunt resonators with AlN and Al electrodes.
2.5 Electrically Coupled Filters
35
the same series and parallel resonant frequencies and likewise the shunt resonators are all identical but different from the series resonators. The filter has five series resonators and four shunt resonators, hence forth called a 5-4. The center frequency of the filter is at the series resonant frequency of the series resonators. There the series circuit branch has the lowest impedance (resistance) and current flow is more or less straight through the filter. To support an unimpeded current flow in the series branches, the shunt resonators are shifted in frequency such that their parallel resonance is at approximately at the series resonant frequency of the series resonators. That way the network has minimal current flow to ground through the shunt elements and minimum insertion loss. For this effect to be of greatest benefit, the shunt resonators must have high parallel resonant resistance, and the series resonators must have high series resonant Q to give lowest resistance. This forces the resonator technology to produce high Q at both resonances. The out-of-band rejection of a ladder filter, at frequencies well outside the acoustically active range of the resonators, is controlled by the capacitive voltage divider nature of the ladder circuit. More ladder sections, or higher capacitance shunt resonators, increase the ultimate rejection but also increase the in-band insertion loss. As frequency is increased from the low frequency side the shunt resonators go through series resonance and that produces the deep notch on the lower frequency side of the passband. Further increasing frequency causes the shunt resonator to become inductive and then parallel resonant at the filter center frequency and becoming more capacitive across the upper half of the passband. The series resonators are series resonant at passband center and become parallel resonant and produce the high-frequency notch. At higher frequencies the resonators become capacitors again. These near in notches are used to advantage in filters designed for cell phones and other high performance applications. Clearly these notches can be spread according to the distribution of resonant frequencies of the building block resonators. The corners of the filter can be sharpened by higher Q resonators and by not having all resonators at the same resonant frequency, as will be described in a later chapter. Figure 2.17 shows a comparison of three types of simple ladder filters. The one having lowest insertion loss is in the 3-2 configuration and also has the lowest out-of-band rejection. The next lowest filter is a 5-4 and has higher insertion loss because of the increased number of resonators in the filter. However, the larger number of resonators gives a higher out-of-band rejection. Typically, one filter would be used in the front-end of a receiver and the second as a post–LNA filter. The narrow-bandwidth filter in Figure 2.17 was made with temperature-compensated resonators in the format of Figure 2.13(a). In general, more complicated ladder filters have been designed for high-performance applications wherein various resonators have slightly different frequencies in order to optimize filter characteristics. The shifted frequencies can be implemented with series inductors (e.g., bond wires), or through incremental thickness adjustments of the metal electrodes. Figure 2.18 shows circuit diagrams of filters having either deliberately introduced inductors or the inherent inductance of the circuit. The advantage of this approach is that the resonator fabrication itself can be simplified by having the set
36
Resonator and Filter Topologies
IL = 1.4 dB BW = 38 MHz
IL = 2.5 dB BW = 33 MHz
IL = 3.7 dB BW = 18 MHz
Figure 2.17 Summary of ladder filters of simple topology. The widest bandwidth filter is a 3-2 type with lower insertion loss but also minimal out-of-band ultimate rejection. The other two filters are of the 5-4 configuration and have the same ultimate rejection (same mask set actually) but one was designed for maximum bandwidth and the other of narrower bandwidth and temperature compensated.
(a)
(b)
Figure 2.18 Ladder filter circuit diagrams showing the presence of intrinsic or introduced inductance used to shift resonator frequencies and thereby provide a more optimized bandwidth. In (a) shunt inductors, possibly wire bonds, are used to shift the shunt resonators’ frequencies, possibly by the use of bond wires. In (b) an inductance is suggested that can be chosen series resonate the shunt resonators, as capacitors, at the filter center frequency.
of resonators set on at most two frequencies. Shifting the frequency of individual resonators generally requires another masking step in an already complex process. The down side of the inductor approach is that there is little room for chip or integrated inductors.
2.6 Acoustically Coupled Filters
37
The circuit of Figure 2.18(b) suggests the use of a common mode inductor that is series resonant with the set of shunt resonators. In the filter passband the shunt resonators are going parallel resonant and therefore the inductor has little effect on the circuit. But, off-frequency where the shunt resonators are capacitors, the series resonance has the effect of putting a low-Q rejection notch over the filter, which increases the near-in isolation of the filter. Because of the LC notch, the design of the filter can use fewer elements and produce lower insertion loss in band. 2.5.2
Balanced Ladder
The balanced ladder filter, in Figure 2.19, is simply a mirror image of the single-ended filter. This type of balanced filter does not give as large a bandwidth as a lattice filter but does have the steep near in skirts associated with the single-ended ladder filter. Balanced filters are of increasing interest in system integration with ICs whose amplifier circuits typically have balanced I/O. 2.5.3
Conventional Lattice
The conventional lattice filter, described in Chapter 1, is readily adopted for thin film BAW implementation where a balanced filter is required. In the lattice filter response the balanced network configuration suppresses the normal pole-zero response of a resonator to give a more conventional multipole response. Figure 2.20 shows a four-pole lattice filter with the top view of a die layout. In this configuration the circuit I/Os do not require contact to the electrodes on the bottom side of the piezoelectric. Electrodes denoted by E and F are buried under the piezoelectric plate and the I/O electrodes are all on the top. If implemented on an IC this would afford a compact layout.
2.6
Acoustically Coupled Filters Resonators may be acoustically coupled to yield more or less classical filter responses. Acoustical coupling takes two general forms, one where propagation is perpendicular to the major plate surfaces, and the other where it is transverse (i.e., parallel to the major plate surfaces). The following discussion will focus on the thickness mode form of coupling.
Figure 2.19
Balanced ladder as a mirror image of a single-ended ladder filter.
38
Resonator and Filter Topologies X1A
C
X2B
X2A
B
X1C
E
A
X2D
X2C
F
X1B
X1D
A
B
C
D
E
X1A
X2B
X1C
X2D
F
X2A
X1B
X2C
X1D
D
Figure 2.20 Lattice filter. The upper-circuit diagram gives the general circuit of a two-section 4-pole ladder filter. The lower drawing is a topside view of the filter as laid out for a thin film resonator fabrication. The resonator and electrode designations can be used to correlate the circuit diagram with the layout.
2.6.1
Stacked Crystal Filter
One of the primary thickness-mode-coupled resonators is the stacked crystal filter (SCF) (Figure 2.21 [22–26]). The SCF is composed of multilayers of piezoelectric and metal layers, as shown in Figure 2.21(a) for a one-pole filter and in Figure 2.21(b) for a two-pole filter. Because one transducer is located directly on top of the other, there is little or no impediment for the sound generated by one resonator propagating between the two transducers. A voltage applied between electrode 2 and the ground drives the top transducer. The wave that is generated in the top 1
GND.
2
VIA
VIA
Piezoelectric
Piezoelectric Reflector Layers or Air (a) GND.
1
2
GND.
Piezoelectric Piezoelectric Reflector Layers or Air (b)
Figure 2.21 Cross-sectional views of a simple SCF. In (a) is shown two piezoelectric layers with intervening electrodes for a single section one-pole filter, and in (b) two sections are connected electrically in series to form a two-pole filter.
2.6 Acoustically Coupled Filters
39
transducer propagates through the structure and reflects off the bottom of the bottom transducer. Thus, the acoustic region established between outer reflecting surfaces of the two transducers forms a resonator. In the SCF then, one transducer drives the resonant structure and the other extracts energy from the resonator. The limited frequency range of the externally loaded resonator is the basis of the filter response. Figure 2.21 also shows the electrical shielding between input and output provided by the ground plane. The lowest order resonance, as shown in Figure 2.22, is for a half-wavelength across the entire structure or an approximate quarter-wavelength across each piezoelectric region, and will be denoted as mode 1. Although transduction is not the most efficient when there is only a quarter-wavelength across the piezoelectric, the structure is nevertheless resonant and a filter response is obtained. The most efficient transduction, and hence the greatest effective electrical coupling to the external circuit occurs at the second overtone, mode 2, where there is a half-wavelength across each transducer. The next major response is at the third overtone, mode 3, and coupling is inefficient because each transducer is operating at three-fourths wavelength. Taken together, these resonances have the effect of placing adjacent spurs at the half- and three-halves frequency around the most efficient transduction frequency. The response of the mode 2 SCF can be improved by fabricating in the SMR format on a limited bandwidth reflector array to effectively attenuate the mode 1 and mode 3 frequency responses, as implied by Figure 2.10. It is useful to compare filters designed for mode 1 and for mode 2 resonant structures. Figure 2.23(b) shows in dashed lines an SCF designed for the mode 2 optimal coupling case. Here the SMR format is used to limit the mode 1 and mode 3 responses that occur relatively near-in and would otherwise severely limit the out-of-band rejection. Also, on the plot is a mode 1 filter centered on the frequency of the mode 2 filter. The mode 2 filter is on a nine-layer reflector stack of AlN and SiO2 whereas the mode 1 filter has the simpler air reflector structure. Clearly, the mode 1 filter has higher ultimate rejection over most of the range shown than does the mode 2 filter, Figure 2.23(b). The overtones for the mode 1 filter occur at higher frequencies far removed and there is no need for the SMR reflector’s rejection characteristics. Table 2.1 gives the data for the two cases. For the chosen example, the electrodes are Al, the piezoelectric is AlN, and two sections are connected in series to
Mode 3
Mode 1
Mode 2
Figure 2.22
Modeled response of an SCF showing the three principal resonance modes.
40
Resonator and Filter Topologies 0 -10
S21, dB
-20 -30 -40 -50
1575.42
-60 -70 -80 1475
1515
1555 1595 Frequency, MHz
1635
1675
(a) 0 -10 -20
S21, dB
-30 -40 -50
1575.42
-60 -70 -80 500
900
1300
1700
2100
2500
Frequency, MHz (b)
Figure 2.23 Comparison of filter responses of two stacked crystal filters, one designed for mode 1 operation, solid line, with air isolation (FBAR) and the other an SMR format mode 2 shown in dashed lines. In (a) the near-in response shows a slightly narrower bandwidth for the mode 1 filter, and in (b) the mode 1 filter has better ultimate rejection.
make a two-pole filter. Note that the mode 1 filter is about 2.7 times smaller than the mode 2 filter. If the mode 1 filter is designed using Mo electrodes then it is about 6.5 times smaller. Clearly, if the mode 2 SMR filter were designed with Mo or W electrodes it would be smaller as well. These size comparisons do not include electrode I/O pads which are probably a fixed area for both cases. More important than size, the mode 1 filter can be built in the simpler membrane structures (i.e., no reflector stack is required). Details for the two filters are given in Table 2.1. Figure 2.24 shows the circuit models of a resonator and an SCF. First note that with acoustical coupling, Co is not in parallel with the series branch of the LRC and is across the source and load impedances instead. The center of the filter passband is at, or very near, the series resonance of the series LRC circuit and there is no parallel resonance of Co giving rise to a near-in notch as for the ladder filter. The absence of
2.6 Acoustically Coupled Filters
41
Table 2.1 Comparison of Fundamental and Second Overtone Modes of an SCF at GPS L1 Parameter
Mode 1 SCF Mode 2 SCF
Metal 1 thickness, μm
0.3
0.3
Piezo 1 thickness, μm
1.15
2.91
Metal 2 thickness, μm
0.2
0.3
Piezo 2 thickness, μm
1.15
3.1
Metal 3 thickness, μm
0.3
0.3
3-dB bandwidth, MHz
18.4
22.8
Insertion Loss
1.5
1.22
Resonator Size, μm × μm
156 × 156
257 × 257
Area ratio
0.37
1
Ca/2
2Ra
2La
Ca Co
Ra Co
Co
La (a)
(b)
Figure 2.24 (a, b) Equivalent circuits of simple resonator and SCF. Note that the SCF does not have Co across the RLC series branch and therefore there are no parallel resonances.
the parallel resonance is important because it allows the resonator to be less exacting than resonators used in ladder filters wherein the parallel resonance of the shunt resonators is of paramount importance. The performance of the SCF is determined by only the series resonance of the resonator since there is no parallel resonance. Analysis shows that the conditions for minimum insertion and maximum bandwidth occur when the magnitude of the reactance of Co is equal to the source and load resistance. Part of this is because Ra is proportional to the reactance of Co and Ra limits the in-band insertion loss of the filter. Increasing Co can be used to reduce Ra but that has the effect of increasing current through the shunt element Co. However, the SCF can be inductor tuned to eliminate the shunt current flow through Co. Then Co can be increased to reduce Ra. The process has diminishing returns when the equivalent parallel resistance of the tuning inductor decreases towards the source and load-resistance values. More on tuning will be discussed in the coupledresonator filter section. It is useful to look at the fabrication layout of a simple SCF to get an idea of what the device looks like and what problems might arise in the layout. In
42
Resonator and Filter Topologies
Figure 2.25 the lightly shaded areas are acoustically active as defined by the overlap of the I/O electrodes with the ground plane. The bottom floater electrode is denoted by d, e, f and is rectangular with no cutouts. Over the floater electrode is a piezoelectric layer and on top of that is the ground plane. Consider the overlap of electrode b with the ground plane the excitation region and note that the ground plane is cutout for out-feeds a and h. The wave generated propagates to the bottom piezoelectric and a voltage is generated at electrode d against ground. Thus, electrode d and b must line up very closely to avoid a parasitic resonator. For example, if I/O electrode a was over ground (no cutout in the ground plane) a resonator would be formed between there and the bottom of the lower piezoelectric. Likewise, when electrode e transfers current to the right-hand side resonator there must not be a parasitic resonator formed with the ground plane in the gap region between electrodes b and g. Therefore, the ground plane must have a rectangular cutout corresponding to the gap between b and g. Note that in Figure 2.25(a) the line to denote the cross-section is irregular shaped to better show the electrode overlaps. The ground contact for the device is shown in Figure 2.25(a) as being on just one side of the device but in practice it should be on both sides. Better I/O isolation is obtained when capacitance between input and output is at a minimum, which is not hard to achieve at the die level. 2.6.2
Coupled Resonator Filter
The SCF discussed above is effectively a single resonator with an arrangement for sampling the energy within the resonator. The resonator either operates in mode 1 Ground VIA Bottom Floating Electrode
c
a
b
g
h
d,e,f Ground Plane Openings (a) a
b
g
c
c d
e
f
(b)
Figure 2.25 Stacked crystal filter layout. (a) Top view of the layout, and (b) the side view. The lightly shaded areas are acoustically active. (Note in part (a) the shift in the cross-section indicator line.)
2.6 Acoustically Coupled Filters
43
without optimal electrical to acoustical coupling or in mode 2 which is an overtone. In both cases the effective K2 is limited resulting in narrower bandwidth than that obtained with a ladder filter. The limited bandwidth of the SCF can be overcome by reducing the coupling between the vertically disposed transducers in such a way that they begin to act as independent resonators rather than as a single resonator. The resulting configuration is called a coupled resonator filter (CRF) (Figure 2.26) to distinguish it from the SCF [27, 28]. In this case it is appropriate to blur the distinction between transducer and resonator because the resonators (transducers) are sufficiently decoupled that they can be properly called resonators. However, keep in mind that the bottom of the top resonator is at a plane that is 180° of phase down from the top reflecting surface, and that plane may or may not represent an actual material boundary. In Figure 2.26(a) the acoustically active region defined by electrode overlaps is indicated between the vertical dashed lines. The bottom electrode is patterned such that it is brought out from under the acoustically active region for eventual contacting. Above the bottom piezoelectric is another electrode that too must be brought out for contact. Next, formed in sequence, are an acoustic isolation region, on top of that another electrode, a top piezoelectric layer, and finally the top electrode. As in the SCF, layout must be such as to avoid unwanted parasitic resonators. In Figure 2.26(b) two sections of CRF are connected in series to produce a 4-pole filter. This arrangement allows the lower two electrodes to float and there-
VIA
1'
2'
2
1
VIA Piezoelectric Piezo.
VIA
Coupling Layers Piezoelectric Reflector Layers or Air (a) 1'
1
2'
2
Piezoelectric Coupling Layers
Piezoelectric Reflector Layers or Air (b)
Figure 2.26 Cross-sectional view of a CRF. In (a) a single section CRF is shown, and in (b) two sections are connected in series electrically and in a form that allows the input and output to be independent.
44
Resonator and Filter Topologies
fore significantly simplifies the fabrication process since only two topside VIAs need be formed. The layout of a CRF is shown in Figure 2.27. Here the electrodes are shown alone without any other layout complications such as VIAs. In Figure 2.27(a) the floater electrodes are arranged so that one has a hole in it to prevent a parasitic resonator from forming at the overlap. The top I/O pads are shown staggered as required to eliminate overlap. In Figure 2.27(b–d) top views of the electrodes are shown. In Figure 2.27(b) the input and output areas are equal, in Figure 2.27(c) the output area is smaller for higher impedance, and in Figure 2.27(d) the output is series connected to raise the impedance by a factor of four. In the CRF acoustical coupling between resonators is used to control filter bandwidth. Figure 2.28 illustrates classic resonator coupling responses in the CRF obtained by altering the strength of the coupling between a pair of resonators. In optimal coupling the group delay is flat or slightly quadratic across most of the passband and the VSWR is also slowly varying. If there is too great a degree of isolation between resonators, insertion loss is high and the bandwidth is narrow just as in classical coupled LRC resonators. With coupling beyond critical, the combined resonance is split because of electrical mismatch with the source and load. This it turns out can be used to greatly increase CRF bandwidth through inductor tuning, which will be described later. Electrical interconnection of filter sections provides a way of increasing the multipole response and, for an even number of poles, allows the I/O electrodes to appear near or at the top of the structure for ease of fabrication as shown in Figure 2.26(b). The crossover electrodes for the bottom resonators are independent of the I/O electrodes, in contrast to the SCF wherein the ground electrode is shared. Having independent electrodes for the top resonators, in the CRF, allows the common I/O electrode to be split into two independent electrodes as shown in Figure 2.27. When the I/O resonators are electrically isolated, except for stray capacitance, the filter can be operated in a full balanced mode or as a balanced-to-unbalanced transition.
I/O
I/O
(b)
(c)
(a)
(d)
Figure 2.27 Simplified layout of a two-section CRF. In (a) only the electrodes are shown in perspective view to show how the I/O pads are isolated. In (b) the CRF sections have equal area, in (c) the areas are not equal, and in (d) the right-hand set are series connected to raise the impedance level.
2.7 Wide-Bandwidth Tuned Coupled Resonator Filters
45
Over Coupled
Critically Coupled Under Coupled
Figure 2.28
Coupled-resonator filter response showing the effects of coupling conditions.
A convenient coupler uses a sequence of nominal quarter-wavelength-thick layers whose transmission response is designed to produce the desired resonator coupling. The coupling layers can take a variety of forms with the goal to partially isolate one resonator from the other. Quarter-wavelength-layer sequences provide one option and may be of the same material types as used in a reflector stack. For precise bandwidth control it may not be possible to use a quarter-wave sequence of known materials because no combination is correct. There is simply not a wide choice of materials available having the desired mechanical impedances. In which case, the effective impedance of a layer can be trimmed. For example if the three-layer sequence SiO2/AlN/SiO2 does not provide enough coupling the AlN layer can be thinned from a quarter-wavelength thick and one or both of the other layers increased in thickness such that there remains 270° of phase across the sequence. The effect is to synthesize a new material of lower impedance than AlN. The reflection coefficient between the SiO2 and the “new material” is reduced and the overall transmission increased. Electrodes also have an effect on coupling in part because they affect the acoustic source and load impedance of the resonators. If the electrode material has high mechanical impedance relative to the piezoelectric then the near-in electrodes can actually be part of the coupling layers. For example, the sequence E/SiO2/E, where E is an electrode of Mo or W, might at first appear to be a single-layer coupler, because the metals are not each a quarter-wavelength thick, when in fact it could be operating as a three-layer coupler. The devil is in the details.
2.7
Wide-Bandwidth Tuned Coupled Resonator Filters The equivalent circuit for the SCF, Figure 2.29(a), can be analyzed to give some guidance on bandwidth limitations. As discussed briefly before, minimum insertion loss would be expected to occur when series Ra is small. However, Ra is proportional to the reactance of Co and making Co larger causes larger current flows to ground which limits bandwidth. The optimum condition is for the reactance of Co to be the same magnitude as the source and load resistances, usually 50 ohms. However, parallel resonating Co with a shunt inductor, as shown in Figure 2.29(b), can
46
Resonator and Filter Topologies 2L
2R
Ca/2
Rg Co
RL
Co
(a) 2L
Rg
Lp
2R
Ca/2
Lp
Rp Co
Co
Rp
RL
(b)
Figure 2.29 Acoustically coupled resonator tuning. In (a) the equivalent circuit of an SCF single section, and (b) tuning Co by shunt inductor Lp and its loss element Rp. R is proportional to the magnitude of the reactance of Co, so increasing Co lowers R and the insertion loss of the filter but only if Co is parallel resonated by Lp to prevent excessive shunt current flow.
be used to eliminate the effect of Co over the bandwidth of the series RLC circuit. With Co resonated out of the circuit its reactance can be dropped (keeping it at parallel resonance with a shunt inductor), effectively decreasing Ra and lowering insertion loss. This approach is limited by the finite Q of the inductor because Rp will drop with the decreased inductance required to resonant the increased Co. The above tuning process is limited by the Q of Lp and the value of Rp relative to the source and load resistances. As Rp approaches Rg and RL increased shunt current through Rp increases insertion loss. The equivalent circuit of the CRF is shown in Figure 2.30 along with a tuning circuit similar to Figure 2.29 for the SCF. The equivalent circuit of a single-section CRF is shown in Figure 2.20(a) and the method of shunt inductor tuning in Figure 2.20(b). For the CRF, there is an added degree of tuning freedom through the controlled acoustic coupling of the two resonators. By deliberately acoustically over coupling the resonators, the split in resonant frequency shows up as an apparent electrical mismatch having two peaks in the transmission response, as shown in Figure 2.28. The passband is flattened by tuning out Co and by adjusting the resonator area for a better match with source and load. The design sequence is to first split the resonance so that the outer corners of the two peaks are near the extremity of the desired filter passband, and then adjust impedance levels and tuning to flatten the passband. The simulation of tuned CRFs is shown in Figure 2.31 for two different designs. More details on the CRF will be discussed in a later chapter.
2.8 Hybrid Filters
47
L
R
Ca
Ca
Rg
R
ACOUSTIC COUPLING
Co
L
RL
Co
(a)
L Rg
Lp
R
Ca
Ca
R
L
Rp
Lp
ACOUSTIC COUPLING
Co
Rp RL
Co
(b)
Figure 2.30 Coupled-resonator equivalent circuits. (a) Without tuning and (b) with tuning. Acoustic coupling is used to split the resonances of the two resonators then Co and Lp are adjusted for proper matching and passband shape.
0 2.8
-10
2.6 -20 2.4 2.2
-40
2.0
VSWR
S21, dB
-30
1.8
-50
1.6 -60
-80 400
1.4
800.0000
-70
560
720
1.2
880
1040
1.0 1200
Frequency, MHz
Figure 2.31 Simulation of inductor-tuned two-pole CRF filters. The inductors are in shunt with the I/O and have a Q of 20. The filter bandwidths are 14% and 22% of center frequency.
2.8
Hybrid Filters It should be fairly obvious that filters of one type can be chained with another type to give an overall improved filter response. For example, the near-in response of a SCF can be improved by a ladder filter increasing the near-in skirt selectivity. An example is shown in Figure 2.32 for a GPS L2 filter.
48
Resonator and Filter Topologies 0 -10 -20
S21, dB
-30 -40
-70 -80 1125
1165
1205
1247.600
1207.600
-60
1227.600
-50
1245
1285
1325
Frequency, MHz
Figure 2.32 Effects of cascading filters. Shown are the individual modeled response of a 4-pole CRF, a simple −20-dB out-of-band ladder filter, and their cascaded response. The overall −50 dB bandwidth is less than 25 MHz. The rejection of the windowing ladder filter can be used to increase the near-in rejection while the CRF response provides the out-of-band rejection.
2.9
Summary This chapter has discussed the thin film bulk acoustic resonator topologies of greatest interest for communications and wireless applications. Thin film resonators form the building blocks for several forms of bandpass filters including, ladder, lattice, stacked crystal, and coupled resonator types. The topologies of resonators and acoustically coupled filters were discussed in some detail as to device layout and the implied impact of modern IC processing and manufacturing. The following chapters will give more specific details on filters, filter applications, device processing, and the all important piezoelectric film growth.
References [1] Grudkowski, T. W., et al., “Fundamental Mode UHF/VHF Miniature Resonators and Filters,” Applied Physics Letters, Vol. 39, No. 11, November 1980, pp. 993–995. [2] Lakin, K. M., and J. S. Wang, “Acoustic Bulk Wave Composite Resonators,” Applied Physics Letters, Vol. 39, No. 3, February 1981, pp. 125–128. [3] Nakamura, K., H. Sasaki, and H. Shimizu, “ZnO/SiO2-Diaphragm Composite Resonator on a Silicon Wafer,” Elect. Letters, Vol. 17, No. 14, July 9, 1981, pp. 507–509. [4] Kitayama, M., et al., “VHF/UHF Composite Resonator on a Silicon Substrate,” J. Appl. Phys., Vol. 22, Suppl. 22–3, 1983, pp. 139–141. [5] Nakamura, K., Y. Ohashi, and H. Shimizu, “UHF Bulk Acoustic Wave Filters Utilizing Thin ZnO/SiO2 Diaphragms on Silicon,” J. Appl. Phys., Vol. 25, No. 3, 1986, pp. 371–375. [6] Vale, C., et al., “FBAR Filters at GHz Frequencies,” 45th Annual Symp. of Freq. Cont. Proc., 1991, pp. 332–336. [7] Su, Q. X., et al., “Edge Supported ZnO Thin Film Bulk Acoustic Wave Resonators and Filter Design,” Proc. 2000 IEEE/EIA Int. Freq. Control Symp. and Exhibition, pp. 434–440.
2.9 Summary
49
[8] Lakin, K. M., et al., “Thin Film Resonators and Filters,” Proc. 1982 Ultrasonics Symp, October 27–29, 1982, Vol. 1, p. 466. [9] Petersen, K .E., “Silicon as a Mechanical Material,” IEEE Proc., Vol. 70, No. 5, May 1982, pp. 420–457. [10] Satoh, H., et al., “An Air Gap Type Piezoelectric Composite Resonator,” 39th Annual Symposium on Frequency Control Proc., 1985, pp. 361–366. [11] Seabury, C. W., et al., “High Performance Microwave Air-Bridge Resonators,” 1995 Ultrasonics Symp. Proc., pp. 909–911. [12] Lanz, R., P. Carazzetti, and P. Muralt, “Surface Micromachined BAW Resonators Based on ALN,” Proc. IEEE Int. Ultrasonics Symp., paper P21-4. [13] Krishnaswamy, S. V., “Piezoelectric/Ferroelectric Films for Microwave/MEMS Applications: Historical Perspective, 2005 IEEE Ultrasonics Symp., September 19–21, 2005, Rotterdam, paper 5B-1. [14] Newell, W. E., “Face-Mounted Piezoelectric Resonators,” Proc. IEEE, Vol. 53, June 1965, pp. 575–581. [15] Lakin, K. M., K. T. McCarron, and R. E. Rose “Solidly Mounted Resonators and Filters,” 1995 Ultrasonics Symp. Proc., 1995, pp. 905–908. [16] Dubois, M., et al., “BAW Resonator Based on Aluminum Nitride Thin Films,” 1999 Ultrasonics Symp. Proc., 1999, pp. 907–910. [17] Aigner, R., et al., “Advancement of MEMS into RF-Filter Applications,” Proc. 2002 IEDM Symp., 2002. [18] Lakin, K. M., et al., “Improved Bulk Wave Resonator Coupling Coefficient for Wide Bandwidth Filters,” 2001 IEEE Ultrasonics Symp., paper 3E-5. [19] Larson, J. D., and Y. Oshmyansky, “Measurement of Effective kt2, Q, Rp, Rs vs. Temperature for Mo/AlN FBAR Resonators,” Proc. 2002 IEEE Ultrasonics Symp., pp. 939–943. [20] Lakin, K. M., et al., “Temperature Coefficient and Ageing of BAW Composite Materials,” 2001 Frequency Control Symp. Proc., pp. 605–608. [21] Lakin, K. M., J. S. Wang, and A. R. Landin, “Low Temperature Coefficient Shear Wave Thin Films for Composite Resonators and Filters,” 1983 IEEE Ultrasonics Symp. Proceedings, Atlanta, GA, October 31–November 2, 1983, Vol. 1, p. 491. [22] Ballato, A., and T. Lukasek, “A Novel Frequency Selective Device: The Stacked Crystal Filter,” Proc. 27th Annual Freq. Control Symp., June 1973, pp. 262–269. [23] Lakin, K. M., “Equivalent Circuit Modeling of Stacked Crystal Filters,” Proc. 35th Annual Freq. Control Symp., 1981, pp. 257–262. [24] Stokes, R. B., and J. D. Crawford, “X-Band Thin Film Acoustic Filters on GaAs,” IEEE Trans. Microwave Theory Tech., Vol. 41, No. 6/7, December 1993, pp. 1075–1080. [25] Lakin, K. M., et al., “High Performance Stacked Crystal Filters for GPS and Wide Bandwidth Applications,” 2001 IEEE Ultrasonics Symp. Proc., pp. 833–838. [26] Lakin, K. M., et al., “Bulk Acoustic Wave Resonators and Filters for Applications Above 2 GHz,” 2002 IEEE MTT-S Digest, Vol. 3, pp. 1487–1490. [27] Lakin, K. M., “Coupled Resonator Filters,” Proc. 2002 IEEE Intl. Ultrasonics Symp., Paper 3D-5, 2002. [28] Fattinger, G., R. Aigner, and W. Nessler, “Coupled Bulk Acoustic Wave Resonator Filter: Key Technology for Single-to-Balanced RF Filters,” Proceedings IEEE 2004 MTS Symp. Digest, 2004.
CHAPTER 3
BAW Device Basics Jyrki Kaitila
In this book we make the definition that the thin film bulk acoustic wave (BAW) resonator is a piezoelectric device. This means that the electromechanical conversion is based on the piezoelectric effect. In the literature some other classes of devices, such as CMUTs (capacitive micromachined ultrasonic transducers), are also sometimes called BAW devices, but here we will reserve the term exclusively for the use given above. Piezoelectric effect is an ability of a material to convert electrical energy into mechanical energy and vice versa. Most materials exhibiting this property are crystalline. However all crystalline materials are not piezoelectric: the criterion is the lack of center of symmetry. This is essential as the mechanism of piezoelectricity is based on spatial separation of positive and negative electrical charges under applied stress. Thin film piezoelectric materials will be discussed in detail in Chapter 7. Properties of crystalline materials are inherently complex and they are even more so when it comes to the piezoelectric phenomena. In this chapter we will make no attempt to explain the detailed workings of piezoelectricity. What will be attempted is to give an overview of the relevant topics associated with design and analysis of thin film BAWs. Some of the models that we will use are very simple; someone understanding the real complexity of the covered issues would probably term them naive. We accept this possible criticism, but take the practical view: even if the models and analysis lack ultimate precision, they nevertheless can explain general behavior of real devices with reasonable accuracy, at least qualitatively. Ultimately designing and manufacturing devices is an engineering art. We are extremely pleased if we can bring any insights into how a practitioner can identify the phenomena described in the following pages and apply the solutions offered to the benefit of his devices. This chapter is about resonators. Resonators form part of many different systems: The thin film BAW technology has started out with filters. However, other applications such as oscillators and various kinds of sensors are being envisioned. The basic three parameters that a designer is interested in are usually sufficient effective coupling coefficient, high Q-values, and operation free of spurious resonances (remember the discussion in Section 1.5). This does not mean that all these are the most important parameters for a given application; neither does it mean that there would not be any other considerations to be taken into account. It all depends on the specifications of the task at hand. The first two sections of this chapter will
51
52
BAW Device Basics
build up a rudimentary base for the analysis done in Section 3.3, concerned with thin film bulk acoustic wave resonator design.
3.1
Thin Film Bulk Acoustic Wave Resonator 3.1.1
The Prototype Resonator and Piezoelectric Constitutive Relations
BAW devices utilize piezoelectric effect to generate a mechanical resonance from an electrical input. Conversely, the mechanical resonance is turned into electrical domain for output. Figure 3.1 shows a prototype resonator consisting of a piezoelectric plate of thickness 2d sandwiched by infinitely thin electrodes. Intuition tells us that, if we consider the material having an acoustic velocity of v then the purely mechanical resonance condition of this system is simply ω n = (n + 1) ⋅
π ν ⋅ , n = 0, 1, 2, K 2 d
(3.1)
which is obtained by setting up a multiple of half-wave lengths in the thickness of the plate. The associated stress fields are plotted in Figure 3.1. We are generally interested in the fundamental mode n = 1. Putting in some representative numbers for a traditional crystals, v = 6000 m/s and 2d = 100 μm, we arrive at resonance frequency f = 30 MHz. On the other hand if we are tasked in building an AlN resonator operating at a fundamental frequency f = 2 GHz we arrive at plate thickness of roughly 3 μm. This is a regime where thin films technology quite obviously enters the picture. Before we enter the world of the thin film devices it is necessary to quickly review the basic equations and theories governing piezoelectric resonators. We will not go into too many details here, neither will we offer any lengthy derivations of equations. There are excellent works already available on these topics, see for example books by Auld [1], Ristic [2], or Rosenbaum [3]. However, for the later discussions in this book it is necessary to include the most important aspects for quick and easy reference. The piezoelectric constitutive relations relate the mechanical and electrical variables. These relations are written as T = c E S − eE
(3.2)
D = eS + ε S E
(3.3)
where T is stress, S is strain, E is electric field, and D is electric displacement. These are the field variables. The terms cE, e, and εS are the material parameters: cE is the
2d n=0
n=1
n=2
Figure 3.1 Mechanical resonances in a plate of thickness 2d. The stress fields associated with the resonances are plotted.
3.1 Thin Film Bulk Acoustic Wave Resonator
53
stiffness constant, and it is the parameter c that appears in the original Hooke’s law for nonpiezoelectric material, relating stress T and strain S through T = cS. In the case of piezoelectric medium, Hooke’s law needs to be modified to (3.2) in order to account for the emergence of stress associated with external electric field (i.e., the direct piezoelectric effect). This is achieved through the piezoelectric (stress) constant e. Similarly (3.3) now has a component describing how internal stress contributes to the electric displacement (i.e., the inverse piezoelectric effect) again through the same material parameter e. The material parameter relating D and E in (3.3) is permittivity of the material and is denoted by εS. We have here written the constants cE and εS with a superscripts to emphasize that the constants need to be evaluated under specific conditions. Therefore what cE S denotes is stiffness under constant (usually zero) electric field. Likewise ε gives permittivity under constant strain. Generally, all material parameters have to be defined this way. It reflects the fact that these constants are true constants only when specific experimental conditions are applied when the parameters are measured. Equations (3.2) and (3.3) give one of the four possible ways of expressing the piezoelectric constitutive relations. Instead of writing stress T and electric displacement D as functions of strain S and electric field E [i.e., T(S, E) and D(S, E)], we could have just as well chosen any one of the remaining three permutations between the four variables. This would in each case invoke a new set of material parameters. Obviously these different sets of material parameters are related through some (fairly simple) transformations. The second important equation is the Newton’s second law, familiar from high school physics, relating force with mass and acceleration, F = ma. In the one-dimensional case we can identify the left-hand side with T/∂z · ΔV and the right-hand side 2 2 with ( ρ ⋅ ΔV ) ⋅ ∂ u/∂t , resulting in ∂T ∂2 u = ρ⋅ 2 ∂z ∂t
(3.4)
Here ρ is the mass density of the material and u is the (particle) displacement. In a nonpiezoelectric medium using the Hooke’s law and the definition of strain S=
∂u ∂z
(3.5)
we end up with the wave equation ∂2 u c ∂2 u = ⋅ 2 ρ ∂z ∂t 2
(3.6)
We assume a time dependence of all the fields as exp(jωt). Therefore the wave equation describes a wave propagating with a phase velocity ν=
c ρ
(3.7)
54
BAW Device Basics
It should be emphasized that this velocity is not the particle velocity associated with the particle displacement u, given by ∂u/∂t. We will refer to v in (3.7) as velocity of the acoustic wave, that is in the pure mode cases either the velocity of the longitudinal or the shear wave, denoted later by vL and vS, respectively. In a piezoelectric medium we get from the constitutive relations (3.2) and (3.3) ⎛ e2 ⎞ e e T = c E ⎜1 + E S ⎟ S − S D = c D S − S D ⎝ c ε ⎠ ε ε
(3.8)
Inserting this into the wave equation, (3.4) and utilizing the fact that D is a constant in the dielectric piezoelectric medium one arrives at an acoustic velocity νD =
cD = ρ
cE ⋅ 1+ K2 = ν ⋅ 1+ K2 ρ
(3.9)
This highlights the first effect of piezoelectricity in our system: the acoustic velocity is higher than would be deduced simply from the material parameter cE. In D E D essence the piezoelectric effect stiffens the material (c > c ). Therefore c is sometimes called the piezoelectrically stiffened elastic constant. We have also in the last forms defined the electromechanical coupling factor K2, given by K2 =
e2 c E εS
(3.10)
It depends only on the material parameters and is a measure of conversion efficiency between electric and acoustical domains in the piezoelectric material. Finally, we can now examine a simple prototype resonator to study some real-life consequences of the previous analysis. We will assume a simple piezoelectric plate of thickness 2d with infinitely thin massless electrodes covering the opposing faces. We will assume that the lateral dimensions of the resonator are much larger than the thickness and this will reduce our system to purely one-dimensional case. Looking at the wave equation, (3.6), we can assume a general Ansatz for the displacement as
[
]
u( z, t ) = a ⋅ sin( kz ) + b ⋅ cos( kz ) ⋅ e jωt
(3.11)
where k is called a (vertical) wave number or propagation constant. The constants a and b are determined by the boundary conditions. Inserting (3.11) into (3.6) we have ω 2 ⋅ u( z, t ) =
k2 c D ⋅ u( z, t ) ρ
(3.12)
and the wave number is therefore k=
ω 2π = D λ ν
(3.13)
3.1 Thin Film Bulk Acoustic Wave Resonator
55
In the last form we have identified the wavelength λ. The stress is now given by (3.8), and is
[
]
T( z ) = c D k ⋅ a ⋅ cos( kz ) − b ⋅ sin( kz ) −
e D εS
(3.14)
We have here dropped off the time dependence of our field variables. Throughout this text the term exp(jωt) will mostly be suppressed to keep the presentation more readable. Assuming the boundary condition of vanishing stress at the upper and lower surfaces, T(±d) = 0, gives T( z ) =
⎤ eD ⎡ cos( kz ) ⋅⎢ − 1⎥ S ε ⎢⎣ cos( kd ) ⎥⎦
(3.15)
The associated displacement is (see (3.8)) u( z ) =
sin( kz ) eD ⋅ S c ε k cos( kd ) D
(3.16)
In order to find the response of the system to the outside electrical stimulus we eliminate stress S from (3.8) and (3.3) and solve for E. The result is E=−
⎛1 e e2 ⎞ T − ⎜ − D S 2 ⎟D S ⎝ε c ε ⎠ c ε D
(3.17)
The voltage over the piezolayer is given by the integral of electric field over the thickness of the body. After some lengthy manipulation this becomes V =
+d
∫ E( z )dz =
−d
tan( kd )⎤ 2 dD ⎡ e2 1 ⋅ − ⋅ ⎢ ⎥ S D S kd ⎥⎦ ε ⎢⎣ c ε
(3.18)
If the piezolayer is dielectric the current is purely a displacement current, J = D/∂t. Therefore the current at the terminals is given by I = jωA · D, where A is the area of the device. Now the impedance is given by Z=
V 1 = I jωC 0
⎡ tan( kd )⎤ ⋅ ⎢1 − Kt2 ⋅ ⎥ kd ⎥⎦ ⎢⎣
(3.19)
where we have introduced yet another electromechanical coupling coefficient Kt2 =
e2 K2 = c D εS K2 + 1
(3.20)
This is called the electromechanical coupling factor for the thickness-longitudinal vibration (also called the piezoelectric-coupling constant for transversely clamped
56
BAW Device Basics
material). For rather weak piezoelectrics, like AlN or ZnO, the two coupling constants are approximately equal, Kt2 ≈ K 2 . The static capacitance C0, given by the familiar expression C0 =
εS A 2d
(3.21)
Note that the factor 2 appearing in the denominator is the consequence of defining the thickness as 2d. In most other works the thickness of the plate is given as d, but in order to keep the definition of plate thickness constant throughout this chapter we have opted to use this one. The resonant frequencies are obtained from (3.19). The antiresonances (or parallel resonances) are obtained when Z → ∞ (or when the admittance Y = 1/Z = 0. This gives kd = (2n + 1) ⋅
π , n = 0, 1, 2, K 2
(3.22)
Using (3.13) this becomes ω a , n = (2n + 1) ⋅
π νD ⋅ , n = 0, 1, 2, K 2 d
(3.23)
The resonant frequencies ωr,n are obtained from solution Z = 0 of (3.19). They are therefore obtained from ⎛π ω ⎞ tan ⎜⎜ ⋅ r ⎟⎟ ⎝ 2 ωa ,0 ⎠ 1 = 2 π ωr Kt ⋅ 2 ωa ,0 D
(3.24)
where we utilized v /d solved from (3.22) for the lowest antiresonance frequency ωa,0. It is interesting to note the apparent similarity, but the subtle difference between (3.1) and (3.23). The first one was obtained by simple reasoning without very much hard physics involved. It describes resonances in a purely mechanical system, which means it is a plate-and-hammer model. That is: what waves would be observed if we simply hit the plate with a hammer (assuming that the hammer really is a wide-frequency band stimulus). On the other hand, (3.23) was derived based on the piezoelectric phenomenon. The difference between the obtained resonances is that the antisymmetric modes present in the purely mechanical treatment are missing from the piezoelectric driven case. This agrees with intuition: the antisymmetric modes are not excited because the constant external electric field cannot drive them. This symmetry argument will be used in the later sections when effective coupling coefficient and spurious modes are analyzed.
3.1 Thin Film Bulk Acoustic Wave Resonator
3.1.2
57
The Basic Parameters and Equivalent Circuit
In order to develop an equivalent circuit it is convenient to write the impedance expression in a slightly modified form. It can be shown [2, 3] that (3.19) can be expressed as Z( ω) =
⎡ ω 2 kn2 ⎤ 1 ⋅ ⎢1 − Kt2 − ∑ 2 ⎥ 2 jωC 0 ⎢⎣ n ωa ,n − ω ⎥ ⎦
(3.25)
where we have introduced the coupling of the nth mode kn2 =
8Kt2
[(2n + 1)π]
(3.26)
2
The choice of the equivalent circuit is not unique; many different topologies that bring about electrical behavior as expressed by (3.25) can be envisioned. However, from (3.25) it is clear that the resonator can be described by a capacitance C0 in parallel with an acoustic arm. Parallel to this we can have further motional arms corresponding to the terms in the sum in (3.25). This circuit is the Butterworth–Van Dyke (BVD) circuit and is shown in Figure 3.2(a). Generally, the higher order harmonics are neglected in the basic analysis concentrating on the main resonance and the circuit takes the form shown in Figure 3.2(b). For the simplified circuit the input impedance takes the form Z( ω) =
j( ωL1 − 1 ωC1 )
(3.27)
1 − ω 2 C 0 L1 + C 0 C1
Again we find the series and parallel resonances by requiring zero and infinite impedances, respectively, and these are ωr =
1
(3.28)
L1 C1
and ωa =
C1 + C 0 C = ωr ⋅ 1 + 1 L1 C1 C 0 C0
Rx
C0
L1
L2
L3
C1
C2
C3
(a)
...
C0
(b)
(3.29)
Lx
L1
C0
C1
R0
L1 C1 R1
(c)
Figure 3.2 (a) Multiresonant BVD circuit. Each motional leg corresponds to a resonance. (b) Single resonance BVD circuit, and (c) the modified BVD (mBVD) circuit taking into account losses.
58
BAW Device Basics
The basic BVD circuit does not have any resistive elements and therefore it cannot take into account any losses in the system. This means that the quality factors of our series and parallel resonances are infinite. A more realistic representation is obtained with the circuit given in Figure 3.2(c) [4]. The resistance Rx in series can be associated with the simple, ever-present resistance of the metal electrodes connecting the device. The inductance Lx can arise because of the measurement configuration (device layout on the wafer). The motional resistance R1 is associated with acoustic losses, of whatever origin, in the system. Now the input impedance takes a rather complicated form as ⎡ ⎤ 1 1 Z( ω) = jωL x + R x + ⎢ + ⎥ ⎢⎣R 0 + 1 jωC 0 R1 + j( ωL1 − 1 ωC1 )⎥⎦
−1
(3.30)
We define the quality factors at series and parallel resonances as Qs = −
1 ∂ϕ ωs 2 ∂ ω ω=ωs
(3.31)
Qp = +
∂ϕ 1 ωp 2 ∂ ω ω=ω p
(3.32)
and
where ϕ is the phase angle of the impedance. Therefore we have from (3.30) approximately Qs ≈
ω s L1 R x + R1
(3.33)
and Qp ≈
ω p L1 R 0 + R1
(3.34)
This shows that at the series resonance ωs the main contributors to the Q-value are Rx and R1. The appearance of Rx is expected because of the high currents associated with the series resonance. The benefit of having the three resistors in our modified BVD circuit is that it allows us to better model the situation where the series and parallel resonance Q-values are different. However, in a simple analysis we can calculate only two Q-values from a measured resonator, the series resonance Qs and the parallel resonance Qp, and in the equivalent circuit we have introduced three resistors. Therefore, the choice of distributing the losses among these three elements is not unique. We will explore this theme in the later chapters of this book. Besides resonator analysis the BVD circuit can readily be used in design of filters.
3.2 Basic Physics
3.2
59
Basic Physics 3.2.1 Wave Propagation, Transmission, Reflection, and Attenuation of Acoustic Waves
We saw previously that phase velocity emerges naturally from the wave equation, 1/2 and is given by the stiffness constant c and mass density ρ as v = (c/ρ) . This view, although entirely correct, is unfortunately just an extreme simplification of the real situation. That is because the stiffness constants come in all kinds of varieties. It is a well-known fact that stress in one direction, for example, z, produces strains also in the perpendicular directions, x and y. What this means is that the three-dimensional stress-strain relationship must contain terms allowing for this spatial cross-coupling. This coupling also obeys Hooke’s law, with certain stiffness constants. Under the isotropic assumption, the simplest case, we have three constants describing the system behavior under stress. These are c11, c12, and c44, where 2c44 = c11−c12, meaning that only two of the components are independent. c11 is the primary term linking, just as an example, the z-direction longitudinal stress to z-direction longitudinal strain. The second constant c12 describes the Poisson interaction: how z-direction stress translates into x- and y-direction (shear) strain. Finally, the constant c44 describes the relationship between perpendicular (shear, xand y-direction) stress and strain. It is also possible to write the stiffness constants using another notation as c44 = μ, c12 = λ, and c11 = λ + 2μ. These λ and μ are the Lame constants. Specifically, μ is called the shear modulus (shear-to-shear interaction). Having multiple stiffness constants means having multiple acoustic velocities. Therefore in the isotropic case we have two acoustic velocities given by νL =
c 11 ρ
(3.35)
νS =
c 44 ρ
(3.36)
and
The first velocity vL is the longitudinal velocity: the particle vibration is in the direction of wave propagation. It is also called the thickness extensional (TE) or compressional wave. The last name suggests the nature of the wave: as the wave propagates there are regions of compression and decompression in the material (i.e., local mass density variations). The second velocity vS is the shear velocity. In this case the vibration is perpendicular to the propagation direction of the wave. There are no local mass density variations as the shear wave propagates. Figure 3.3 shows the particle displacements associated with the longitudinal and shear waves. Naturally having two acoustic velocities also means having two different acoustic impedances. They are now given by ZL = (ρc11)1/2 = ρvL and ZS = (ρc44)1/2 = ρvL. With the aid of vL and vS, we can define the Poisson ratio σ as
BAW Device Basics
Propagation
60
λ
λ
(a)
(b)
Figure 3.3 Particle displacements associated with (a) longitudinal, and (b) shear waves. The propagation direction of both waves is up (or down). In the figure we have noted the wavelength λ(Kλ = 2π), see (3.13).
νL = νS
c 11 = c 44
1− σ 12 − σ
(3.37)
When an acoustic wave meets an interface part of it is reflected and part of it is transmitted. The amplitudes of the reflected and transmitted waves are proportional to the acoustic impedance difference across the interface. This can be shown formally by assuming an normal incidence plane wave in material 1, exp(−jk1z), reflected into reflected-backward propagating wave of a · exp(+jk1z) and a transmitted wave in material 2, b · exp(−jk2z). Applying the continuity of displacement and stress we arrive at the amplitude transmission and reflection coefficients t =
2Z 2 Z 2 + Z1
(3.38)
r=
Z 2 − Z1 Z 2 + Z1
(3.39)
and
These coefficients obey the relation 1 + r = t. If the angle of incidence is nonperpendicular the wave will also experience mode conversion. This means that, for example, a longitudinal wave will convert into four waves: reflected and transmitted longitudinal and shear waves. The angles of the reflected and refracted waves follow the Snell law, but the amplitudes for these four waves cannot be written in any simple manner. We will omit them here and simply refer the reader to previous works by Auld [1], or Dieulesaint and Royer [5]. However, one general point should be mentioned for future reference: for the longitudinal and shear waves this mode conversion happens also at a stress-free boundary.
3.2 Basic Physics
61
Acoustical attenuation is a phenomenon where some of the mechanical energy propagating in a material is converted into heat. This can be taken into account by rewriting Hooke’s law in the form T = cS + η
∂ν ∂S = cS + η ∂z ∂t
(3.40)
where η is called viscosity. The first form illustrates the fact that as the wave travels the stress amplitude decreases because of the acoustic absorption. The second form conveys similar message: strain tends to relax toward its equilibrium state with time. For sinusoidal excitation this becomes T = (c + jωη) ⋅ S
(3.41)
where the material parameter is now called complex elastic stiffness. Using (3.40) the wave equation now reads as ρ
∂2 u ∂2 u ∂3 u c η = + ∂t 2 ∂z2 ∂ t∂ z 2
(3.42)
~ Introducing a complex propagation constant k = k + jα the wave equation becomes ~ ~ − ω 2 ρ ⋅ u = −ck 2 ⋅ u + jηk 2 ω ⋅ u
(3.43)
For the real and imaginary parts we get − ω 2 ρ = −ck 2 + cα 2 − 2 ηkαω
(3.44)
0 = −2ckα + ηk 2 ω − ηα 2 ω
(3.45)
and
respectively. Assuming small absorption, that is both α and η are small compared to k and ω, we get from the real part ω = k
c =ν ρ
(3.46)
Therefore, for small absorption the wave velocity is frequency independent and equal to the lossless case. Dropping the last term of the imaginary part (α fc,a. However, in any real case (i.e., resonator having a realistic size 2L) the frequencies are for all practical purposes identical. This means that for designing the resonators for a particular application the Mason model can be readily applied with the required precision. For a very narrow resonator we need to move up higher along the dispersion curves to find the conditions satisfying (3.73). Therefore the ground state for a very narrow device might be well above the cutoff frequency fc,a. For a resonator exhibiting type II dispersion characteristics the opposite is true: the frequency of a narrow resonator would be lower than the cutoff frequency fc,a. For a quick-and-dirty analysis, one may assume a hard-wall model by letting βo → ∞, and then the resonance condition, (3.73), reads as βaL = π/2. As expected, the resonance is obtained when a half-wavelength fits into the width of the resonator. For a real device with a finite outside region cutoff frequency fc,o the resonant frequency of a narrow device approaches, but never exceeds, this cutoff frequency fc,o.
74
BAW Device Basics
f0
n=0
f1
n=1
f2
f3
n=2
n=3
f4 n=4
Figure 3.12 lateral displacement profiles ux,n of the first five modes of the traditional resonator. Because of symmetry reasons only the symmetric modes with n = even couple with the constant driving force of the electric field.
This is because above fc,o the outside region supports a traveling wave with a real β, and consequently no trapped resonance can occur in a laterally infinite system. 1 Whether there exists more than one trapped mode depends on the depth and width of the cutoff frequency well, and also on the properties of the active and outside regions (i.e., specific dispersion characteristics). The depth is simply a function of the cutoff frequency difference between the outside and active regions fc,o fc,a. The width 2L is simply the physical size of the prototype resonator under investigation. We will return to these other higher order modes later in the discussion of spurious modes in Section 3.3.3.
3.3
Device Design 3.3.1
Effective Coupling Coefficient
In an earlier section we pointed out the difference between effective coupling coefficient k2eff and material coupling coefficient K2. This section will deal with k2eff, the device property. We will analyze a real resonator with finite thickness electrodes and show how most of the material coupling coefficient K2 can be extracted to maximize or optimize k2eff. This effect was already discussed in the previous chapter dealing
1.
In this case, if taken literally, our model really fails when a real-world device is considered. Even if we allow a propagating wave in the outside, a resonance, maybe a poor one but a resonance nevertheless, would be observed in a manufactured device. One of the reasons is that our model does not treat the mechanical and electrical boundary conditions correctly (see [13]). However, for the analysis done here it is good enough to explain even the real-world behavior of the system.
3.3 Device Design
75
with electrode metallization (see Section 2.3). We will again make a simplified Gedanken experiment to highlight the physics behind the effect. Let us assume a resonator that has electrodes made of the same material (or similar in terms of acoustic velocity and impedance) as the piezoelectric itself. This simplifies our calculations as the displacement inside the body can be written as u( z ) = a ⋅ sin( kz )
(3.74)
where k is the vertical (z-direction) wave number given by k=
ω 2 πf = ν ν
(3.75)
Here v is the velocity of the acoustic wave, in both the electrodes and piezolayer. Figure 3.13 shows the geometry under consideration. Using the stress-free boundary condition T(±(d + t)) = 0 we arrive at the resonance condition kn ⋅ ( d + t ) = (2n + 1) ⋅
π , n = 0, 1, 2, K 2
(3.76)
The frequencies are now given by the familiar ω a , n = (2n + 1) ⋅
π ν ⋅ 2 d +t
(3.77)
The difference between this and (3.23) arises from the different definition of the total plate thickness (see Figure 3.14). After some simple manipulation we get from (3.58) the coupling coefficient of nth mode π t ⎤ ⎡ cos 2 ⎢(2n + 1) ⋅ ⋅ 8 e 2 d + t ⎥⎦ ⎣ = S E ⋅ 2 ⋅ , n = 0, 1, 2, K t ⎞ 2 ε c π ⎛ (2n + 1) ⋅ ⎜⎝1 − ⎟ d + t⎠ 2
2 keff ,n
2
(3.78)
2
In Figure 3.14 function cos [(2n + 1) · π/2 · x]/[(2n + 1) · (1 − x)], where x = t/(t + d), is plotted for the three lowest modes. For main mode n = 0 the function +(d+t) +d 0 −d −(d+t)
z Electrode
t
Piezolayer
2d
Electrode
t
Figure 3.13 The geometry of a resonator with electrodes, made of the same material as the piezolayer itself. This also corresponds to the situation where infinitely thin electrodes are placed at a distance of t from the surfaces within the piezoelectric body. The stress field is drawn with solid line and the displacement with a dashed line.
BAW Device Basics
Normalized effective coupling coefficient
76
1
n =0
0.8
0.6
0.4
n =1 n =2
0.2
0 0
0.2
0.4 0.6 Thickness ratio t/(t+d)
0.8
1
Figure 3.14 Normalized effective coupling coefficients for the three lowest resonances in a simple prototype membrane resonator as a function of fractional electrode thickness t/(t+d). The electrodes are made of the same material (i.e., same acoustic velocity and impedance) as the piezolayer. The main mode n = 0 has a maximum at t/(t+d) ≈ 0.26, indicated by the dotted vertical line.
achieves a maximum at x ≈ 0.26 and the value of the function at this point is approximately 1.14. This means that in our simple Gedanken experiment the effective coupling coefficient is maximized for electrode thickness of t/(d + t) ≈ 0.26. The higher 2 harmonics have naturally a lower k eff and certain electrode thicknesses produce a vanishing coupling coefficient (for the first harmonic n = 1 at x = 1/3, and for the second n = 2 at x = 1/5 and x = 3/5). This behavior is easily understood when considering the symmetry of the situation; see numerator of (3.78). Next we will consider a more realistic but still simple symmetric resonator with electrodes made from a material different than the piezolayer. The resonator is assumed symmetric with both electrodes having the same thickness t and material properties (see Figure 3.15). The displacement has now to be considered in two parts ⎧ a ⋅ sin(kp z) −d ≤ z ≤ +d u( z ) = ⎨ ⎩b ⋅ sin( ke z + γ ) z > + d
+(d+t) +d 0 −d −(d+t)
(3.79)
z Electrode
t
Piezolayer
2d
Electrode
t
Figure 3.15 The geometry of a resonator with electrodes. Example corresponds to the case of Zp > Ze. The stress field is drawn with a solid line and the displacement with a dashed line.
3.3 Device Design
77
where the wave numbers kp and ke refer to the piezolayer and electrodes, respectively. The phase term γ preserves the generality of our Ansatz. Using the boundary conditions at the interface z = +d and vanishing stress at z = +(d+t) we arrive at the resonance condition Ze ⋅ tan(kp d ) tan( ke t ) = 1 Zp
(3.80)
1.4 1.2 2:1 1 1:1
0.8 0.6
1:2
0.4 0.2 0 0
0.2
0.4 0.6 0.8 Thickness ratio t/(t+d) (a)
1
Normalized effective coupling coefficient
Normalized effective coupling coefficient
Here Ze and Zp are the acoustic impedance of the electrode and piezolayer, respectively. We could calculate the stress profiles associated with (3.79) and insert these into the Berlincourt formula for a general expression of the effective coupling coefficient (the result, however, looks rather unappetizing). Unfortunately it is not possible to further insert the resonance condition, (3.80), into the obtained equation for a closed form solution of k2eff. Therefore, we will have to be satisfied with a numerical solution for some representative cases. From the figures we see how the effective coupling coefficient depends on the material properties of the electrodes. Most notably it is seen from Figure 3.16(b) that the high acoustic impedance electrodes increase the maximum achievable k2eff. With the available high impedance electrode materials, which are close to the impedance ratio 3:1 given in Figure 3.16 (assuming AlN or ZnO piezolayer), the normalized effective coupling coefficient has a maximum value of approximately 1.19. This has some important real-life consequences when it comes to practical design of resonators. This issue will be discussed in a later chapter. We have here analyzed a FBAR device. The results in this case do not directly apply to a SMR. This is because the stress fields inside the mirror layers lower the achievable k2eff. However, the general trend seen in Figures 3.15 and 3.16 holds just as well to the SMR, even if the exact position and value of the maximum k2eff depend on the specific mirror configuration. Simulations in the case of a SMR device can be found in the original paper describing the effect by Lakin et al. [14].
1.4 1.2 3:1 1 1:1
0.8 0.6
1:3
0.4 0.2 0 0
0.2
0.4 0.6 0.8 Thickness ratio t/(t+d)
1
(b)
Figure 3.16 Normalized effective coupling coefficients as a function of fractional electrode thickness t/(t + d) for resonator with different electrode to piezolayer (a) acoustic velocity ratio and (b) acoustic impedance ratio. The 1:1 case corresponds to (3.78), n = 0.
78
BAW Device Basics
3.3.2
Loss Mechanisms and Q-Values
Quality factors are a measure of losses in the system. The very basic definition follows from the ratio of the total energy in the system to the power lost in a half-cycle: Q=
ω Etot ⋅ 2 ΔE
(3.81)
where Etot is the total energy and ΔE is the power lost per half-cycle. Assuming multiple loss mechanisms, the overall Q-values follow the well-known law 1 = Qtot
1
∑Q i
(3.82)
i
where Qi is the Q-value associated with loss mechanism i. If we assume that the energies associated with loss mechanisms are different we get from the definition of Q-value, (3.81), E 1 1 = ⋅∑ i Qtot Etot i Q i
(3.83)
where Ei is the energy associated with loss mechanism i, and Etot = ∑ E i . These loss mechanisms in a BAW resonator can be grouped under three categories: 1. Electrical losses; 2. Acoustical attenuation; 3. Leaking waves. Electrical losses are associated with finite resistance of the resonator electrodes and leads connecting resonators and bonding/probing pads. In the previous section we saw that the preferred electrode materials for wide-bandwidth filters are high acoustic impedance metals, typically from the group of refractory metals. Unfortunately, these have rather high electrical resistances. It must also be kept in mind that for the high acoustic impedance metals the best obtainable thin film resistivities are generally 1.5 to 2 times higher than the corresponding bulk values. This is not the case with aluminum, copper, and some noble metals (Ag, Au) that usually have resistivities fairly close to bulk values even in thin film form. When electrical resistivity is concerned there are two major effects that should be considered: First the traditional resistivity of the leads and electrodes themselves. Generally there is about one square of electrodes associated with a resonator (i.e., the top and bottom electrodes themselves). The resistive loss mechanism is obviously most pronounced near the operating point where electrical currents are largest, see (3.33). The second resistive part arises from the possible nonuniform stress distribution over the area of the electrodes when the resonator is operated at high frequencies. If the stress distribution is not flat then areas of the resonator vibrating at different amplitudes and/or phases will have redistribution currents associated with them. This means that even if no current would be flowing in and out of the resonator
3.3 Device Design
79
leads connecting it to the outside world these redistribution, or eddy currents, still have a resistance associated with them. FEM simulation of the phenomena can be found in [15]. In the next section dealing with spurious resonance-free resonator design we will encounter a design that tries to accomplish constant displacement (stress) amplitude over the face of the resonator. Even in the cases where this is successfully accomplished and the spurious modes are not generated, one will almost invariably find small ripple in the measured stress distribution near the operating frequency of the device. This ripple arises because some of the energy is coupled into high lateral wave vector dispersion branches (more about this after few lines). At the moment it is unknown whether this effect has any practical Q-limiting value. Previously we described acoustical attenuation as a phenomenon where some of the mechanical energy propagating in a material is converted into heat. We can readily model this effect by assuming a complex propagation constant. However, as was argued before, we have very little knowledge about the actual values of attenuation constants α at the relevant frequencies. Therefore it is difficult to judge whether this effect comes into play in practical devices. However this is the mechanism that is often used in, for example, Mason’s model, to fit measured and predicted Q-values. The third loss mechanism group, leaking waves, can be broken down to three more general subclasses. The first one is SMR specific: waves lost through the mirror into the substrate. In a FBAR device both the upper and lower surface of the resonator are in contact with the air. With the large acoustic mismatch we can be certain that no wave is transmitted into the air and consequently into the substrate. But, in the case of a mirror device, this might not be so. A Mason model calculation gives the longitudinal wave reflectivity of the typical quarter-wavelength mirror as 99.99% (unity for all practical purposes). This would imply Qs easily in excess of 10,000. However, the mirror in the λ/4-configuration is designed for longitudinal waves. Remembering that the shear wave velocity vS is roughly half of the longitudinal velocity vL, it is follows that the mirror is more or less λ/2 for the shear waves. If, for whatever reason, shear waves are generated in the device these can readily pass through the mirror and consequently lower the Q-values. This loss can be significant for even small amounts of energy associated with the shear waves. This can easily be shown by using (3.83): if one assumes a longitudinal Q-value of 10,000 and shear Q-value of 10, and assigns 1% of total energy to the shear waves, the resulting total Q will be roughly 900. In Figure 3.17(a) we have calculated the mirror transmissivity for the traditional λ/4-configuration (transmissivity being T 2 = 1 − R2, where R is the reflectivity). As expected the longitudinal transmissivity shows a minimum at the resonance (denoted by the vertical line). However, the shear wave transmissivity is high validating our earlier expectation. This leakage can be confronted effectively be designing the mirror to reflect both the shear and longitudinal waves. Such a mirror is no longer based on λ/4-thick layers; an example is given in Figure 3.17(b). Currently there are no reliable explanations for the generation of shear waves. However, as always, it is easy to speculate on the origin of these modes. It was previously mentioned that any nonperpendicular longitudinal waves incident upon a material interface will convert into reflected and transmitted longitudinal and shear
BAW Device Basics
Mirror transmissivity [dB]
80 0
0
−10
−10
−20
−20
−30
−30
−40 0.5
1.0
1.5
2.0
2.5
Frequency [GHz] (a)
3.0
3.5
4.0
−40 0.5
1.0
1.5
2.0
2.5
3.0
3.5
4.0
Frequency [GHz] (b)
Figure 3.17 Transmissivity of (a) a λ/4-mirror and (b) a cooptimized mirror for both shear and longitudinal waves [16]. The longitudinal transmissivity is given by the solid lines, and the shear by the dashed lines, respectively. The resonance frequency of the device (approximately 1.8 GHz) is indicated by the vertical line. Both mirrors are constructed as 2.5-pair W/SiO2-layers.
waves. There should be no a priori reason to expect that such waves would not be present in a real device. Remember again that the Mason model is a 1D treatment and there quite obviously exists no mechanism for generating these modes. Possible sources for the nonperpendicular longitudinal waves are for example the electrode edges where boundary conditions might require generation of these waves. This brings us to the second possible source of the shear waves: there is again no a priori reason to believe that these lateral boundaries would not directly create shear wave components. The second leaking-wave loss mechanism is laterally leaking waves. In Section 3.2.4 we proposed a simple dispersion model for explaining the energy-trapping principle. We found that if we consider only the thickness extensional modes near the cutoff frequencies of the active area and outside, perfect energy trapping can be accomplished. It is time to review that assumption. When we make the resonator analysis based on the dispersion relations we explicitly disregard all other dispersion branches except the one under consideration, usually the thickness extensional mode TE1. In essence we assume that there is no coupling from this mode to the others. In an infinite bulk material the coupling between the modes does not occur. However, in any realistic analysis the resonator edges must come into play. The lateral boundaries require the same continuity of displacement and stress as do the vertical boundaries. However, even in the simple model at the boundary between the active and outside regions of our prototype resonator the stress fields are obviously different, even within the piezoelectric layer itself. This arises because the vertical boundary conditions require vanishing stress on the free surfaces. In other words on the side of the outside region, the vertical stress must equal zero, but on the other hand, on the side of the active area it generally does not have to (see Figure 3.11). Therefore, there must be an additional mechanism to facilitate for this mismatch. In the dispersion picture this can only be brought in by adding a contribu-
3.3 Device Design
81
tion from the other branches on the dispersion diagram at the relevant frequency (what else would there be?). Some of these other branches might have a real wave number. Therefore, almost invariably we will set up traveling waves in the outside region and these will propagate and be lost thus lowering our Q-values and possibly the K2eff. It is an experimental fact that laser interferometer analysis of any resonator will invariably show waves propagating in the outside region as well. Figure 3.18(a) shows such a phenomenon in a mirror device. Just as invariably small wavelength ripple will be present in the active area; see Figure 3.10 for a laser interferometer measurement of the active area of a ZnO resonator operating at 1 GHz (the fact that we are able to measure the dispersion curves means that the waves must be present). Also, finite element analysis (FEM) of devices always shows such a behavior; see Figure 3.18(b). FEM is a brute force method that will, when done correctly, reveal all the possible modes. This is actually a problem in setting up a good FEM simulation, as these waves propagating in the outside must be somehow absorbed at the outmost boundaries of the model, in order not to set up additional unphysical standing wave patterns and corresponding resonances. So how big is the lateral leakage effect in real life? The sad answer is that we do not know. It is very difficult to distinguish between the different Q-loss mechanisms in the electrical measurements, except in some special cases. Laser interferometry can not help us much either: it is difficult to judge what the amount of energy carried away from the resonator with the lateral waves really is. It has been argued by some authors, quite convincingly in fact, that in the case of FBAR this loss mechanism is dominant at certain frequencies (see Chapter 5 and [19]). Nevertheless it must be concluded that definite assignment of a certain Q-value to lateral losses is challenging to say the least. Finally surface and/or interface scattering can be important if the surface and/or interface quality of the materials is not good enough. Here the mechanism is in essence the same as in vertically or laterally leaking waves, we just make the separation because in this case the origin of the leaking waves lies with waves generated by the local roughness. These waves can have any propagation direction and therefore,
(a)
(b)
Figure 3.18 (a) A laser interferometer analysis of a SMR showing propagating modes outside the resonator active area [17], and (b) FEM simulation of a FBAR showing propagating modes on the right-hand-side outside area [18].
82
BAW Device Basics
in principle, it is possible to convert into various different lateral and vertical waves that might or might not be lossy. 3.3.3
Spurious Modes
In this section we first develop the hard-wall model mentioned in Section 3.2.5 further to explore the emergence of spurious modes. Let us first elaborate further on the hard-wall assumptions: The lateral wave is not allowed to penetrate the outside region at all (see Figure 3.11). This is accomplished by letting the lateral wave number in the outside region βo → ∞. As previously shown, we now get the resonance condition as β a L = (2n + 1) ⋅
π , n = 0, 1, 2, K 2
(3.84)
corresponding to the poles of the tangent function of (3.73). Inserting this into the general form of the lateral contribution to effective coupling coefficient kx2, obtained by inserting (3.72) into (3.71), we have kx2 =
2 ⋅ sin 2 ( β a L) ⎛ ⎞ 1 β L ⎜1 + ⋅ sin( β a L) cos( β a L)⎟ βa L ⎝ ⎠ 2 a
(3.85)
2
Now we find a series of resonances, labeled with n, with kx2, n =
8 1 ⋅ 2 π (2n + 1) 2
n = 0, 1, 2, K
(3.86)
This equation describes a series of modes having decreasing effective coupling coefficients. We identify the n = 0 case with the largest coupling as our main mode. The modes labeled with n > 0 are the spurious modes. They are excited because the driving force of the electrical field Ex, a constant across the face of the essentially parallel plate capacitor structure under investigation, is able to couple into these laterally symmetric modes as well (see Figure 3.12 for the mode shapes). In other words the convolution integrals in the numerator of the coupling coefficient equation, (3.71), have nonzero values for all symmetric solutions of the lateral wave modes ux. The wave modes ux are sketched in Figure 3.13. It is interesting to note that ∞
∑k
2 x,n
=1
(3.87)
n=0
This means that all the piezoelectricity available is consumed in the series of resonances composed of the main mode and the spurious modes. In Figure 3.19 electrical measurements of two resonators are shown. The first one, Figure 3.19(a), is an AlN SMR exhibiting type I dispersion. The spurious modes are identified as the smaller loops superimposed on the large main resonance. Because the resonator is of type I the spurious modes are located above the series res-
3.3 Device Design
83
(a)
(b)
Figure 3.19 Spurious modes in (a) type I resonator and (b) type II resonator. (From: [21]. © 2001 IEEE. Reprinted with permission.)
onant frequency fs. This is where the active area of the resonator has real wave numbers βa enabling standing wave patterns according (3.73) to be set up. The opposite is true for the resonator of Figure 3.19(b). This example is an AlN-FBAR (i.e., a membrane resonator) having type II dispersion. Since the real wave numbers are now located below the cutoff frequency, the spurious modes appear below fs in frequency. Note that (3.84) to (3.86) hold for both type I and II devices; the only assumption we have made is βa real and βo → ∞, and in principle this can be accomplished with either dispersion type by proper resonator design. In [22] Kokkonen and Pensala studied a ZnO resonator exhibiting strong spurious modes using electrical measurements, laser interferometry, and FEM. Their findings verify the theoretical reasoning given earlier: the acoustical spurious modes are responsible for the spurious modes seen in the electrical response. Figure 3.20 shows the connection between the electrical resonances and the associated wave patterns obtained from laser interferometry. We can also set up a second interesting problem with (3.73). This takes place when we let βo = 0. In this case we get a coupling coefficient ⎧1 n = 0 kx2 = ⎨ ⎩0 n ≠ 0
(3.88)
This describes a resonator with only one single mode excited. That means it is spurious resonance free. The condition β 0 seems ridiculous at first glance. It describes a constant displacement amplitude in the outside region. This is clearly a violation of the problem statement requiring an energy-trapped structure with an exponentially decaying amplitude in the outside. Actually this also corresponds to a case of infinitely large resonator, and this is exactly the configuration that, for example, the Mason model analyzes. In a laterally infinite resonator there are no edges and therefore no possibility of setting up laterally trapped waves and consequently no spurious modes are excited. But nevertheless, the condition o 0 holds an important truth even in the case of a laterally finite resonator: if we are able to create a situation where the boundary
84
BAW Device Basics
-2
Mechanical response [a.u.]
Absorbed power (1-|S11|2) [dB]
0
−4 −6 −8 −10 −12 920 925 930 935 940 945 950 955 960 965 970 Frequency [MHz]
Figure 3.20 Connection between the measured electrical response and wave patterns obtained by laser interferometry [22]. The solid line is the electrical power absorbed in the resonator as a function of frequency. The dotted line gives the mechanical response as summed over measured lateral wave vector β values at each frequency.
condition of dux/dx = 0 at x = ± L is satisfied, we have created a resonator with no spurious modes excited. This spurious resonance-free condition can be achieved by the type I resonator structure given in Figure 3.21. By inserting a narrow border region, having a real wave number b, between the active area and the outside we L is satisfied. In this case we have a can create a situation where dux/dx 0 at x 0, b real and o imaginary, simultaneously fulfilling all the requirements of energy trapping and boundary conditions. We must now assume the displacement profile as being composed of three parts, corresponding to the three regions of the device. The Ansatz for displacement, again immediately dropping the noncoupling antisymmetric solutions, reads as
W
W 2L f Outside Active fc,o
fc,o
βo
fc,a
β b βa
Border
fc,b
fc,a fc,b
β x=0 (a)
βo
βa = 0
βb
(b)
Figure 3.21 (a, b) The structure and cutoff frequency diagram of a spurious resonance-free resonator, type I. The corresponding dispersion characteristics display the operation point with b real, imaginary and a = 0. o
3.3 Device Design
85
⎧ a ⋅ cos( β a x ) −L < x < +L ⎪ u x ( x ) = ⎨b ⋅ cos( β b x + γ ) + L < x < +( L + W ) ⎪c ⋅ exp( − β x ) x > +( L + W ) o ⎩
(3.89)
Coefficients a, b, and c are again for the amplitude normalizing reasons. The phase term γ in the border region, and the sinusoidal form of the displacement in the active area have been introduced to preserve the general nature of the Ansatz. Investigating the special case of a = 0, meaning a constant amplitude a in the active area, we arrive to the resonance condition. This is achieved by requiring both L and x (L W). After some simple manipulaux and dux/dx continuous at x tion we now have the resonance condition β b tan( β bW ) = β o
(3.90)
Comparing (3.90) and (3.73) for the traditional design a striking resemblance is seen: the equations have exactly the same form with L being now replaced by W and ba by bb. This is no coincidence: One can imagine accomplishing the structure by first designing a narrow (width 2W) resonator using the border-region layer stack according to (3.73). The resonant frequency of this narrow device will be well above the cutoff frequency fc,b of the layer stack (for type I device). Now if one splits this resonator in the middle, where quite obviously dux/dx 0 for the symmetric modes, and in between inserts an active region having a cutoff frequency fc,a exactly matching the resonance frequency of the narrow resonator of our Gedanken experiment, the active area will be operated exactly at this cutoff frequency. And, this in other words means a 0. Therefore the resonance condition, (3.90), must read as it does with no dependence on the width of the active area L or the active area lateral wave number a. Again note that (3.89) and (3.90) apply to both type I and II devices, reasoning as before. Concentrating our efforts solely in the active area (i.e., assuming no coupling in the border area, or rather W « L) we can now find the coupling coefficients corresponding to the main mode and the spurious modes. The displacement in the active area reads as ux,a = a · cos(βa x) for the symmetric modes. The solution satisfying L must have βaL = n · π, with n = 0, 1, 2, .... Therefore, we do dux/dx 0 at x indeed get the coupling coefficient of (3.88). The higher order symmetric modes vanish, because for n 0 the active area now supports a multiple of half-wavelengths (see Figure 3.22). We have here not explicitly made the simplifying assumption of holding both βb and βo constant across frequencies, because nothing in the mathematics themselves forces us to do so. However, it should be noted that generally the condition of (3.90) should be fulfilled over the frequency range of interest, in the vicinity of fs and fp. Whether this happens in real devices is an open question: our idealized model does not take into account all the real-world phenomena and this leaves us only with experiment to judge whether or not we can place any faith in it. Luckily, the experimental observations do validate the model. Electrical measurements of resonators show a minimum in the spurious mode content for a certain W. Direct observation of the effect is possible with laser interferometry. In Figure 3.23 electrical measurement of type I ZnO and AlN SMRs with and without appro-
86
BAW Device Basics
n=0
n=1
n=2
n=3
n=4
Figure 3.22 The resonant modes of the spurious resonance-free resonator. Only the main mode, n = 0, couples with the electric field. The odd modes are antisymmetric and cannot couple. The higher order even modes have a multiple of half-wavelengths across the resonator, −L ≤ x ≤ +L, and consequently do not couple (W « L). Note that for clarity we have drawn the border area width W much larger than in typical devices.
(a)
(b)
Figure 3.23 (a, b) Electrical measurements of type I 1-GHz ZnO and 2-GHz AlN resonators with (solid line) and without border rings (also known as the frame or overlap) [20]. The devices with correctly dimensioned borders have superior characteristics with almost no spurious modes. The improvement in Q-values is also evident.
priately dimensioned borders [20]. Figure 3.24 displays laser interferometer analysis of two ZnO resonators. The resonator on the right shows a flat displacement profile corresponding to βa = 0. Furthermore, the values for W given by (3.90) agree fairly well with experiment.
3.3 Device Design
87
(a)
(b)
Figure 3.24 Laser interferometer measurements of the lateral displacement pattern of a 1-GHz ZnO SMR (dispersion type I) [20]. Part (a) shows displacement profile of a traditional design without the overlap. Part (b) shows a flat profile for the resonator with a correctly dimensioned overlap. The measurement frequency used here is slightly above the series resonant frequency fs, of the devices.
In principle the border region can be designed with any width-thickness combination satisfying (3.90). The general trend seen from (3.90) is that for higher value of lateral wave number βb in the border region the width W must be made smaller. Bigger βb means larger cutoff frequency difference fc,a − fc,b (i.e., the cutoff frequency well is deeper). It was previously commented in Section 3.2.4 that any symmetric one-dimensional cutoff frequency profile will hold at least one bound state. The cutoff diagram for the border region in Figure 3.21 is not symmetric. Therefore, whether there exists an isolated mode in this region depends totally on each individual case. When the border region is correctly dimensioned with respect to thickness and width there are no isolated modes, the lowest frequency mode of a type I device has the shape shown in Figure 3.22, n = 0. However, if the border region is too wide and/or too deep an isolated resonance can be formed. What now happens is that there is an exponentially decaying wave in both the outside and active region (i.e., both βa and βo are imaginary), and βb is real. In this case the electrical measurements will show, again in the case of a type I device, an additional resonance below fs, along with a reduced effective coupling coefficient. In Chapter 5 dealing with the FBAR devices we will see examples of the application of the frame concept to a type II membrane device. As expected, in this case the frame (border area) needs to have a cutoff frequency fc,b higher than the active area, in order to achieve a real wave number βb at resonance. Furthermore improved energy trapping in these devices is achieved through the use of an outside area having cutoff frequency fc,o lower than the active area fc,a, again in agreement with the prediction of the dispersion-based model. One could visualize the situation as in the cutoff frequency diagram of Figure 3.25. The reader familiar with semiconductor physics might see this similar to the representation of the valence band of a quantum well device (such as a quantum well laser), as opposed to the conduction bandlike behavior of the type I device in Figure 3.21.
88
BAW Device Basics W
W 2L f Active fc,b
Border
fc,a fc,o fc,b fc,a
Outside βo
β b βa βo
fc,o
βa = 0
β
βb
x=0 (a)
(b)
Figure 3.25 (a, b) The structure and cutoff frequency diagram of a spurious resonance-free resonator, type II. The corresponding dispersion characteristics display the operation point with βb real, βo imaginary, and βa = 0.
3.3.4
The Other Important Parameters
The most important of the other parameters concerning a resonator is its temperature coefficient of frequency (TCF). We can examine this for the prototype resonator by inserting (3.7) into (3.23) and differentiating with respect to temperature. We get 1 dω 1 ⎛ 1 dc 1 dρ ⎞ 1 dd 1 ⎛ 1 dc 1 dV ⎞ 1 dd ⋅ = ⎜ ⋅ − ⋅ = ⎜ ⋅ + ⋅ ⎟− ⋅ ⎟− ⋅ ⎝ ω dT 2 ⎝ c dT ρ dT ⎠ d dT 2 c dT V dT ⎠ d dT
(3.91)
where T is the temperature and V is the volume and the other symbols are as before. In the last form we can identify the linear thermal expansion coefficient αl = 1/d · dd/dT and the volumetric thermal expansion coefficient αV = 1/V · dV/dT. Both of these are usually positive and therefore they tend to cancel each other to some extent. In an isotropic case αV = 3αl holds fairly well, and therefore the net contribution of the last two terms is roughly +1/2 · αl. Typical values for αl range between +1 to +20 ppm/K. The first term describes how the stiffness changes with temperature. Usually materials become softer as temperature rises. This implies that generally 1/c · dc/dT should be negative and that is the way it is for most materials. Temperature coefficients of stiffness constants are typically in the range of a few tens to a few hundreds of ppm/K (negative). It could therefore be argued that this effect usually is the largest component determining the TCF of a resonator. In a thin film BAW the situation is not really well described by (3.91). It might be a good approximation in the case of FBAR, but in the case of SMR the intimate contact with the substrate complicates the situation. As the substrate thickness is typically up to two orders of magnitude larger than the film stack on top of it, it can not be neglected: The thermal expansion of the substrate (area expansion in this case) changes the stress state of all layers deposited on it (these layers might have some intrinsic stresses in them at the beginning). These stresses (strains) can influence the material parameters: remember the discussion in Section 3.1.1.
3.4 Summary
89
The most straightforward method to account for temperature effects in thin film BAWs is to model them directly through the use of the temperature coefficient of velocity 1/v · dv/dT. The travel time through the various layers changes with velocity and to the first-order this describes the TCF of the resonator. In the accurate description one should also take into account the temperature coefficient of acoustic impedance, 1/Z · dZ/dT = 1/v · dv/dT 1/ρ · dρ/dT. Here the two terms do generally have negative temperature behavior resulting in 1/Z · dZ/dT being also negative. However, since the acoustic impedance influences the resonance frequency only through the reflection and transmission coefficients r and t, (3.38) and (3.39), its temperature coefficient can safely be neglected. To elaborate, as the impedances on both sides of the interface have similar temperature behavior it can safely be assumed that the effect of T on r and t, these being functions of the ratio between the two acoustic impedances Z1 and Z2, is for all practical purposes zero. Finally it should be noted that some materials do indeed have a positive temperature coefficient of velocity (or stiffness). Most notably amorphous SiO2 exhibits this behavior (as already pointed out in Chapter 2). Therefore it can be used as TCF compensating material in resonators [23]. This effect is also notable in SMRs, where the low impedance acoustic layers are usually made from SiO2. This is the reason behind generally lower TCF in SMRs as compared to FBARs.
3.4
Summary On the previous pages we have outlined the most important topics regarding modeling of thin film BAW resonators. The basic equations governing the simple prototype resonators were presented and the origin of the lateral effects, most notably spurious modes, were described. Theoretical solutions to these problems were presented. In later chapters we will see practical implementations of the described solutions: How the effective coupling coefficient is optimized with the use of high-impedance electrodes and also in the case of SMR use of suitable materials for the mirror layers. It will also be shown how Q-values are optimized for SMR with the use of a nonquarter-wavelength mirror and how the spurious modes are eliminated with the application of a border region in both FBAR and SMR devices. These solutions have a very concrete practical application in BAW filter production. It is safe to say that the role of BAW in radio frequency filters would not be what it is today without these enhancements.
References [1] [2] [3] [4]
Auld, B. A., Acoustic Waves and Fields in Solids, Vol. I & II, New York: Wiley, 1973. Ristic, V. M., Principles of Acoustic Devices, New York: Wiley, 1983. Rosenbaum, J. F., Bulk Acoustic Wave Theory and Devices, Norwood, MA: Artech House, 1988. Larson, J. D. III, et al., “Modified Butterworth-Van Dyke Circuit for FBAR Resonators and Automated Measurement System,” Proceedings of IEEE Ultrasonics Symp. 2000, San Juan, Puerto Rico, pp. 863–868.
90
BAW Device Basics [5] Dieulesaint, E., and D. Royer, Elastic Waves in Solids, Vols. I & II, New York: Springer-Verlag, 1999. [6] Mason, W. P., Piezoelectric Crystals and Their Application to Ultrasonics, Princeton, NJ: Van Nostrand, 1950. [7] Berlincourt, D. A., D. R. Curran, and H. Jaffe, “Piezolelectric and Piezomagnetic Materials and Their Function in Transducers,” Physical Acoustics, Vol. I-A, ed. W. P. Mason, Academic Press, New York, 1964. [8] Chang, S. H., N. N. Rogacheva, and C. C. Chou, “Analysis of Methods for Determining Electromechanical Coupling Coefficients of Piezoelectric Elements,” IEEE Trans. on Ultrasonics, Ferroelectrics and Frequency Control, Vol. 42, No. 4, July 1995, pp. 630–640. [9] Lowe, M. J. S., “Matrix Techniques for Modeling Ultrasonic Waves in Multilayered Media,” IEEE Trans. on Ultrasonics, Ferroelectrics and Frequency Control, Vol. 42, No. 4, July 1995, pp. 525–541. [10] Adler, E. L., “Matrix Methods Applied to Acoustic Waves in Multilayers,” IEEE Trans. on Ultrasonics, Ferroelectrics and Frequency Control, Vol. 37, No. 6, November 1990, pp. 485–490. [11] Shockley, W., D. R. Curran, and D. A. Koneval, “Energy Trapping and Related Studies of Multiple Electrode Filter Crystals,” IEEE Frequency Control Symp. Proceedings, 1963, pp. 88–126. [12] Shockley, W., D. R. Curran, and D. A. Koneval, “Trapped-Energy Modes in Quartz Filter Crystals,” Journal of the Acoustical Society of America,, No. 41, 1967, pp. 981–993. [13] Milsom, R. F., et al., “Comparison of Mode-Conversion, Energy-Trapping and Lateral Acoustic Coupling in FBAR and SBAR,” 2005 IEEE MTT-S International Microwave Symp. Digest, June 2005, pp. 229–232. [14] Lakin, K. M., et al., “Improved Bulk Wave Resonator Coupling Coefficient for Wide Bandwidth Filters,” Proceedings of IEEE Ultrasonics Symp. 2001, Atlanta, GA, 2001, pp. 827–831. [15] Thalhammer, R., et al., “Ohmic Effects in BAW—Resonators,” Proceedings of MTT-S 2006, San Francisco, CA, pp. 390–393. [16] Marksteiner, S., et al., “Optimization of Acoustic Mirrors for Solidly Mounted BAW Resonators,” Proceedings of IEEE Ultrasonics Symp. 2005, Rotterdam, Netherlands, pp. 329–332. [17] Fattinger, G. G., “Acoustic Wave Phenomena in Multilayered Thin Film Layer Stacks,” Ph.D. Thesis, Johannes Kepler Universität, Linz, 2004. [18] Thalhammer, R., et al., “Spurious Mode Suppression in BAW Resonators,” Proceedings of IEEE Ultrasonics Symp. 2006, Vancouver, Canada, 2006, pp. 456–459. [19] Ruby, R., “Review and Comparison of Bulk Acoustic Wave FBAR, SMR Technology” Proceedings of IEEE Ultrasonics Symp. 2007, New York, 2007, pp. 1029–1040. [20] Kaitila, J., et al., “Spurious Resonance Free Bulk Acoustic Wave Resonators,” Proceedings of IEEE Ultrasonics Symp. 2003, Honolulu, HI, 2003, pp. 84–87. [21] Ruby, R., et al., “Thin Film Bulk Wave Acoustic Resonators (FBAR) for Wireless Applications,” Proceedings of IEEE Ultrasonics Symp. 2001, Atlanta, GA, 2001, pp. 813–821. [22] Kokkonen, K., and T. Pensala, “Laser Interferometric Measurements and Simulations of Waves Transmitted Through the Mirror in Thin Film BAW Resonator,” Proceedings of IEEE Ultrasonics Symp. 2006, Vancouver, Canada, pp. 460–463. [23] Lakin, K. M., K. T. McCarron, and J. F. McDonald, “Temperature Compensated Bulk Acoustic Thin Film Resonators,” Proceedings of IEEE Ultrasonics Symp. 2000, San Juan, Puerto Rico, pp. 855–858.
CHAPTER 4
Design and Fabrication of BAW Devices Robert Aigner and Lueder Elbrecht
4.1
Design Considerations for BAW Devices The design methods for impedance-element-based filters have a long tradition. The theory is covered in Chapter 2. The most significant performance parameters of the resonators constituting filters are described in the section below. The degrees of freedom in designing a BAW filter are significantly less than in SAW because frequency is determined by the layer stack rather than by lithography. Typically only the two discrete frequencies of series and shunt resonators are available in a BAW process, leaving impedance of each individual resonator as the main design instrument. Practical filter design is normally accomplished using behavior-based compact models of resonators which have a size scaling for performance parameters built in. The designer’s main choice is the filter topology and the number of filter stages. A fast circuit simulator with properly set up goal functions will quickly converge to a very satisfactory result for most filters. BAW filter design becomes very simple once a consistent resonator performance has been established. In this section, we will first discuss some fundamental design considerations for BAW devices. 4.1.1
Electromechanical Coupling Coefficient
2 Electromechanical coupling coefficient k eff (as defined in Chapter 3) is a parameter of exceptional importance for the design of BAW components. The width of the fil2 ter passband required for a certain product defines a lower limit for k eff . Insufficient coupling will force the filter designer to use excessive inductance in the ground path of shunt resonators which will harm attenuation in the stopband region tremendously. Unfortunately, ladder filters are unforgiving in terms of coupling. For a PCS transmit filter which requires a bandwidth of 60 MHz at 1,880-MHz center fre2 quency the minimum acceptable value for k eff is 6.3%, but this will leave no manu2 = 6.45% or facturing margins at all. For a high yielding part the goal is to have k eff
higher.
91
92
Design and Fabrication of BAW Devices
4.1.2
Quality Factor
Another important performance parameter is the quality factor Q of the resonator (as defined in Chapters 2 and 5). As superior Q-values are the main selling point for BAW-FBAR over SAW technology, it is extremely important to keep pushing the performance envelope. A first step is to know which type of the loss defines the limit in a certain case. No general answer can be given but the usual suspects are • • • • •
Electrical resistance in electrodes and interconnects; Acoustic leakage in vertical and lateral direction; Substrate losses (parasitic conductivity); Viscous losses (propagation losses) in the layers with high stress and strain; Losses related to surface contamination at the air interfaces.
A lot of progress has been made over the past 5 years to improve the Q-values from less than 1,000 to around 2,500. The dominant loss mechanism in BAW-SMRs used to be leakage of shear wave components through the reflector, which was discovered and fixed in 2002 [1]. After introducing a reflector stack which reflects both longitudinal and shear wavers the Q-values increased from around 700 up to 1,500. A conclusive theory about the true limit of quality factors beyond this point is not available to date. Q-values up to 2,700 have been reported [2]. In order to be able to take full advantage of improved resonator Q-values it is important to reduce losses due to electrical resistance in electrodes and interconnects significantly. 4.1.3
Spurious Modes
Spurious modes refer to narrowband effects causing the impedance and phase of a resonator to deviate from a 1D Mason model or a BVD model around the main resonance. Spurious modes can harm filter performance significantly, and in particular in filters with tight amplitude ripple and group delay ripple specifications. In the case when spurious modes appear as sharp indents (or even an additional loop) in a Smith chart of a resonator most likely lateral standing waves are responsible for the effect. While those cases appear to be the most severe they are often easy to resolve by proper termination of the resonator edge regions (see Chapters 3 and 5). Spurious mode suppression does not only help the smoothness of the filter curves, it will also reduce filter losses as the optimum Q-values coincide with the point of best spurious mode suppression. In other cases the spurious modes are not so obvious, this is when they go along with significant energy losses and are smeared out over a wide-frequency range. In such cases the overall Q-value of the resonator is usually poor. Spurious modes are a dangerous trap for BAW developers. They start showing up as soon as a threshold in Q-value of around 600 has been obtained and typically force the developer to start over with layer stack design.
4.1 Design Considerations for BAW Devices
4.1.4
93
Power Handling
FBAR/BAW devices endure higher power levels better than SAWs mainly because the electrical currents distribute more evenly. There are no narrow IDT fingers like in SAW which are prone to electromigration damage. Even though the minimum feature size of BAW is much larger, the current densities can be enormous. For a BAW at 32-dB transmit power at the upper-passband skirt (worst-case scenario) the following observation has been made: Depending on the electrode materials used, the combined effect of current density and mechanical stress will cause the electrode material to migrate and form rough regions on the resonator surface. The losses of that resonator will increase and so will the temperature of the resonator. As migration effects follow an Arrhenius–type law with temperature, the damage accelerates and the resonator will self-destroy within minutes. The power handling of BAWs is a strong function of the ambient temperature as suggested by the Arrhenius law. It is very important to keep the filter chip as cold as possible; therefore it is necessary to provide a good heat sink. Using a reflector stack which includes metal layers is a significant advantage over dielectric reflector stacks or FBARs. Another key to excellent power handling is to improve the electro- and stress-migration properties of the weakest material involved. Unfortunately, aluminum (which is an excellent electrical conductor) is not as good as denser metals like molybdenum or copper. Significant material research is in progress to find an optimum solution for BAW. The power-handling characteristics of a process should be determined in terms of maximum transmitted and dissipated power per area. The designer of a duplexer needs to keep those numbers in mind and design the filter stages such that those limits are not exceeded in any resonator. If required, the power density of a certain resonator can be lowered by cascading two resonators. Two resonators which are electrically connected in series behave very similar to a single resonator of half the area of one of the two cascaded resonators. As a consequence the power density is reduced by a factor of four. Improvements in power handling can therefore be achieved on cost of chip size. 4.1.5
Temperature Coefficient of Frequency
FBARs are slightly better in terms of temperature drift than conventional SAWs, but not by much. SAWs, based on LiTaO3, have a typical temperature coefficient of frequency (TCF) of −42 ppm/K, while FBARs can achieve around −30 ppm/K on average. BAW-SMRs on the other hand utilize the inverted temperature behavior of (amorphous) SiO2 to obtain partial compensation of the temperature drift of the other materials. The Young’s modulus of SiO2 increases as temperature goes up [3]. In addition to that, the thermal expansion coefficient is very small. A BAW-SMR with a properly designed layer stack will be in a range of −16 to −19 ppm/K. In the most demanding duplexer applications, a low TCF is extremely important as it allows achieving spec-compliance over a wider range of temperatures. Moreover, a low TCF helps to avoid thermal runaway (a situation where self-heating shifts the filter down and losses at the upper-passband edge increase which in turn enhances self-heating, and so on) [4].
94
Design and Fabrication of BAW Devices
It is possible to further improve TCF in SMRs by increasing the SiO2 content and by moving the SiO2 closer to the high-stress regions in the stack. SMRs with essentially zero TCF can be achieved using the methods described in Chapter 3. All of these approaches harm k2eff massively and can only be used for filters and resonators with small fractional bandwidth. 4.1.6
Area Efficiency
At a given frequency and filter topology the size of a BAW-FBAR implementation can vary significantly depending on layer stack and applicable design rules. The most important factors determining area efficiency are: •
•
•
4.1.7
The thickness of the piezolayer at a given frequency. For each electrode material a certain electrode thickness will yield the best performance in k2eff and Q. Depending on the acoustic impedance of the electrode material the piezolayer will vary in thickness. A thinner piezolayer is normally desirable as it reduces the size of the resonators and filter chips. At very high frequencies it can be a disadvantage to reduce resonator size any further. Dead area between resonators. Depending on the design rules there will be a minimum spacing between adjacent resonators. This is defined by processing limitations for the edges of resonators both on FBAR and on BAW-SMR. In BAW-SMR with patterned reflectors it is important to be able to use minimum over-sizing of the reflector relative to the bottom electrode. It is also helpful to be able to process resonators in which bottom and top electrode have essentially the same size. Area consumed by interconnects and packaging. A significant percentage of the actual chip area is consumed by bond-pads, seal-rings, and interconnects between the filter and the output terminals. Often the percentage of active resonator area will be smaller than 50%. The method chosen for packaging/assembly can make a significant difference. While resonators shrink in area according to 1/ f 2 the chip area will not reflect this shrink (because the area wasted to fulfill package design rules does not change). Interconnect Losses and Parasitics
Along the same lines as in area efficiency, the design rules also have an impact on actual filter performance. If design rules require resonators to have a large spacing between each other, the resistance of the leads between those resonators will increase significantly. Moreover the added lead area will increase the parasitic capacity of interconnects and hence the effective coupling of the resonators will decrease. More importantly in a BAW-SMR with patterned reflector the region where the top electrode lead crosses over the extended reflector area creates a significant parasitic capacitance which lowers the k2eff of this particular resonator. The current path in the leads and electrodes of a filter can cause significant current crowding effects. It can be shown that increasing the width of a certain trace will not always have the desired effect of lowering the electrical series resistance because very little current will flow in the added metal area.
4.1 Design Considerations for BAW Devices
95
Another consideration is the current density distribution in the thickness direction. The relative angle of the current density vectors in the top electrode and bottom electrode will determine if the current density is uniform throughout each electrode layer. If currents flow in opposite direction in top and bottom electrodes the current will be pulled towards the piezolayer by magnetic interaction. In a case where a less conductive layer is used facing the piezolayer, the electrode resistance will increase significantly. For this reason the resistive effects of the electrodes can vary significantly as a function of feed-positions for top and bottom electrode. 4.1.8
Robustness
Robustness has a number of aspects relevant to both manufacturing and reliability. It can be categorized into the following areas: •
•
•
Mechanical robustness. Certain processing steps such as spin coating, clean processes, handling, testing, and sawing exert significant stress for structures on the wafer surface. BAW-SMR is inherently more robust than FBAR as there are no structures that can be mechanically damaged. No special precautions have to be taken anywhere in processing or assembly. Environmental robustness. BAW and FBAR devices need to pass the same qualification and test procedures as the semiconductor component they are connected to. Typical consumer products require passing tests in accelerated humidity and temperature conditions. In presence of humidity, the metal in the electrodes will corrode quickly, causing frequency shift and massive degradation of Q-values. Several options exist to prevent the actual device from degrading in these severe conditions. Using the classical SAW-filter package which features a hermetic cavity is one solution. Alternatively, a hermetic seal can be created on wafer-level using a WLP approach with wafer bonding [5]. Finally, for BAW-SMR, the device surface by itself can be passivated in the same way this is done for semiconductor devices. The complication for BAW-SMR is that the passivation layer is part of the acoustic stack and it can therefore not be made as thick as in IC processes. As soon as robustness with regard to humidity is established on a wafer level the creation of an air-cavity can be done in a fairly cheap approach using polymers [6]. Electrostatic discharge (ESD). Both BAW and FBAR devices can achieve excellent robustness with regard to ESD. More than +1-kV ESD HBM (human body model) robustness for a 2-GHz device can be achieved when the process is done well. AlN has a very favorable behavior with regard to electri9 cal breakthrough. High-quality films will show well above 3.0 ⋅ 10 V/m breakthrough strength. ESD damage usually occurs at the edges of a resonator, in particular at spots where AlN growth is imperfect. Any steps that exist directly before the deposition of the piezolayer will potentially create a weak spot. Most critical are the spots where a top electrode lead crosses such a spot. ESD robustness can be enhanced by proper filter design, such as using cascaded series resonators at input and output to reduce the peak voltage across each resonator.
96
Design and Fabrication of BAW Devices
4.1.9
Nonlinearities
The discovery of nonlinear behavior in BAW and FBAR was reported in 2005 [4], after the first problems with BAW-FBAR duplexers had surfaced. While classical cell phone systems had no stringent requirements on linearity this has changed in the third generation of cell phones using WCDMA. Intermodulation of transmit signals with interference signals is a major concern in duplexers for WCDMA. It has long been known that solids exhibit nonlinear stress-strain relationships at high-stress levels [7]. The binding forces of the atoms in a lattice are a strongly nonlinear function of distance. This effect is described by the third-order elastic constants of a material. In addition to that, the piezoelectric constants change as the crystal deforms. It should not come as a total surprise that the elastic constants of a material are modulated by strain generated by large voltage swings at high RF-power levels or by bias voltages. A complete theory about the nonlinear behavior of AlN-based BAW resonators has not yet been published but a few practical tricks exist on how to circumvent the problem. Once more the cascading of two double-sized resonators to replace one resonator in a filter works as it decreases the voltage swing across each resonator by a factor of two. However, this is not possible for all resonators in a filter as it would increase the size of a BAW by a factor of four. Other tricks involve proper termination of harmonics before they can create intermodulation issues. The simplest way to characterize the nonlinearities of a BAW filter is to apply a pure sine wave fo at the input and use a spectrum analyzer at the output to search for harmonics at 2fo and 3fo. A more advanced approach is to apply two tones to the input and analyze the mixing products. For modeling purposes, the characterization of nonlinear behavior should focus on resonator measurements. There are two simple measurements which are well suited to determine nonlinear parameters: 1. Reflected spectrum measurement: One single resonator is connected to an RF source using a directional coupler. The test is performed at frequencies close to the acoustic main resonance with different power levels. The incoming energy provides excitation for the resonators while the reflected energy is fed into a spectrum analyzer. From the harmonics at 2fo and 3fo the IIP2 and IIP3 of a resonator can directly be calculated. 2. Frequency shift by DC bias: The second measurement requires a conventional network analyzer and a (high-voltage) bias T. The S11 of a single resonator is measured in presence of a DC bias voltage across the resonators. The shift of resonance frequency as a function of voltage is caused by stiffening of the piezolayer under bias stress. In equivalence to temperature coefficient TCF we introduce the term “VCF” as a parameter which describes the relative frequency shift in ppm for 1V DC bias. Once measurement data has been collected for resonators of different size it is relatively simple to establish a nonlinear BVD model for a BAW resonator by making capacitance voltage dependent, and the mutual inductance current dependent using a polynomial coefficients. Those components are fairly common in device modeling for active component. Instead of small-signal linear simulation the filter must be simulated using a harmonic balance simulator.
4.2 Fabrication of BAW Devices
4.2
97
Fabrication of BAW Devices It is remarkable that BAW or FBAR used to be a topic of intense R&D, mostly in companies not being main players in surface acoustic wave filters. The schematic cross-section of an FBAR or BAW-SMR device looks very similar to thin-film capacitors or micromachined pressure sensors; things the semiconductor industry has been doing for two decades. So it is no surprise that semiconductor companies have been the first to successfully demonstrate the mass-manufacturing of BAW devices [8, 9]. There are several aspects why BAW manufacturing in a semiconductor fab can make sense: •
•
•
The tools and processes available today for semiconductor device manufacturing have reached a very high level in both process quality (e.g., film thickness uniformity and stability) as well as process cost (e.g., throughput, mean time between failure). The couse of the same equipment for other products besides BAW typically enables lower manufacturing cost due to optimized utilization and shared depreciation. A third motivation could be the option for monolithic integration of microelectronics and bulk acoustic wave devices. This topic is discussed separately in Chapter 9.
4.2.1
Material Selection
The selection of the “right materials” is one of the key elements for fabrication of high-performance BAW devices. “Right” in this case means the optimum selection with regards to electrical properties (e.g., sheet resistance), acoustic properties (e.g., acoustic impedance, material quality factor, temperature behavior, roughness) and other properties (e.g., thermal conductivity, moisture stability). Beside these fundamental material properties, manufacturability (e.g., homogeneity and repeatability of film properties) also is an important selection criterion. We will discuss the materials most commonly used in BAW manufacturing in this section. The acoustic impedance can be calculated from the product of acoustic velocity and mass density (Section 3.2). Figure 4.1 illustrates these two material properties for some typical semiconductor device materials and some other materials for comparison. It should be noted that not all of the shown materials have high acoustic quality factor, especially the “soft” materials with low acoustic velocity typically have also low Q. Metals
For electrode layers the thickness is typically much less than the skin depth at the relevant frequency. As a consequence the electrode resistance is higher than desired. BAW people are facing the dilemma that good acoustic materials are usually lousy conductors while the best conductors have excessive material damping and would create acoustic losses.
98
Design and Fabrication of BAW Devices
Figure 4.1 Acoustic velocity, density, and acoustic impedance (size of the bullets) for various materials. Materials with thick lines are most common materials in integrated circuit fabrication.
•
•
•
•
Aluminum (Al) is typically used in form of aluminum alloys as AlCu, AlSiCu with electrical and mechanical properties very close to pure Al. It has a favorable electrical conductivity (see Chapter 7). However, due to its low acoustic impedance and the subsequently lower achievable bandwidth compared to high acoustic impedance materials such as tungsten and molybdenum, Al is not commonly used as electrode material for BAW devices. Tungsten (W) in contrast to Al has a very high acoustic impedance and is therefore an excellent candidate as part of an acoustic mirror in a SMR-type BAW resonator [1, 10], and as an electrode material (see also Chapter 7). In semiconductor device manufacturing, tungsten is mainly used as via fill in between metal layers. The respective processes (typically CVD deposition) are therefore optimized for good step coverage whereas for a BAW device, optimization for thickness uniformity and residual stress is of higher importance. Copper (Cu) has approximately 1.5 times better conductivity compared to aluminum and is therefore increasingly used for interconnect layers. However, process complexity and process cost are significantly higher than for Al. It requires diffusion barrier layers and equipment dedication to prevent transistor device contamination by copper diffusion into the silicon. The acoustic impedance of Cu is very similar and acoustic Q-value is even worse than aluminum, which makes it also an unfavorable material for BAW electrodes. Titanium (Ti) and Titanium nitride (TiN) are common diffusion barriers and liners for CVD-W layers in IC manufacturing. As Ti has higher resistivity than Al but similar acoustic impedance, it is typically not used in a BAW device for other purposes than these two.
4.2 Fabrication of BAW Devices
•
•
•
99
Tantalum (Ta) is used pure or in form as nitride, silicide, or oxide in semiconductor device manufacturing. Typically, these layers are used as thin diffusion barrier layers (e.g,. for Cu interconnects). Tantalumpentoxide is a high-k dielectric and has been used as part of an acoustic mirror in a SMR-type BAW [11]. Molybdenum (Mo) has an electrical conductivity similar to tungsten, but a slightly lower acoustic impedance. It is therefore also commonly used as electrode material for BAW resonators. It is a reasonable compromise for FBAR 2 but for BAW-SMR it will increases resonator size and will lower the k eff as compared to a tungsten electrode, in particular when used as a bottom electrode. Potential alternatives for electrode materials have been proposed which include iridium [12] and ruthenium [13]. Those materials may be difficult to introduce but they could allow improving electrode resistance somewhat. Little data is available about research on metal alloys showing improved resistance and acoustical parameters but this is a field of great potential.
Semiconductors and Dielectrics •
•
•
•
Silicon (Si) as the most important material of integrated circuits is typically not an essential part of BAW devices. It can be used for micromachining thin membranes either by bulk or surface micromachining [14, 15]; otherwise, it serves just as a carrier substrate for SMR-type BAW devices. In the latter case, high-resistive (undoped) silicon should be used in order to minimize electrical losses. Compared to other substrates it has some proven advantages: Beside cost and availability aspects, silicon is mechanically very robust which eases handling during processing and assembly. Singulation of silicon wafers to individual dies also is a process available at every semiconductor device manufacturer. Silicon oxide (SiO2) actually has multiple interesting properties for BAW devices: It has low acoustic impedance, which makes it a good candidate to be part of an acoustic mirror in SMR-type BAW devices. Also, its temperature behavior is inverted to most other layers [3]: With increasing temperature, SiO2 exhibits an increase of Young’s modulus together with a rather small thermal expansion, which leads to an overall decrease in acoustic delay. It can therefore be used to reduce (or even fully compensate) the temperature dependence of BAW devices. Silicon nitride (SiN) has a similar mass density but higher acoustic velocity than SiO2. However, the difference in acoustic impedance is not large enough to build good acoustic reflectors from a SiN/SiO2 multilayer stack. For membrane-type resonators, SiN layers are sometimes used as supporting membrane [16]. Additionally, like in semiconductor manufacturing, SiN can be used as a passivation layer. Another promising material under evaluation is silicon-oxy-carbide (SiOC, also called silicon carb-oxide). SiOC is a popular material in CMOS where it is used as “low-k” intermetal dielectric to reduce capacitive parasitics. SiOC
100
Design and Fabrication of BAW Devices
•
can be deposited by fairly conventional PE-CVD tools. The tricky thing about SiOC is that its material parameters can vary significantly and are more difficult to control than for SiO2. Acoustic losses in SiOC seem to be significantly higher than in SiO2. A concern is also that SiOC is mechanically less robust and it can introduce roughness which could harm the quality of subsequent layers. Another group of materials with very low acoustic impedance are polymers. Polymers with sufficient temperature stability exist and are under evaluation as acoustic layers. Typically, these polymers are spin coated, baked, and cured at elevated temperatures in order to cross-link all active chains. Polymers exhibit strong material damping and are not well suited to be placed into regions with high mechanical energy density but they can be a good solution for reflector layers deep down in the stack. Another consideration when using polymers is that the acoustic velocity is very low, and as a consequence the layers need to be very thin. Many of the other layers in BAW exhibit large stress which means that the polymer needs to have excellent adhesion to layers below and above or else delamination will be an issue.
Piezoelectric Layers •
From the material perspective, aluminum nitride is the preferred piezo material for integration in a semiconductor fab environment. As discussed in Chapter 7, it can be deposited with high quality on W, Mo, and Al electrodes. It has been so far the only piezoelectric film material that has proven manufacturability in terms of stable and reproducible film quality in high-volume production [5, 17]. There are no contamination concerns. As a positive side effect, AlN is an excellent heat conductor, which helps to improve device reliability at high power levels.
Other Processes Besides Film Deposition •
•
The lithography requirements for BAW devices are less demanding than for most semiconductor devices. The minimum feature size is typically much larger than 1 μm; however, overlay accuracy may be critical in some cases to minimize appearance of unwanted acoustic side-modes. Therefore, the use of a step and repeat system (which costs multiple million dollars each) is preferred over contact lithography systems. Etching processes for BAW need to be developed such that overetch into critical layers is minimized. Regardless if wet or dry etching is used it is important to choose etch processes which are either highly selective or allow use of end-point detection systems in modern dry-etch tools. Very often additional layers have to be introduced to achieve a robust etch stop. It is also important to be cautious with chemical cleaning processes which are very common after any kind of dry-etch to remove residues created at the sidewalls of the etch profiles and photoresist. In many cases the cleaning procedures will show more metal removal than can be tolerated.
4.2 Fabrication of BAW Devices
•
4.2.2
101
As discussed in Chapter 7, chemical mechanical polishing (CMP) to improve surface smoothness and planarity can have positive impact on BAW device performance. CMP processes for polishing tungsten and SiO2 are extensively used in microelectronics fabrication to minimize surface topology. When using these CMP processes for BAW fabrication, particular attention should be given to the prevention of dishing effects in the active resonator stack, as any nonuniformity over the resonator area would degrade the quality of acoustic resonance. Fabrication of SMR Resonators and Filters
In this section we will briefly describe a typical process flow for SMR-type resonators and filters. Starting with a high-resistive substrate, first the mirror is fabricated by deposition of alternating low and high acoustic impedance layers. In the case that metallic layers are used in the acoustic mirror, those have to be patterned in order to minimize parasitic coupling in between adjacent resonators. The construction of such a mirror can either be mesa type [as shown in Figure 4.2(a)] or—for example, by using CMP processes—fully planarized [see Figure 4.2(b)]. Following to the fabrication of the acoustic mirror, the two most critical layers in BAW manufacturing are deposited and patterned: the bottom electrode and the piezolayer. The challenges regarding the bottom electrode are manifold: First, the film microstructure (e.g., roughness) may have significant impact on the quality of the piezolayer deposited on it (see also Chapter 7). Second, the topology before the deposition of the piezolayer should be minimized as piezolayer growth can be disturbed in a way that a fold develops [as indicated in Figure 4.3(a)], which can negatively affect the device performance (e.g., ESD robustness, as discussed in Section 4.1.8). The challenges of depositing high-quality piezolayers are discussed in Chapter 7. In the case that an electrical connection of bottom and top electrode is needed (which is the case for a single resonator, but not mandatory for all filter designs), the piezolayer has to be patterned as well. Subsequently, the top electrode can be deposited and patterned. Figure 4.4 shows the cross-section of a single resonator after completion of these process steps. The figures do not include the “detuning layer,” which is needed in a filter device to put the shunt resonators to a lower frequency than the series resonators. This layer is typically placed either below the bottom electrode or on top of the top electrode. Also not included is the patterning of border rings at the edge of the reso-
(a)
(b)
Figure 4.2 Cross-section of an acoustic mirror having two metallic layers (e.g., W) with high acoustic impedance and three dielectric layers (e.g., SiO2) having low acoustic impedance. (a) Mesa configuration, and (b) planarized configuration.
102
Design and Fabrication of BAW Devices
(a)
(b)
Figure 4.3 Cross-section of a SMR-type resonator after processing of the bottom electrode and deposition of the piezolayer. (a) Mesa configuration without bottom electrode planarization, and (b) planarized configuration.
(a)
(b)
Figure 4.4 Cross-section of a SMR-type resonator after processing of the top electrode. (a) Mesa configuration without bottom electrode planarization, and (b) planarized configuration.
nators in order to suppress unwanted acoustic modes (see Section 3.3.3). Other layers that may be used are passivation layers on top of the upper electrode as well as additional metallization layers for pads or interconnects. 4.2.3
Fabrication Tolerances and Trimming
One of the major yield loss contributors in BAW manufacturing is frequency position of the devices. As an example, for a PCS RX band filter at 1.96 GHz, the frequency position must be met by better than 0.1% (see Figure 4.5). Already 20 MHz below the lowest passband frequency, the filter has to provide high attenuation in the associated TX band. Adding ∼3 MHz of margin to all specifications to accommodate for temperature drift, a filter with a typical roll-off steepness of ∼10 MHz between passband (e.g., 3 dB) and stopband (e.g., 50 dB) has only ± 2MHz of space left for fabrication tolerance. The frequency position of BAW filter is determined by thicknesses of all “acoustically active” layers (piezolayer, electrodes). Assuming constant acoustic velocity and density, the thickness accuracy and uniformity requirements of these layers also have to be in the same order of 0.1%. This is actually two orders of magnitude more demanding of what is typically needed in semiconductor device manufacturing: Integrated circuit processes typically allow for ±10% maximum variation in film thickness for metal or dielectric layers with a typical 1σ variation of 2% (this corresponds to a process capability index cpk of 1.7).
4.2 Fabrication of BAW Devices
103
Transmission
Filter roll-off Temperature margin stopband Temperature margin passband
Manufacturing tolerance
Frequency
Figure 4.5
Illustration of margins for a PCS band RX filter.
As illustrated by Figure 4.6, this would mean that just by using deposition processes with film thickness accuracy as typically used for semiconductor device fabrication, the BAW device yield would be 5% best case, typically much lower. Even if the deposition processes are improved to a level of 1σ = 0.5%, the maximum yield would be limited to less than 20% best case. So in order to achieve a reasonable BAW yield, there is no way around some kind of trimming, which compensates film thickness variations (and acoustic velocity or density variations) by either local etching or deposition. Figure 4.7 shows an example for a local frequency distribution over a single wafer and Figure 4.8 shows a typical histogram for the frequency distribution. Some of the characteristics shown in this plot are typical for a layer stack deposited in a semiconductor fab: •
The frequency pattern has significant rotation symmetric components as the majority of deposition equipment has rotation symmetric reaction chamber geometry.
1850
1900
1950
2000
2050
2100
2150
Figure 4.6 Frequency distribution for a 2-GHz BAW product assuming a 1 = 2% film thickness accuracy. The two vertical lines in the center of the figure indicate the 4 MHz target window.
104
Design and Fabrication of BAW Devices
Figure 4.7 Example plot of frequency variation on a single wafer after deposition of all BAW layers. The small dots indicate the sample positions.
0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 1800
1850
1900
1950
f S /MHz
Figure 4.8
Histogram of frequency on a single untrimmed BAW wafer.
•
Highest gradients in frequency are generated at the wafer edge. The layer thickness of all layers typically gets very thin due to geometrical conditions during deposition (e.g., clamp-rings or field discontinuities at the wafer edge).
•
Compared to the die size of ∼1 mm, the frequency variations are rather long-range effects. It is not necessary to trim with single-die-accuracy (trimming of each individual die would be very expensive).
Ion milling has a long tradition in trimming quartz crystals and enables achieving a tight frequency tolerance. In this process argon is ionized and accelerated in an electric field to an energy of 400 to 1,500 eV. The Ar+ ions hit the surface and knock out material from it, an effect that resembles sandblasting, but on the atomic level. The ion milling process requires components to be in a vacuum chamber. Pure physical etching with ion bombardment is applicable to all kinds of thin films, even mate-
4.2 Fabrication of BAW Devices
105
rials which are hard to etch with dry chemistry (Cu) or chemically inert (Pt, Au). In principle, such a process would work for singulated BAW devices, but it would take an excessive amount of time to handle and trim the multiple thousands of chips that fit individually on a wafer. This approach disqualifies ion milling from consideration as a tool for volume production. A process suitable to compensate frequency nonuniformities, as described above, is local etching by a scanned ion beam [18, 19]. The basic principle of this trimming method is illustrated in Figures 4.9–4.12. A narrow ion beam with a Gaussian intensity profile is scanned along the surface with controlled speed and will remove the desired amount of material at each location. In order to utilize this concept for semiconductor processing the tool must be fully automated with a robotic handling system and a high-performance x-y scanning table carrying a cooled wafer chuck. The ion beam source must include a neutralizer to avoid charge accumulation at the wafer surface. The full width at half maximum (FWHM) of the Gaussian beam is in a range of 10 to 15 mm. The scan pattern is usually a meander with a line-to-line distance of 2 to 6 mm. The ion beam diameter is small enough to correct the thickness gradients which typically occur on a length scale of a few millimeters, but it is much larger then one BAW device. The trimming process works “region by region” rather then “device by device” (as in laser trimming), which is an important advantage for throughput. The local removal rate is controlled by the time the ion beam stays at certain positions on the wafer. The removal profile for each wafer is then described by a velocity profile which is calculated specifically for each wafer for a known etch profile of the ion beam. In a relatively uniform layer with a smooth profile exhibiting small local gradients, the error map and the residence time map look virtually identical. The only limitation for such a case is the maximum velocity at which the scanning system can travel because it determines the minimum removal. The situation changes dramatically for error maps with strong gradients. The deconvolution roughens the velocity map severely. The accelerations required to accommodate the resulting velocity profile can be brutal, in fact a narrow beam is useless if the acceleration limits the ability to make steep gradients. For a given etch rate and beam size the maximum
Ion beam
Scanning path
Figure 4.9
Principle of local trimming by a scanned ion beam.
106
Design and Fabrication of BAW Devices
Figure 4.10 Test profile for localized processing. Minimum removal is 5 nm, maximum removal is 45 nm. Minima and Maxima occur at 20-mm distance from each other. This profile covers beam size, acceleration of scanning system, maximum velocity of scanning system, and errors in beam center position.
scan velocity and acceleration determines whether a certain thickness error can be corrected at all. The velocity limitation can be circumvented by lowering the etch rate or by adding more offset to the deposited thickness and then remove more material. In both cases the throughput of the system will plunge. The other thing to keep in mind is that the method relies on a stable etch rate and beam shape. Any error in etch rate or beam shape will compromise the results, even more so if more material has to be removed. Depending on accuracy requirements and throughput considerations one layer (typically the uppermost passivation layer) or multiple layers can be trimmed. As mentioned before, ion beam etching is able to affect virtually every material. However, some prominent materials used in integrated circuit production (such as aluminum) are more difficult to deal with than others. Like most metals (with the exception of the noble metals), aluminum has a tendency to grow a native oxide layer when exposed to air, the thickness of which depends on exposure time, humidity, and temperature. Unfortunately the ion beam etch rates of Al2O3 are a factor five times lower than those of pure aluminum. As a consequence, when etching Al and AlCu alloy thin films the removal is initially slow but speeds up tremendously when the native oxide is gone. This problem makes it very difficult to obtain accurate removal. In contrast to aluminum, the thickness correction in tungsten and molybdenum works very well despite the existence of native oxide on those metals. This is because the removal rates of the native oxides match those of the bulk metal very well. Dielectric layers do not exhibit this problem at all. It is therefore beneficial to
4.2 Fabrication of BAW Devices
107
Figure 4.11 Deviation of measured removal from planned removal (clusters of dots), lines indicate ±4-nm error limits.
Figure 4.12
Histogram of thickness error after processing for the profile shown in Figure 4.10.
have a thin SiN (silicon nitride SixNy) layer on top of the final resonator just for the purpose of accurate trimming. The sensitivity of a SiN layer at the top of the structure is small. On the positive side this enables very accurate trimming, but on the negative side the trimming range is very limited.
108
Design and Fabrication of BAW Devices
Ion beam etching is compatible with conventional photo-resist on wafers used in acoustic wave device manufacture. This makes it possible to do lithography-defined trimming of certain groups of BAW resonators, which is very important for bandwidth adjustments in these devices. For the generation of the removal profiles, map data of frequency and film thickness has to be available for each wafer. Even though the general concept of feed-forward control of manufacturing processes (“run-to-run control”) is actually implemented in many semiconductor fabs, the amount of data collected during BAW manufacturing to enable accurate trimming is typically much higher. For cost-effective operation, fully automated data collection and trimming for each single wafer is required. 4.2.4
Process Controls
One apparent difference between BAW and semiconductor device processing is the difference in yield loss mechanisms. Whereas in integrated circuit manufacturing constancy in lateral dimensions and defect density are most relevant yield contributors, BAW yield is mainly defined by vertical dimensions (film thickness), and properties related to the acoustic resonance (coupling coefficient of piezolayer, acoustic quality factors). The methods of film thickness characterization are reviewed in Chapter 8. The selection of the right test method may in some cases be different for semiconductor and BAW devices due to the different nature of relevant material parameters (e.g., “electrical thickness” for integrated circuits versus “acoustic thickness” for BAW resonators). While thickness uniformity, as it concerns frequency tolerance is somewhat overemphasized, the severity of the other aspects is often underestimated. At this point it is worth mentioning that many of the methods used to measure and map thickness are indirect and need very careful calibration. For transparent layers the standard tool is an optical spectrometer or ellipsometer. It measures thickness very accurately if refractive index and the optical properties of the layers throughout the stack are well known. However a change in “optical length” is not necessarily related to acoustical length. Other parameters like resulting frequency position of shunt and series resonator, piezoelectric coupling, as well as quality factor of the acoustic resonances are ideally monitored with respective single resonator test structures (see Section 8.5). This data can be handled similar to the process control monitor (PCM) test structures in semiconductor manufacturing.
4.3
Application Space for BAW-FBAR Technology 4.3.1
RF Filters and Duplexers
Wireless broadband communication has gained tremendous popularity. However, allocated frequency spectrum is limited, and the most favorable frequencies are occupied by cell phone bands, by governmental agencies, or by unlicensed bands with restricted transmission range. Whenever new applications are conceived they
4.3 Application Space for BAW-FBAR Technology
109
are forced to deploy relying on less favorable frequency bands that have noisy or “oversensitive” neighbors—sometimes both. The commercial viability of these new bands depends at least in part on the equipment makers’ ability to solve neighborhood problems. RF filters play a key role in minimizing interference between systems operating in different bands. The selectivity of the RF filter determines how big a portion of the total bandwidth will be used—“wasted” in a real sense—for guard bands. The selectivity—respectively, the steepness of the filter skirts—is closely related to inherent losses in the reactance elements of an RF filter. Practical RF filters also show a shift of center frequency as a function of temperature, which complicates the design process. RF filters traditionally used for cell phone applications based on SAW technology. The selectivity of SAW filters is good for a band at 1 GHz but degrades when the band is located closer to the upper limit of 2.5 GHz. Temperature drift is also a concern. Before the advent of BAW-FBAR the only available solutions for broadband communication systems above 2 GHz were dielectric filters, waveguide filters, and LC filters. Dielectric and waveguide filters are based on electromagnetic waves and/or integrated inductor and capacitor combinations. In “pure” electrical LC filters the major losses are related to ohmic resistance, skin effect, and eddy currents in the metal conductors. Another issue related to overall usefulness is the quality of inductors, which is generally below 50 in the frequency range above 2 GHz, making it impossible to provide the required selectivity. Filters based on wave phenomena show significantly less losses than filters based on lumped LC elements. "The Rediscovery of Slowness”
In a fast-paced industry, it is counterintuitive to settle for something less than maximum speed. However, for RF filters based on wave phenomena it is a huge advantage to use waves with a slow velocity. Wavelength is proportional to velocity and inversely proportional to frequency. The only alternative to filters employing electromagnetic waves are those using acoustic waves in solid materials—the foundation of acoustic filter design.
Figure 4.13
Illustration of RF filter performance characteristics.
110
Design and Fabrication of BAW Devices Table 4.1
Comparison of Wave Velocity Wave Velocity
Wavelength at 2 GHz
Electromagnetic wave in air
300 million m/s
150 mm
Electromagnetic wave in dielectric material εrel = 100
30 million m/s
15 mm
Acoustic wave in solid material 4,000 m/s to 12,000 m/s 2 μm to 6 μm
The volume of a material comprising the physical structure of a SAW or BAW filter required to confine an acoustic wave is approximately a factor of 105 smaller than for an electromagnetic wave (Table 4.1). Acoustic waves store and carry energy very efficiently and with extremely low losses. As a result acoustic filters can be tiny in size and exhibit very low losses. Surface Acoustic Wave and Bulk Acoustic Wave Filters
SAW filters are the dominant technology for RF front-end filters and duplexers in almost all wireless phone frequencies. In terms of insertion loss, skirt steepness and relative bandwidth SAW filters exactly match the requirements of traditional cell phone systems. This is not a coincidence; it is the consequence of defining the cell phone standards such that commercially viable filter technologies would be available to fulfill anticipated requirements. It is highly desirable to utilize the available frequency spectrum more efficiently. In order to increase useable bandwidth the part of the spectrum wasted by guard bands has to be minimized (see Figure 4.13). The minimum width of a guard band is determined by the steepness of the filter’s skirts. SAW technology serves classic cell phone applications (all four GSM bands and all CDMA bands except the US-PCS band) very well. The manufactured volume of SAW filters exceeded 5 billion units in 2007. SAW technology is very mature and every aspect of the manufacturing process is optimized to achieve aggressive cost targets. The US-PCS band with its narrow transition range of 20 MHz between transmit (Tx) and receive (Rx) bands provides challenges which are difficult to overcome with conventional SAW technology. The two flavors of BAW (BAW-SMR and FBAR) have successfully filled this void in recent years, granting them a place in the wireless phone market. Deciding which filter technology is right for a certain application is usually a balancing act between performance, size, and cost. In terms of performance, there are several disciplines in which technologies compete: •
•
•
•
•
Maximum achievable filter bandwidth as a percentage of the center frequency (relative bandwidth). Insertion loss in the passband (in particular at the edges of the passband) and steepness of the filter skirts. Temperature dependency of the filter characteristics: temperature coefficient of frequency (TCF). Flexibility in port impedance and port configuration, for example, single-ended input, differential output. Power-handling capability and ESD robustness.
4.3 Application Space for BAW-FBAR Technology
111
In the categories of relative bandwidth and flexibility to accommodate different port configurations, SAW technology is clearly the winner at frequencies up to 2 GHz. Different bandwidth requirements are accommodated in SAW designs by choosing a suitable piezomaterial with a certain crystal-cut angle in the raw wafers. Choices range from very low-bandwidth materials, for example quartz and langasite, to medium-bandwidth materials such as lithium tantalate. A typical high-bandwidth material is lithium niobate. As a general rule, the higher bandwidth materials show larger temperature dependency and higher losses. SAWs have an inherent advantage when it comes to impedance conversion and arbitrary port configuration because these are determined by the transducer mask layout and do not require more complex processing. SAWs also have the ability to include a “balun” function, which can be used to create a differential output signal from a single-ended filter input: a widely used and practical advantage. For SAW it is possible to integrate filters and duplexers for different bands on one chip with little or no additional processing effort. SAW technology approaches practical limits at 2.5 GHz because the requirements for line width and gap dimensions in the transducers call for less than 0.25-micrometer lithography resolution. Manufacturing such a structure requires efforts and investments that are commercially difficult to justify. SAWs with a relative bandwidth of larger than 0.5% show a significant temperature dependency. For example: the most widely used SAW substrate material is lithium tantalate, which will exhibit a TCF on the order of −45 ppm/°C. The resulting frequency shift at −30°C and at +85°C must be accounted for by adding temperature margins to the filter characteristics. Filters in the transmit path are challenged by power handling requirements. The current densities in the tiny metal fingers are significant and coincide with mechanical stress. This gives rise to metal migration effects in the fingers which will destroy the device over time. A carefully designed SAW filter for 2 GHz will have a mean time to failure (MTF) of >10,000 hours for continuous 1W (30 dBm) input power at +55°C ambient temperature. Higher levels of power or higher operating temperatures are very difficult to accommodate. The BAW principle has inherent advantages with regard to losses. Acoustic energy density is very high in BAW designs and the waves are very well trapped. The quality factors (Q-value) that can be achieved with BAW resonators are superior to any other technology suitable for the GHz range. Q-values of 2,000 at 2 GHz represent the state-of-the-art for FBARs and SMR-BAWs. As a result of the high Q-values the filter skirts will be very steep while the insertion loss remains low even at the edges of the passband. This is a key advantage for duplexers in the US-PCS band and the main reason FBAR and BAW were able to conquer a large market share in this particular application. There are no tiny electrode fingers in a BAW resonator and therefore the limit for power handling is defined by exceeding a temperature limit rather than by electromigration effects. The long-term power durability can be pushed up to 4W (36 dBm) at 2 GHz with moderate effort. With regard to ESD robustness a BAW device is by far superior. BAW-SMRs also have significantly less temperature dependency and exhibit particularly favorable TCF compared to SAW, typically −20 ppm/°C. All this having been established and proven in the marketplace, the most important advantage of BAW-SMR is the fact that frequencies up to 6 GHz can be addressed without running into practical manufacturing limits.
112
Design and Fabrication of BAW Devices
The thickness of the layers to be deposited scale with 1/f while the size of a BAW resonator scales with 1/f 2. Both parameters make it favorable to use BAW at high frequencies, but conversely, make it hard to compete at low frequencies with SAW products. BAW-SMR and FBAR require a complex manufacturing process with a factor of 10 more processing steps than SAW. Even though material costs for both filter types are about the same, and even though BAW-SMR/FBAR are manufactured on larger wafer sizes (SAW on 100 mm, BAW on 150 mm or 200 mm), the inherent cost per filter is much higher than for a SAW. As of today the only thin film piezomaterial with proven manufacturability is aluminum nitride (AlN). The piezoelectric effect in AlN is relatively weak and as a consequence the relative bandwidth of FBAR and BAW-SMR is limited to about 4%. This is just enough to handle most of the cell phone applications well, but it is too little for certain broadband wireless applications such as WLAN or WiMAX where the passband can have up to 15% relative bandwidth. The other significant limitation of the current generation of FBAR and BAW-SMR is the lack of flexibility to transform impedance or to provide a built in balun function. Another disadvantage is that, while in theory it is possible to build monolithic BAW devices (which would cover more than one frequency band on a single chip), such an implementation is prohibited by practical and commercial reasons. While the active area of a SAW filter is slightly larger than a BAW between 1 and 2 GHz, a SAW solution for multiband applications typically recovers the lost space by using monolithic integration. Both SAW and BAW have specific strengths and weaknesses. For the most part they complement each other. The number of applications in which they compete against one another is very limited. It appears that any controversy regarding whether SAW or BAW will dominate the filter market has ceased since major SAW players have acquired BAW capabilities. It is relatively simple to map out the application space for SAW and BAW for near-term opportunities (Figure 4.14): BAW will expand the ability to serve high frequency and power applications through its ability to satisfy the requirements of high-performance filters. In summary it can be stated that BAW or FBAR is well positioned to dominate high-performance applications in the frequency range above 2 GHz, in particular applications which are less cost sensitive. Due to inherent cost advantages SAW will unlikely lose market share in applications they currently serve. 4.3.2
Oscillators
An application in which BAW or FBAR has a potential to play a role in the near future is in low-phase-noise oscillators and related clock and timing circuitry for which frequencies above 2 GHz are desired. The key advantage is that high Q-values can be maintained up to 5 GHz and higher. This will eliminate the frequency doublers and phase-locked-loops (PLL) used in many systems requiring frequency references at >2 GHz. Remarkable temperature stability can be obtained by introducing SiO2 layers between one of the electrodes and the piezolayer (as described in Section 2.4). This method reduces the relative frequency spacing between resonance and antiresonance which is acceptable for oscillators. BAW or FBAR resonators can be electrically tuned to a specified frequency using a varactor diode in the same way
4.3 Application Space for BAW-FBAR Technology
Figure 4.14 sated SAW.
113
Application space for RF filters. TC-SAW is an abbreviation for temperature compen-
quartz crystals are “pulled.” The tuning range will typically be less than 1% in frequency or else the oscillator noise performance will degrade massively. An alternative method of tuning can be accomplished by the inherent second-order nonlinearity of the piezo material. In presence of a DC-bias voltage the resonance frequency will change with a coefficient of around 20 ppm/V in a typical 2-GHz resonator with 1.3-μm-thick piezolayer [4]. The tuning effect achievable with this method is small but as it avoids using varactors there is no degradation of phase-noise performance. 4.3.3
Sensors
Chemical/Biological Sensors
BAW or FBAR devices have been studied as mass-sensing elements in applications ranging from chemical sensors to biological/DNA sensors [20]. The devices will have to be coated with a layer that allows the substance to be detected to dock onto the surface. In theory an increase in mass loading on the top surface of a BAW or FBAR will decrease the resonance frequency of the device. The frequency shift (Hz) observed for a certain mass load [kg/m2] increases proportional to the resonance frequency squared. Using a 2-GHz BAW device instead of the classical 10-MHz quartz crystal will increase signal strength tremendously and improve signal-to-noise ratio. In practical applications there are several obstacles which make it hard to gain as much sensitivity as predicted. Most importantly all chemical and biological sensors need a special coating which has a finite thickness. While this coating can be treated as a pure mass load in a 10-MHz quartz, it has to be considered as a delay line in a high-frequency BAW. Hence the coating thickness will modulate the sensitivity for mass loading. Another obstacle is that many biological sensors must be operated in
114
Design and Fabrication of BAW Devices
a liquid environment. As BAW or FBAR is normally operated in longitudinal (thickness extensional) mode significant acoustic energy will be radiated into the fluid covering the resonator. This corresponds to a tremendous drop in Q-values, for example from Q = 2,000 in air to around Q = 50 in deionized water. One approach to circumvent this issue is the attempt to build a BAW which works in a thickness shear mode [21]. The c-axis of the piezolayer is intentionally tilted by as much as 30° from the vertical to enable a significant coupling to the shear wave resonance of the layer stack. Shear waves do not propagate in fluids and thus the losses associated with acoustic radiation should be much lower. It is unclear at this point in time if BAW or FBAR will offer significant advantages over other principles of chemical and biological sensors. Deformation Sensors
Other potential sensor applications of a BAW include the sensing of deformations, for example in the membrane of a pressure sensor. The acoustic velocity of silicon or other structural materials will be slightly modulated by quasi-static stress and strain. By choosing the strained part of a structure to be in the acoustic travel path of a BAW resonator, a frequency change will occur which is proportional to the deformation in the structural material. The largest effect can be obtained if the structural material is a long delay line in an overmoded BAW resonator. Reading out frequency as a sensing signal instead of capacity or resistance for a pressure sensor can be an advantage for wireless remote sensing, for example in tire-pressure monitoring. Inertial Sensors
A more exotic application of BAW is in gyroscopic inertial sensors. The principle is based on wave conversion occurring due to Coriolis force in rotating inertial systems. Imagine a BAW vibrating in a pure thickness extensional (TE) mode. If the whole device now rotates around an axis which is parallel to the chip surface the Coriolis force will induce a polarized shear wave which will propagate through the layer stack. It is relatively simple to isolate this shear wave from the longitudinal wave by using a reflector stack which is highly reflective for the longitudinal wave, and at the same time transparent for the shear wave, and vice versa. It is thus possible to trap the longitudinal and the induced shear wave in a different parts of the layer stack. A second resonator which is optimized to detect the shear wave can be used to extract the rotation rate. To this point there is no experimental proof that sufficient sensitivity can be achieved. In comparison to MEMS gyroscopes which have tiny vibrating masses it is clear that the vibration amplitudes in a BAW is smaller by a factor of 103, but on the other side the vibration frequency can be larger by a factor 104. As a consequence, the velocity of any given mass point is potentially larger in a BAW than it is in a MEMS gyroscope, hence the Coriolis force should be at least as high as in the MEMS case. The advantage of a SMR-type BAW gyroscope over a MEMS gyroscope is that the BAW is potentially much smaller and it is practically unbreakable; it will withstand the worst mechanical shocks without damage.
4.3 Application Space for BAW-FBAR Technology
115
References [1] Marksteiner, S., et al., “Optimization of Acoustic Mirrors for Solidly Mounted BAW Resonators,” Proc. IEEE Ultrasonics Symposium, 2005, pp. 329–332. [2] Aigner, R., “Bringing BAW Technology into Volume Production: The Ten Commandments and the Seven Deadly Sins,” Proceedings of International Chiba Symposium on Acoustic Wave Devices, Chiba, Japan, March 2007. [3] National Institute of Standards (NIST) ceramic database, http://www.ceramics.nist.gov/srd/summary/emodox00.htm. [4] Aigner, R., et al., “Behavior of BAW Devices at High Power Levels,” Proceedings of IEEE IMS-MTT-S 2005, Long Beach, CA, 2005. [5] Ruby, R., et al., “Ultra-Miniature High-Q Filters and Duplexers Using FBAR Technology,” Proc. Solid-State Circuits Conference, 2001, pp. 120–121. [6] Franosch, M., et al., “Wafer-Level-Process Using Photo-Epoxy to Create Air-Cavities for Bulk-Acoustic-Wave RF-Filters,” Proceedings of IMAPS 2004 Conference, Long Beach, CA, November 2004. [7] Mason, W. P., Physical Acoustics, Vol. III, part A, New York: Academic Press, 1966, p. 196. [8] Bradley, P., et al., “A Film Bulk Acoustic Resonator (FBAR) Duplexer for USPCS Handset Applications,” Proc. IEEE MTT Symposium 2001, 2001, pp. 367–370. [9] Aigner, R., et al., “Advancement of MEMS into RF-Filter Applications,” Digest International Electron Devices Meeting IEDM, 2002, pp. 897–900. [10] Lakin, K., G. Kline, and K. McCarron, “High-Q Microwave Acoustic Resonators and Filters,” IEEE Trans. on Microwave Theory and Techniques, Vol. 41, No. 12, 1993, pp. 1517–1520. [11] Smolders, A., et al., “BAW Devices and Integration into System-in-Package (SiP),” Proc. 3rd International Symposium on Acoustic Wave Devices for Future Mobile Communication Systems, Chiba, 2007. [12] Iborra, E., et al., “Aluminum Nitride Bulk Acoustic Wave Devices with Iridium Bottom Electrodes,” Proceedings of IEEE Ultrasonics Symposium 2007, New York, October 28–31, 2007. [13] Ueda, M., et al., “High-Q Resonators using FBAR/SAW Technology and Their Applications,” Proceedings of IEEE IMS-MTT-S 2005, Long Beach, CA, 2005. [14] Madou ,M., Fundamentals of Microfabrication, Boca Raton, FL: CRC Press, 1997. [15] Ruby, R., and P. Merchant, “Micromachined Thin Film Bulk Acoustic Resonators,” Proc. IEEE Symposium on Frequency Control, 1994, pp. 135–138. [16] Dubois, M.-A., et al., “Above-IC Integration of BAW Resonators and Filters for Communication Applications,” Proc. 3rd International Symposium on Acoustic Wave Devices for Future Mobile Communication Systems, Chiba, Japan, 2007. [17] Aigner, R., et al., “Bulk-Acoustic-Wave Filters: Performance Optimization and Volume Manufacturing,” Proc. IEEE MTT-S International Microwave Symposium, 2003, pp. 2001–2004. [18] Zeuner, M., M. Nestler, and D. Roth, “Ultra-Precise Wafer Trimming Technology,” EuroAsia Semiconductor, June 2007, pp. 17–22. [19] European Patent EP1390559B1. [20] Brederlow, R., et al., “Biochemical Sensor Based on Bulk Acostic Resonators,” Electron Devices Meeting, 2003, Technical Digest, December 8–10, 2003, pp. 32.7.1–32.7.3. [21] Bjurstr, J., G. Wingqvist, and I. Katardjiev, “Synthesis of Textured Thin Piezoelectric AlN Films with a Nonzero C-Axis Mean Tilt for the Fabrication of Shear Mode Resonators,” Proceedings of IEEE Ultrasonics Symposium, 2005.
CHAPTER 5
FBAR Resonators and Filters Richard Ruby
5.1
Introduction 5.1.1
Short History of FBAR
Free-standing membrane film bulk acoustic resonator (FBAR) was first demonstrated in 1980 by Grudkowski et al., at United Technologies and independently that same year by Nakamura, et al., at Tohoku University Japan [1, 2]. Throughout the 1980s, research occurred in universities and commercial and government laboratories around the world. In 1982, a visionary paper given by Lakin et al. of TFR [3], described the future potential of BAW (and FBAR) resonators). In particular, the paper emphasized the size. Size and performance (as we learned much later), are crucial for cell phone applications and are the enabling technologies that allowed both the shrinking of the early mobile handsets, while allowing more components and functionality into the slimmer phones. Besides work at small start-ups such as TFR, Inc., major research firms such as Westinghouse [4, 5] made solid contributions to the advancement of BAW filters. Out of that effort an early and classic book on FBAR was written by Rosenbaum in 1988 [6]. The piezoelectric material, zinc oxide, was the material of choice in the early years of BAW research. One could sputter ZnO and have a reasonable chance of having a film with piezoelectric properties. Sputtered aluminum nitride (AlN) was introduced as an alternative piezoelectric by Wang and Lakin [7] in 1981. AlN, in contrast to ZnO, was not easy to sputter and more often than not, sputtered AlN films were only weakly piezoelectric. However, the attractive aspect of AlN was the ease with which it could (if made to work) be integrated into an IC facility. Zinc oxide has issues with volatility and contamination, a serious issue for any IC facility. In 1993, research was started on FBAR at Hewlett Packard Laboratories. Up until that time, there was a strong perception that ordered ZnO (or AlN) could only be accomplished by depositing it on an ordered substrate. Typical choices for electrodes were gold or aluminum. At HP, we opted to focus on molybdenum and tungsten as electrode choices, and AlN for the piezoelectric [8]. Tungsten proved to be too difficult to deposit as a low-stress film (due to tool limitations); however, very good success in depositing low-stress electrodes was obtained using sputtered Mo.
117
118
FBAR Resonators and Filters
One important property about any choice of materials for the acoustic stack is that there needs to be good etch selectivity between piezoelectric and electrode material—an important issue when patterning and etching each layer in the acoustic stack. The combination of Mo with AlN turned out to be a very good pairing of materials. Besides being able to deposit Mo as low-stress films, Mo, itself, has excellent acoustic properties including very high acoustic Q ( much higher than Al or Au). Early on, HP focused on bulk micromachining, etching silicon from the backside using tri-methyl ammonium hydroxide (TMAH) [9]. Figure 5.1(a) is a micrograph taken of the first working FBAR resonator at HP Labs (photo taken from the backside). The meandering trace forms a microheater that can be used to “tune” FBAR frequency. Although results were obtained as early as October 1993, it was clear that this was never going to be a manufacturable process. This realization led to a process based on surface machining. The first “surface machining” approach was to put down a sacrificial layer of phosphorous silica glass (PSG), etch holes (vias) into the film, and then deposit tungsten vias. The vias (also acting as support structures to hold the acoustic membrane above the surface), was accomplished by polishing the W back to the PSG surface. From here, deposition and patterning of the Mo/AlN/Mo acoustic stack with pads was relatively straight forward. The PSG had the very elegant property of etching very quickly in the presence of dilute HF, creating the necessary air/crystal interface on both sides. The first working device using this technology is shown in Figure 5.1(b). In 1995, the process migrated to forming a depression or “swimming pool” into the silicon and back filling with PSG. Then, the excess PSG on the surface was removed by chemical mechanical polishing (CMP) the PSG to the silicon surface. This has since been the preferred method of creating an air/crystal interface on the underside of the acoustic stack. Figure 5.2 shows a cut-away photo of a stacked FBAR—where two resonators were fabricated one on top of the other. This photo clearly shows the swimming pool and a free-standing membrane spanning the swim-
(a)
(b)
Figure 5.1 (a) SEM micrograph of bulk micromachined FBAR (circa 1993). The meandering lines were used to tune FBAR frequency with on-chip heaters. (b) SEM micrograph of an “air bridge” FBAR resonator (circa 1994).
5.1 Introduction
119
Figure 5.2 Membrane spanning an etched silicon pit. The first such devices were SBAR- (or SCF) type BAW devices (stacked bulk acoustic resonators).
ming pool. In the early days, hot KOH was used to etch the swimming pool, thus leaving the tell-tale 54.7o angle in the silicon. It should be noted that early research on FBAR at HP Labs was not always well supported. The reason for this had to do with the simple fact that SAW technology was in high-volume production, was relatively small size, and most important, a “one mask” process. Furthermore, performance of early FBAR devices was not anywhere as good as SAW devices made at that time. The reality was that from 1997 thru early 1999, the FBAR team struggled to exist and find justification for continuing work. Highlights (in between lowlights of having the project being nearly cancelled several times) included demonstration of a 5.2-GHz filter (Figure 5.3) just days before Christmas of 1997. These filter parts actually had a passband, skirts with a reasonable shape factor and good rejection outside the passband. It was also at this time that “apodization” was shown to conclusively improve parasitic lateral modes [10]. Apodization (the use of non-Manhattan geometries for resonator shapes) reduces the ripple in the bandpass of the filter, giving a smoother passband response than filters using Manhattan geometries for the resonator shape. Apodization is discussed in greater detail later in this chapter. Without a strong value proposition, the project was constantly in danger of being shut down. In 1998, a value proposition was articulated—a duplexer for cell phones. At first, making a duplexer seemed impossible, of all the filters in the cell phone, the specifications for the duplexer were by far the most stringent. However, by late 1998, we not only made a duplexer, but had wired one into a working phone and used it to make phone calls to upper management. 5.1.2
The Duplexer
The duplexer was for FBAR the “killer app.” The value proposition is easy to understand. FBAR technology eliminated a large ceramic duplexer in a PCS CDMA cell phone. When samples of early FBAR duplexers were shown to customers, their
120
FBAR Resonators and Filters
Figure 5.3 Micrograph superimposing two 5-GHz filters with and without apodization (vertical axes 5-dB per division; horizontal axes 50-MHz per division; span: 5.0 to 5.5 GHz).
enthusiasm was strong. The dramatic size reduction had clear value to the handset manufacturers. Early duplexers at HP consisted of a printed circuit board (PCB) the size of a stick of gum that allowed for three connections, two places to glue down die, and approximately an inch-long delay line to act as a quarter-waveline between the Rx and the Tx/antenna port. Working die from a yielding wafer (in those days, the frequency variation across the wafer and wafer-to-wafer could be easily 10% to 20%) were picked to be both the Tx and Rx filters. Figure 5.4(a) shows the first FBAR phone and some of the FBAR team calling senior managers [Figure 5.4(b)]. Code division multiple access or CDMA was chosen as the communication protocol by several service providers in the United States (the two largest being Sprint and Verizon). CDMA has the feature of being able to use a given amount of frequency spectrum very efficiently. Part of the efficiency gains come from the fact that CDMA is a full-duplex-based technology (versus half-duplex technology incorporated in GSM phones). GSM worked like a “walkie-talkie” transmitting packets of data and then switching to receive to accept incoming packets of voice data. A full-duplex technology required the receiver to be listening at all times for incoming data whilst simultaneously transmitting data. This gives twice the capacity of GSM; however, the sheer enormity of dynamic range needed is staggering. The power amplifier might be transmitting at 1W while centimeters away, a delicate low-noise amplifier was struggling to resolve signals at 25-femto-Watts. Worse yet, the FCC in the United States had assigned a 1% separation in frequency between the transmit and receive (a 20-MHz, later 15-MHz guard band for the G-block PCS band). To
5.1 Introduction
121
(a)
(b)
Figure 5.4 (a) Picture of the first FBAR duplexer and cell phone. The three-port duplexer (center) consists of a Tx, Rx, and antenna ports, where the Rx die is separated by a one-quarter-wavelength transmission line from the antenna port. A ceramic duplexer is shown in front for size comparison. (b) Members of the original WSD FBAR team in 1998; Rich Ruby, Becky Whittaker (talking on the first FBAR phone), John Larson, Yury Oshmyansky, and Randall Canha.
work within the dictates of a 136-dB dynamic range, the duplexer was a huge piece of carved ceramic giving as much as 50 dB of isolation between input and output (today, phones using FBAR duplexers have a receive sensitivity of −110 dBm, another three times better). Because the FBAR was a bulk device, it could withstand the high powers duplexers were subjected to, meet (and later exceed) the isolation specs, and most important because it was so much smaller than ceramic duplexers, FBAR was quickly adapted into cell phones. Figure 5.5(a) shows the famous Samsung Wrist Watch phone introduced at Comdex in 2000. Figure 5.5(b) shows the phone board “guts” including our first 6 × 11-mm2 duplexer. This “Dick Tracy” phone was hailed as one of the top 5 innovative products of Comdex 2000.
(a)
(b)
Figure 5.5 (a) Picture of the Samsung SPH-S100. The phone was announced at Comdex 2000 as the new “Dick Tracy” phone. (b) Picture of the phone board of the SPH-S100.
122
FBAR Resonators and Filters
With the introduction of FBAR duplexers, a new generation of smaller and sleeker cell phones proliferated the market—cell phones as “bricks” became a thing of the past. One of the first FBAR phone introduced in 2001 by Sanyo was featured in the 2002 sci-fi movie Men in Black II with Tommy Lee Jones and Will Smith. 5.1.3
The Package
Although FBAR resonators are very small and the amount of silicon needed to make the filter is measured in fractions of a square millimeter, the finished duplexer—placed into an LCC chip carrier package—had no hope to shrink much 2 further than the 6 × 11 mm footprint shown in Figure 5.5(b). Furthermore, it quickly became apparent that the manufacturers of ceramic LCC packages were going to enjoy the fruits of FBAR success by keeping their package costs high—unmindful that in commodity applications such as cell phones, average sales price can erode at about 20% per year. The situation was untenable. There was a constant fear that SAW technology would soon find a way to make their technology work at 2 GHz in their sleek LTCC packages. Anticipating this, HP Labs embarked on a program to use wafer-level packaging technology (WLP) that would be smaller and cheaper than the ceramic packages used by SAW manufacturers. Starting in early 1998, and using a manual hydraulic press as the bonder, we demonstrated a feasible version of a working microcap’d filter. This work was presented in 2002 [11]. By late 2003, Agilent (Agilent was spun-off from HP in 1999) introduced the world’s first 5 × 5 mm2 FBAR duplexer using two microcap’d FBAR die. Figure 5.6(a) shows the first duplexer with hermetic packaged die (the overmold was not added so that the microcap’d die with bond wires connecting to the PCB are shown). In many ways, the development of the microcap and wafer-level packaging was as challenging as FBAR. For too long, most folks simply could not conceive that one
(a)
(b)
Figure 5.6 (a) An early version of our 5 × 5 mm duplexer using the first microcap’d FBAR filters for the Tx (left die) and Rx (right die). (b) Picture of an Rx die with the microcap lid removed. Pieces of silicon from the lid have broken off during the removal process and remain attached to the base FBAR wafer. 2
5.1 Introduction
123
could achieve “wholesale” hermetic package of thousands of devices during one simple bonding step. Figure 5.6(b) shows an Rx duplexer die where the microcap lid has been removed. Hermiticity is achieved by using a gasket around the perimeter of the die with smaller gaskets around the perimeter of each contact. A sign of a good bond is to have pieces of silicon break off during the debonding process and stick to the gasket [as seen in Figure 5.6(b)]. In early 2004, we began ramping up our first microcap’d FBAR product and by 2006 (8 years after starting the project), we published data on the reliability of microcap [12]. By late 2008, we had made over a billion microcap’d filters. 5.1.4
FBAR in Context with the Rest of the World
As early as 1996, with publications by Ken Lakin on solidly mounted resonators [13], the possibility of switching from FBAR to solidly mounted resonator or SMR-BAW technology was a viable and tempting option. Free-standing membranes often cracked after release. Stress control was far from perfect. In what was to become a signature of FBAR process, very few deterministic experiments would give anything other than ambiguous results. In a 2-month period in 1998, membranes were cracking and breaking regardless of what deposition or etch condition we tried. Cracking came back to haunt us several times in the early years and solid investigation and luck were needed to figure out all the sources that would cause cracking. In contrast, solidly mounted resonators looked simple and dependable. Furthermore, going to a solidly mounted BAW process kept open the door for future integration with CMOS, with filters and resonators into a single IC. However, the decision was made to stay with FBAR and focus on making FBAR manufacturable. The biggest concern regarding SMR-BAW was the ability to get sufficient Q and coupling between electrical and acoustic fields (the coupling coefficient). With the acoustic energy trapped in between a crystal/air interface, we were more confident of achieving high Q and sufficient coupling between acoustic and electric fields. A big uncertainty for the FBAR team had to do with the competition; that is, SAW technology. Fujitsu had introduced the cell band SAW duplexer in the early 1990s with great success. Other SAW manufacturers were quick to copy and the 800-MHz duplexers proliferated throughout the cell phone market and enabled single-band phones working at 800 MHz. It did not seem that far fetched that SAW technology would soon find itself in the 2-GHz PCS band. With their inherent low cost, small size, and economies of scale, it was widely believed that FBAR duplexers would be quickly replaced. Indeed, as early as December 1999, rumors that Fujitsu (then the leading supplier of SAW duplexers) was going to announce the imminent release of their SAW PCS duplexer [14]. The formal Fujitsu announcement of their SAW PCS duplexer occurred in November 2002, promising high volume, mass production in January 2003 [15]. We would hear from our customers that SAW competitors would offer a 30% lower price than any price we quoted. In July 2002, Robert Aigner of Infineon publicly announced in Taiwan the results and products of a large and mostly secret effort on BAW manufacturing [16].
124
FBAR Resonators and Filters
Unlike Agilent/HP, Infineon had decided to use the SMR-BAW approach to making filters and resonators. Also, as important, Infineon chose to focus their energy on interstage filters—mostly for Nokia. In what may have been a strategic blunder by Infineon’s senior management, their BAW team focused almost exclusively on commercialization of interstage filters (where only cost, not performance, mattered) and not the more lucrative and performance-sensitive duplexer market. (In August 2008, Avago annouced the purchase of the Infineon SMR-BAW group.) By 2005, SAW duplexers were beginning to finally make their presence in PCS CDMA cell phones. But, from a performance and robustness perspective, FBAR had grown out of its adolescent years into a full-blown mature technology with extremely high Q and excellent filter performance. Furthermore, single-digit yields were now a thing of the past and we were able to price our parts competitively. This was crucial; the high prices we charged for FBAR duplexers, early on, ended by late 2003. The estimated decline in average sales price was roughly 15% to 20% a year since 2003. In another irony of geopolitical proportions; many SAW companies felt that they needed to accelerate research and development in BAW, based on the success of HP/Agilent FBAR program. In so doing, precious R&D funds were diverted away from SAW development to begin serious BAW development. The jury is still out as to the wisdom of these investments.
5.2
FBAR Technology 5.2.1
Introduction
The Q-circle for a resonator is a representation of the reflection coefficient versus frequency on the Smith chart, and, can be thought of as a pictorial measure of the figure of merit (FOM) of the resonator. The closer the Q-circle is to the edge of the Smith chart, the better the FOM. Resonators with better FOM values can be made into filters that have better insertion loss of a filter, and a better shape factor (steepness of skirts), than filters using poorer FOM resonators. Insertion loss in the bandpass of a filter varies from the lower frequency edge of the passband to the higher frequency edge. Typically, the worst minimum insertion loss occurs at one of the two band edges. It is the worst-case insertion loss (for the worst temperature case), that defines the specification of the filter (not the minimum insertion loss). For the transmit filter of a PCS duplexer, the worst-case insertion loss usually occurs at the high-frequency band edge, 1,910 MHz, and at the maximum specified temperature, 85°C, while pumping the maximum amount of power through the duplexer from the power amplifier (frequency where maximum self-heating occurs). Since the materials used in the filter typically have negative temperature coefficient of frequency (TCF), the whole filter response moves down in frequency with increasing temperature. It is this case that defines the insertion loss of the filter. Conversely, the Rx filter in a duplexer running at the minimum temperature (−30°C) specified and at low power causes the Rx filter to move up in frequency. This corner case, at the low-frequency edge, defines the insertion loss of the Rx filter of a duplexer.
5.2 FBAR Technology
125
The best filter topology for making filters with steep skirts is a half-ladder design (series and shunt resonators—where the shunt resonators are mass loaded such that their resonant frequency is lower than the series resonators). The only “knob” one can use to make a superior half-ladder filter is to use resonators with a superior figure of merit or FOM. The relevant FOM, for any resonator in a filter, is the product of the coupling coefficient and the Q. Q turns out to be frequency dependent so the FOM is also frequency dependent and is defined as: FOM( f ) = kt2eff * Q( f )
(5.1)
Where the effective coupling coefficient, kt2eff is defined as; kt2eff = ( π 2 ) * (f s f p ) tan
[( π 2) * f
s
fp
]
(5.2)
and Q is the unloaded Q of the resonator. The two points on the Smith chart where the Q-circle crosses the real axes define the frequencies fs and fp, (series and parallel resonances). At these two points the reactance of the resonator is zero and the impedance is real. If the Q-circle is at the edge of the Smith chart, Q would be infinity. A measurement of the resistance at either fs or fp will give measurement of the figure merit (at fs and fp) and from the related FOM and kt2eff one can back out the unloaded Q (at fs or fp). One can relate the resistivity at fs or fp to the FOM as R p ~ FOM(f p ) * X o
(5.3a)
R s ~ X o FOM( f s )
(5.3b)
where Xo is the capacitance reactance of the resonator. One can write Xo in terms of the radian frequency, the plate capacitance, Co, and the effective coupling coefficient, kt2eff.
(
(
X o = ωC o 1 + kt2eff
))
−1
~ ( ωC o )
−1
(5.4)
and where Co = ε*εr*A/d A = Area of the resonator; d = Thickness of the piezoelectric region; εr = relative dielectric constant of the piezoelectric material. 2 The optimum value for kt eff is set by the relative filter bandwidth requirement. 2 The coupling coefficient, kt eff , is a property of the acoustic stack and is a material property that is independent of frequency. In fact, by definition, kt2eff is fixed by the two measured frequencies that define the series and parallel resonance—of the thickness extensional (or main longitudinal) mode, as measured on the Q-circle.
126
FBAR Resonators and Filters
For cell phone applications, the necessary bandwidth typically requires maximum values of kt2eff. There is only a small amount of design flexibility in varying kt2eff. Therefore, the best knob for obtaining large values of Rp (and, conversely, low values for Rs) is to improve Q. The Q, like kt2eff and kt2int, is also a function of the acoustic stack, (i.e., the choice of electrodes and how and where the acoustic stack is supported). Like kt2int, Q is also dependent on frequency. Q losses can have smooth dependencies on frequency as well as spurious losses (sharp degradation of Q at certain frequencies). If there were no parasitic lateral modes, the Q would be smoothly varying and the measured Q-circle would be a nice round circle on the Smith chart. This simple Q-circle could then be precisely modeled in the electrical domain by a few Rs, Cs, and Ls. This will be covered in more detail in the next section. Figure 5.7 shows the evolution of resonator Q from 1993 to 2007. Devices in Figure 5.7(a–e) use molybdenum electrodes in the acoustic stack (frequency ∼2 GHz), whereas in Figure 5.7(f), the Q-circle of an 820-MHz FBAR resonator using tungsten electrodes is shown. 5.2.2
Modeling of FBARs
There are three models that are used to understand and predict resonator performance. The one-dimensional Mason model [6] is a physical model that gives the electrical response as a function of the physical parameters and the thicknesses of these layers in the acoustic stack. One property of the Mason model is that it allows one to determine the effect of layer thickness on frequency. This model will also predict the kt2eff as a function of kt2int, electrode material, and the relative ratio of electrode thickness to piezoelectric material thickness. Thus, one uses the Mason model
Figure 5.7
(a–f) Evolution of the FBAR Q-circle starting from 1992 to 2007.
5.2 FBAR Technology
127
to design the acoustic stack. Figure 5.8(a) is a simplified version of the Mason model used on our ADS simulator (courtesy of Tiberiu Jamneala). The losses due to the top and bottom electrode as well as the AlN piezoelectric material are modeled as lossy transmission lines. However, we have found that over a narrow range of frequencies, one can model the losses by using lossless transmission lines to model the layers and by placing three resistors external to the impedance transformer. This is seen in Figure 5.8(b). The transformer ratio is π Xo/(kt2int*ZAIN), where Xo is given in (5.4), and the acoustic impedance, ZAIN = Sqrt[ρ*c33] where ρ is the mass density and c33 is the stiffness in the longitudinal direction. ZAlN is approximately 35 MRayls for AlN. The next model is really a framework of Newton’s equations along with the constitutive equations to create a finite element model (FEM) of the resonator. The FEM allows one to model the effects of the three-dimensional aspects of the resonator. However, this model takes a tremendous amount of computing power to finish a simulation and is often used in a postdictive capacity. In time, the role of FEM will change from postdictive to predictive. The third model is the Butterworth Van-Dyke model (BVD)—described in earlier chapters. The BVD model consists of a motional inductor, Lm, a motional capacitor, Cm, and a resistive loss term rm to account for the acoustic loss—all in series modeling the series resonance of an FBAR in parallel with a plate capacitor Cp. The BVD model will have two resonances; a series resonance, fs, and an antiresonance (sometimes referred to as the parallel resonance), fp, where
[L * C ] ~ = (1 [L * C ])* (1 + C
f ss = 1 fp
m
m
m
m
m
2 * C p ) = f s * (1 + C m 2 * C p )
(5.5)
We note from (5.5), that (fp − fs) is proportional to the ratio of Cm/Cp. 2 Equation (5.2) for kt eff can be fitted with the linear term (fp − fs)/( fp + fs) in the limit that (fp − fs) 20). Equation (5.8) has its roots in a theorem by Bode found in his book Network Analysis and Feedback Amplifier Design [20]. And, indeed, if rm is large (compared to the natural impedance, Zo, of the resonator), then (5.8), as an approximation, fails.
5.2 FBAR Technology
131
4. It would appear that (5.8) fails if |Γ(f)| passes through the center of the Smith chart (|Γ(f)| = 0). However, for reasonable Qs, one can recover the full accuracy of (5.8) by transforming the Q-circle in such a way that it is recentered in the Smith chart [19]. An example of a transformation to a new coordinate system would be to first transform Γ(f) back into an impedance Z(f) where: Z( f ) = (1 + Γ( f )) (1 − Γ( f ))
and the transformed reflection coefficient for (5.8) is Γ ′( f ) = (Z( f ) − Z o′ )
(Z( f ) + Z ′ ) o
where Z o′ would be the impedance point near or at the center of the measured Q-circle. For example, if the resonator impedance, Zo, is 300Ω, then set Z o′ to 150Ω. Care must also be taken to convert the group delay as well, where φ = atan[Im(Γ ′(f))/Re(Γ ′(f))]. A simpler technique (easily accomplished on today’s network analyzer) is to change the source impedance from the default 50Ω to a new impedance such that the Q-circle now centered on the Smith chart. The brute-force method has its limitations. In the case of resonators with significant lateral modes, the simple mBVD does not do a good job fitting the Q-circle. Figure 5.11(a) is a measurement of a 240Ω square resonator resonating around 720 MHz. Superimposed on the Q-circle in Figure 5.11(a) is a simple mBVD fit and a fit
m2
(a)
(b)
Rser SRLC
C plate R plate
SRLC
SRLC
SRLC
SRLC
C mot L mot R mot
mBVD Model fo = 722.562 MHz
R-L Mode 1 fo = 721.12 MHz
R-L Mode 2 R-L Mode 3 R-L Mode 4 fo = 721.563 MHz fo = 721.95 MHz fo = 722.744 MHz
(c)
Figure 5.11 (a, b) A 720-MHz resonator fitted to a mBVD model, and (c) to an mBVD model with additional RLC elements to model some of the parasitic modes.
132
FBAR Resonators and Filters
using a more complicated circuit is shown in Figure 5.11(b). Here, better justice is done to the fit by adding four additional RLC series circuits. This is seen in Figure 5.11(c). Again, a least-squares fit in ADS is used to find the values for each of the elements in the more complicated model. These additional RLC elements represent some of the parasitic lateral modes of the measured Q-circle in Figure 5.11(a) around fs. Figure 5.12(a) shows calculated Q(f) using BFM for the simple mBVD model superimposed with a BFM calculation using the more complex model. Since the additional RLC elements model the parasitic modes due to Rayleigh-Lamb waves generated in the plate, this model is referred to as the RLC-mBVD model. Note that the Q versus frequency curve is no longer Lorentzian. Figure 5.12(b) contains the two calculated curves of Q versus frequency from the two versions of the fitted models (the mBVD and the RLC-mBVD model) superimposed with Q versus frequency measurements using (5.8) applied directly to measured data of the resonator and to a data list generated from the RLC-mBVD fit. One can see that by adding more RLC series elements, both a better fit to the Q-circle is obtained and the Q as calculated by BFM more closely resembles the actual Q extraction from (5.8). More elements added to the circuit shown in Figure 5.11 would give better fits—especially between near fp. 1.0E4 9.0E3 m5
8.0E3
m5 Tx1_freq= 7.22500E8 Q=7826.87892
m6 Tx1_freq=7.39700E8 Q=456.04249
7.0E3 6.0E3
Q
5.0E3 4.0E3 3.0E3 2.0E3 1.0E3
m6
0.0 −1.0E3 7.10E8
7.15E8
7.20E8
7.25E8
7.30E8
7.35E8
7.40E8
7.45E8
7.50E8
Tx1_freq freq, Hz
(a) 1.0E4 9.0E3
m5
8.0E3
m5 Tx1_freq=7.22500E8 Q=7826.87892
m6 Tx1_freq=7.39700E8 Q=456.04249
7.0E3 6.0E3
Q
5.0E3 4.0E3 3.0E3 2.0E3
m6
1.0E3 0.0 −1.0E3 7.10E8
7.15E8
7.20E8
7.25E8
7.30E8
7.35E8
7.40E8
7.45E8
7.50E8
Tx1_freq freq, Hz
(b)
Figure 5.12 (a) A plot of Q versus frequency using the BFM approach on the two versions of the mBVD model described in Figure 5.11. (b) Plot (a), but with additional curves obtained from (5.8) on measured resonator and the RLC-mBVD model superimposed on the two plots.
5.2 FBAR Technology
133
There are two conclusions from this; one is that there is significant energy stored in the resonator in the form of the parasitic modes. These modes actually contribute to making Q(f) larger or smaller, than one would assume using a simple mBVD model. And second, that fitting the resonator with the mBVD model to extract Q has its limitations, in contrast, the Bode equation for Q is quite useful at showing the true unloaded Q for any reasonably high Q resonator. 5.2.4
The Rayleigh-Lamb Modes
The parasitic modes seen on the Q-circles in the previous figures are caused by a variety of modes including the excitation of the Rayleigh-Lamb (RL) modes inherent in a plate. These RL modes are a superposition of a longitudinal mode with a k-vector kl and a shear vertical mode with an associated k-vector, ksv. In an infinite three-dimensional volume, these two waves do not interact. However, in a plate, (infinite in x, y but of finite thickness in z), the scattering of either mode will convert into both shear and longitudinal modes. As shear and longitudinal waves scatter off the top and bottom surfaces of the plate, one can imagine a case where for a certain angle associated with a frequency, the two modes will recombine. In this case, the allowed modes satisfy the “transverse” resonance condition and reconstruct themselves after successive reflection from the lower and upper faces of the plate [21]. These modes are referred to as the Rayleigh-Lamb modes, where the RL modes have a k-vector β, and k12 = ( ω v l ) − β 2 and ksv2 = ( ω v s ) − β 2 2
2
(5.9)
where v1 is the velocity of the longitudinal wave and vsv is the velocity of the shear vertical wave. Figure 5.13 shows the dispersion curve highlighting all of the lateral modes in a simple plate of type II, 2.5 μm thick, piezoelectric AlN. In this figure, all of the various modes have been labeled accordingly. Besides the five Rayleigh-Lamb modes (S0, S1, A0, A1, and A2) there are also the three thickness shear modes (“pure” shear modes versus vertical shear modes). There is no conversion of pure shear into longitudinal or vertical shear modes for a simple plate. The Rayleigh-Lamb modes can be further broken down into two families; the symmetric modes(s) and the antisymmetric(a), or flexure modes. The symmetric RL modes are the ones that create the subresonances seen on the measured Q-circles. It is these subresonances that will cause strong ripple in the passband of any filter using these high Q resonators. Hence, one must take care to analyze the source of these modes and if possible, suppress them or eliminate them. Flexure modes are not easily measured electrically. The reason one cannot electrically “see” flexure modes is that the relative spacing between the electrodes remains fixed and therefore voltage between electrodes due to flexure modes is constant. The reason one can only electrically see the symmetric modes on a measured Q-circle (as opposed to the flexure modes), is that at any given point on the resonator, there is a periodic expansion and contraction of the piezoelectric material, therefore inducing a periodic voltage across the two electrodes at that point. Assuming that a plane defined in the center of the piezoelectric film (parallel to the top and
134
FBAR Resonators and Filters
3.0e+009 A2 mode (flexure) Shear Modes: 1 rst and 2nd Harmonics
2.5e+009
S1(−)
Frequency
2.0e+009
S1(+)
fd
0.5e+009
S1 Sysmmetric Mode: Characteristic “Swoon”
A1 Mode (flexure mode) 1.0e+009 S0 Mode (symmetric mode)
5.0e+008
0th Order shear mode
A0 Mode (flexure mode) 0.0e+000 0.00
Figure 5.13
0.05
0.10
0.15
0.20
0.25
0.30
0.35
0.40
0.45
0.50
Calculated dispersion curve for an AlN plate of thickness 2.5 μm thick.
bottom surfaces) defines 0 voltage, the voltage of the top electrode would measure at V(x,y)/2, while simultaneously, the voltage of the bottom electrode would measure −V(x,y)/2, where V(x,y) is a voltage at any point x,y on the resonator. The mode that has a very strong electrical signal would be the TE-1 or the first thickness extensional mode near fs (also referred to as the S1 mode). Here the top and bottom electrodes move up and down in opposing motion with respect to each other. But, unlike the fundamental longitudinal mode, the vertical displacements vary locally across the face of the resonator. The pure longitudinal mode (occurring only at fs) has periodic opposing motion between the two electrodes and the same phase everywhere on the top plate and the same phase but 180° out of phase on the bottom plate. As energy “sloshes” from side to side in the resonator, below fs, the phase of the voltage as measured at any point on the top electrode varies as a function of the x,y location (and likewise for the bottom electrode). This leads to variations in voltage on the same electrode at any given point in time. If the voltages on an electrode are different in two places, current will flow between the two points. This phenomenon, piezoelectrically induced eddy currents, is a source of energy loss. The hit in Q comes from the I2R losses due to eddy currents flowing in each electrode. For a free-standing, apodized, membrane using AlN for the piezoelectric, the majority of losses due to this phenomenon lie below fs. Figure 5.14 is a measured Q-circle with two separate mBVD fits. In the mBVD model, the only change is the element rseries. Here, the series resistor term was increased five times in order to fit the measured data below fs. All other parameters are unchanged. From Figure 5.14 one
5.2 FBAR Technology
135
mBVD fit abovef s
mBVD fit belowfs f [MHz]
SH-2 of resonator
fs Clear evidence of a cut off frequency
fs
S1- or TE-1 of resonator
Im(ν) L R C Rmotion2 Cmotion2 Lmotion2 L=71 nH R=0.265 Ohm C=91.4 fF R=
R Rseries2 R=0.26 Ohm
C Cplate2 C=1.563 pF
~1.3 Ω
Re(ν) (1/cm)
R C Rmotion2 Cmotion2 R=0.265 Ohm C=91.4 fF
L Lseries2 L=-0.13 nH R=
R Rseries2 R=0.26 Ohm
R Rplate2 R=0.6474 Ohm
C Cplate2 C=1.563 pF
L Lmotion2 L=71 nH R=
L Lseries2 L=-0.13 nH R=
R Rplate2 R=0.6474 Ohm
Figure 5.14 The Q-circle of an FBAR resonator. Two different mBVD models were used to model the device below and above fs, the series resonance.
can see that rseries changes dramatically at fs. This suggests that there is specific RL mode that has a cutoff frequency at fs. Indeed, if one calculates the Rayleigh-Lamb dispersion equations, one will see that just below fs, there are four modes. One of those modes, TE-1 mode, has a cutoff frequency at fs. One can derive the dispersion diagrams for the RL modes based on the “transverse” resonance principle described in volume II of Bert Auld’s book, Acoustic Fields and Waves in Solids [21]. Following the nomenclature of (5.9) and the teachings of Auld, one can write down the dispersion relations of the symmetric case for a simple plate as R = −4 β 2 kl ksv
(k
2 sv
− β2
)
2
(5.10)
and for the asymmetric case R −1 = −4β 2 kl ksv
(k
2 sv
− β2
)
2
(5.11)
where R = tan(ksvd/2)/tan(k1 d/2) and d = plate thickness. Figure 5.15 is measured and calculated dispersion curves (ω−β) for the first two pairs of symmetric and antisymmetric modes, of an 920-MHz W/AlN/W resonator. The measurement and simulation techniques are discussed by Telschaw et al. [22]. The labeled S1 curve (or TE-1 mode) has a minimum at a frequency below fs; we call this the dilational frequency, fd (where B → 0.08 μm and fd = 900 MHz). At this point, S1 bifurcates into two branches, one, with a negative group velocity, S1(−), that terminates at fs where the k-vector is zero. This represents the case where the
136
FBAR Resonators and Filters 1.0
SH
S1
0.9
900
0.8
800 700
r
ea
A1
0.7
Frequency (GHz)
Ω/2p (MHz) ~1/vshear
1000
Sh
0.6
600 500
0.5
0.4
400 300
S0
0.3
A0
0.2
200
0.1
100 0
0.05
0.1
0.15
0.2
K/2π (1/μm)
0.25
0.3
0.35
0.0 0.00
0.05
0.10
0.15
0.20
0.25
0.30
0.35
kx (1/μm)
Figure 5.15 Measured and calculated dispersion curve of a Tungsten electrode resonator (fs ~920 MHz). The thicknesses of the stack were used to generate simulated dispersion curves. One can overlay the two curves and see an excellent match of all frequencies and wave numbers for each mode. (Courtesy of J. Larson and A. Shirakawa.)
lateral wavelength of this TE-1 mode is infinity and the two electrodes uniformly move up and down like a reciprocating pistons. The S1(−) curve is referred to as the thickness extensional mode or TE-1. The TE-1 mode is quite strong in free-standing BAW devices using AlN as the piezoelectric material. However, above fs, the only allowable symmetric RL modes are the S0 mode and the right branch, S1(+), of the S1 mode. These two modes have relatively large wave numbers (β large), corresponding to smaller wavelengths. The device shown in Figure 5.15 has a total thickness of a membrane with 0.8 μm tungsten electrodes and the AlN thickness of 2.24 μm, the resonator will resonate at 920 MHz. The area of the square resonator measured Figure 5.15 would be 25,600 μm2 and an edge would be 160 μm. From Figure 5.15, the wave number for f −1 = fd is 0.08 μm giving a wavelength of 12.5 μm. As one travels along the S1(−) dispersion curve, the wavelength gets longer and longer, becoming infinite at β = 0. There will be fewer wavelengths of the S1(−) mode trapped between electrode edges as frequency approaches fs. In comparison, the wave number (and wavelength) just above fs for the associated S0 mode is 0.16 μm−1 (or 6.25 μm), and the wave number (and wavelength) at −1 just above fs for the associated S1(+) mode is 0.11 μm (or ∼9 μm). For an edge separation of 160 μm, the number of S0 wavelengths in one direction is ∼25.5. And, for the S1(+) mode there are ∼17.5 wavelengths—just above fs. Another observation is the relative group velocities of the S0 mode and right branch or the S1(+) mode, versus the left branch of the S1(−) mode (or TE-1 mode). Ignoring the sign of the velocities, we see that the TE-1 mode group velocities are very small; hence, for lateral boundary conditions given above, we would expect to see lateral subresonances grouped closely together in frequency compared to subresonances of the S1(+) and S0 modes. Simple “eyeballing” the relative slopes from Figure 5.15 would suggest that for the same spacing, TE-1 subresonances will be two to three times closer spaced.
5.2 FBAR Technology
137
Figure 5.16 is a set of interferometer measurements taken just below the dilation frequency (onset of the S1 mode) to fp. For frequencies greater than fs or less than fd, the membrane displacement is relatively small. Furthermore, only fine features (high wave numbers) are seen. But, between fd and fs, the membrane displacement is qualitatively quite different. Here, the local displacements within the membrane become large and as the TE-1 mode S1(−) approaches fs, the wave numbers become larger and the features become more gross. Figure 5.17(a) is a Q-circle of a square resonator and Figure 5.17(b) shows the log magnitude of a measured S11 [23]. One can see that below fs, the TE-1 subresonances are closely spaced. We see that the frequency spacing of these electrically measured subresonances literally map out the dispersion curve of the TE-1 mode. Above fs, we see the electrically measured subresonances spaced further apart, as expected for the higher group velocities associated with the S0 and S1(+) modes. 5.2.5
Apodization
Prior to discussing apodization, it is worth looking at the measured TE-1 dispersion curve for very narrow and long FBAR resonators. Figure 5.18 shows such a layout for two such designs. The long edges are parallel and the two short ends are angled. This topology is chosen to exacerbate the RL TE-1 mode in the narrow direction and “smear” out standing waves in the long direction. As can be seen from the two
Freq = fd – 1 MHz
Freq = fd + 1 MHz
Y (μm)
200
200
200
300
300
300
400
400
400
100
200 300 X (μm)
400
100
200 300 X (μm)
400
100
Freq = fs + 1 MHz
Freq = fs – 1 MHz 100
200
200
300
300
300
400
400
400
100
Figure 5.16
200 300 X (μm)
400
400
100
Y (μm)
200
200 300 X (μm)
Freq = fp
100
Y (μm)
Y (μm)
fd < Freq < fs 100
100
Y (μm)
Y (μm)
100
100
200 300 X (μm)
400
100
200 300 X (μm)
Interferometric measurements of the local displacement in an apodized resonator.
400
138
FBAR Resonators and Filters x = 1 x = 1.5
x = 0.5
x = 2
r = 0
x = 4
x = 0
(a)
r = 1
r = 2
r = 1 2
x = −4 4 x = −2 2 x = −0.5 0.5
x = −1.5 1.5 x = −1 1 0.98 0.96
fd
0.94 0.92
(b)
1.8
1.9
2.1
fs
2.2
0.88
Figure 5.17 Q-circle (a) of a square resonator, and (b) the measured magnitude, |Γ|. The “landmark” frequencies at fd and fs are indicated. Between fd and fs, the quasi-periodic oscillations are 4 to 5 MHz. Above fs, the periodicity changes to 15 MHz. Interference between the S1(+) and the S0 modes can be seen above fs.
sets of dispersion measurements, one sees a discrete set of relatively large-signal intensity (from the acoustic microscope). The wave numbers are equally spaced in wave number (but not in frequency) and are inversely related to the electrode width (in the narrow direction). Electrically, these bright spots are measured at the various subresonances seen on the Q-circle (below fs). If one assumes that the strain is zero at the two edges of the swimming pool, then one can guess a simple solution for the strain over the width to be S( x ) = S o sin(2πβx )
(5.12)
At x = 0 and L, S(x) = 0, then 2πβL = n π (n = 1, 2, 3, 4, ...) If we assume that the forces are opposite in sign at the two edges, then we can replace n with (2 n − 1). Or, for the standing waves in the narrow direction β = (2n − 1) (2 * L) n = 1, 2, 3, 4, K
(5.13)
What can also be seen is that the measured dispersion curve is continuous (or nearly continuous) measured wave numbers. That is, other allowed solutions exist
5.2 FBAR Technology
139
2000 2000
1950
f (MHz)
f (MHz)
1950
1900
1900
. .
1850
1800 0
.
1850
0.05
0.1
+ Electrode
0.15
− Electrode 80 μm wide
1800 0
0.05 0.1 0.15 0.2 0.25 0.3 0.38
+ Electrode
− Electrode 40 μm wide
Figure 5.18 Two 500 μm-long FBAR resonators with 80- and 40-μm widths respectively, apodized at both ends and their measured dispersion curves (measurements courtesy of J. Larson).
between the expected standing waves set up in the narrow dimension. These quasi-continuous states exist due to the angled edges at the far ends. Standing waves here are spaced quite close in frequency and wave number. It is assumed that the acoustic microscope (in this mode of operation) can only see standing waves. Hence, the nearly continuum of measured states on the TE-1 dispersion curve suggests nearly a continuum of standing waves. Since, the spacing of these nearly continuous states are closely spaced, we can assume that the value of n for any point is very large. At nearly every frequency there is another allowed standing wave. If one were to imagine a ray trace emanating from one of the angled edges, and follow that over multiple reflections from the other three edges, there would be a large number of reflections before the ray comes back on itself. This implies many wavelengths, or another way is to say that n in (5.13) is very large. This observation explains how apodization, or changing the shape of the electrodes from Manhattan geometry to a non-Manhattan geometry would help smear those modes above fs. By making edges nonparallel, we recognized that the path lengths for the fundamental subresonance would greatly increase (e.g., n times longer). Therefore, a standing wave can exist at almost every frequency (Δf between standing waves is very small). Thus, apodization smooths out the variation in electrical subresonances. The downside to apodization is obvious; the subresonances are much more closely spaced, giving the appearance of a nearly continuum of states. But, the loss is no longer limited to discrete frequencies but is also smeared out over all frequencies. Figure 5.19 is a Q versus frequency [using (5.8)] of two resonators identical in area, but one shaped as a square and the other as a squashed pentagon. This leads to an interesting observation. The Q is larger for square resonators for those frequencies
140
FBAR Resonators and Filters
1.0E4
m7 m5
5.0E3
freq (700.0 MHz to 760.0 MHz)
0.0 −5.0E3 −1.0E4 −1.5E4 700
Figure 5.19
710
720
730
740
750
760
Q versus frequency plots for two resonators of identical area, but with different shapes.
in-between the subresonances (we are only concerned with those resonances above fs). What this tells us is that energy loss (presumably out of the edges of the resonator) are real and of measurable concern. When one correctly apodizes the membrane, one creates loss at almost every frequency above fs (unlike loses for a square resonator at v/2*L frequency intervals). Apodization smears out the Q losses due to these RL modes S0 and S1(+). However, it neither eliminates nor suppresses these lateral modes. By careful design of the apodization, we have been able to obtain a nearly smooth Q-circle [an example is seen in Figure 5.20(a)]. Here a quadrilateral design was used to apodize the shape. Figure 5.20(b) is the log magnitude of the reflection coefficient. One can see how much smoother the reflection coefficient is above fs. However, the TE-1 modes are still quite strong, and given that the wavelengths are much longer for this mode, the subresonances are still relatively strong. 5.2.6
Frames
Since 1997, work at Avago (then HP) focused on Q enhancements for apodized resonators. As stated earlier, kt2eff is a limited knob when trying to improve Rp. Most high-volume filter applications require bandwidths where only the maximum kt2eff is useful. The kt2eff , in turn, is limited by the intrinsic coupling value (material limited) and the configuration of the electrodes (e.g., W versus Al electrodes). No such limitation is forced on Q. Improve Q by two times, Rp and Rs improve by two times, and with that, the insertion loss and shape factor of any filter using FBAR resonators improves. In 2003, Kaitila et al. presented a paper on the use of frames that would eliminate lateral modes (as opposed to suppressing or smearing of modes via apodization). This is discussed in much more detail in Chapter 2 and in [24]. In all of the published papers on frames since 2003, the focus has been on FBARs using ZnO
5.2 FBAR Technology
141 x = 1 x = 1.5
x = 0.5
x = 2 r = 0
x = 4
x = 0
r = 1
r = 2
r = 1 2 x = −4 x = −2 x = − 0.5 x = −1
x = − 1.5
(a)
0.96 0.94 0.92 1.8
1.9
2.1
2.2
0.88
(b)
Figure 5.20 Q-circle (a) of a quadrilateral (i.e., apodized) resonator, and (b) the measured magnitude, |Γ|. Between fd and fs, the quasi-periodic oscillations are more smeared, but still visible.
as the piezoelectric or SMR-BAW devices that use AlN. In both cases, the TE-1 piston mode exists above fs—not below. In the case of FBARs using AlN as the piezoelectric material, the TE-1 mode lies below fs. This is one fundamental difference between FBAR versus SMR-BAW and has been discussed elsewhere [25]. Thus, the application of a frame around the perimeter of the resonator—as taught by Kaitila—will not work. This is made clear in Figure 5.21(a). In the case of SMR-BAW, the TE-1 mode rises above fs. [Note: Even though ALN is a type II piezoelectric material, if there is sufficient oxide in the acoustic stack (and/or mirror for SMR-BAW) the resonator will behave like a type I acoustic stack where the TE-1 mode is above fs.] The frame region for a type I acoustic stack (a raised frame) will also have a TE-1 mode rising above fs, but due to the thicker acoustic stack, the TE-1 mode for this region is overall at a lower frequency and terminates at f = f s′ where f ′ < f s . If the thickness and width of this frame is chosen correctly, one can create an “eigensolution” consisting of: (1) a uniform displacement throughout the center region (the majority of the resonator area), (2) an exponentially decaying function outside of the resonator, and (3) the frame region where the amplitude and first derivative match at each inter-
142
FBAR Resonators and Filters
f [MHz]
TE-1 mode of resonator
f [MHz]
.
TE-1 mode of raised frame
fs fs ’ SH-2 mode of resonator
Im(β)
Re(β) (a)
(1/cm)
SH-2 mode of resonator
.
TE-1 mode of recessed frame
TE-1 mode of raised-frame region of resonator
Im(β)
Re(β)
TE-1 mode of resonator
(1/cm)
(b)
Figure 5.21 The dispersion curves of a type I resonator such as a SMR-BAW (a) and equivalent curve for a type II resonator such as an FBAR using AlN piezoelectric (b). Also shown are the dispersion curves for the frames (raised or recessed) for the two kinds of resonators. For a thin delta thickness, there will be a solution in the frame such that the center region motion will be uniform.
face. In particular, if the framed region has a real solution such that the eigenfunction and its first derivative are continuous, no other Eigensolution can exist by reason of orthogonality and thus, no subresonances will occur. Without this breakthrough, the SMR-BAW would have never reached the performance it enjoys today. For FBAR using AlN, one would have to use a recessed frame to accomplish the same thing. In this case, the perimeter is at a higher frequency compared to the center or main region of the resonator. If the width and amount of removed material is done correctly, there will be an allowable eigensolution where the center region displacement is uniformly flat across the center region. Figure 5.21(b) is the TE-1 dispersion curve for a type II resonator (an FBAR consisting of a free-standing membrane and used AlN as a piezoelectric material). The solid line represents the center region (the majority of the resonator area) and the dotted line is the TE-1 dispersion curve for the recessed frame around the perimeter. We have done this and we see suppression of the S1(−) mode and an improvement in the Qu below fs. The amount of improvement for an optimized value of the recessed frame width and thickness will vary depending on if the electrode is Mo (a big improvement) or W electrodes (a more modest improvement). However, above fs, at fp we see a degradation of Rp. The Qu, in general, is degraded everywhere above fs. The reason for the degradation near fp, is believed to be the fact that for those RL modes, S0 and S1(+), the recessed frame acts like an acoustic horn, foucusing the energy emitted from the membrane into the silicon anchoring points. It is well-known that one can match two dissimilar transmission lines by the use of a quarter-wave line whose impedance is the geometrical mean of the two transmission
5.2 FBAR Technology
143
lines. In the case of an FBAR, the center region is the most heavily loaded acoustic stack and the region between the electroded FBAR and the anchoring silicon edge (an area missing a top electrode) is much less heavily loaded. The recessed frame—lying between the two regions—is more heavily loaded than the outer region, but less so than the inner region. Thus, the recessed frame acts like an impedance matching element for RL lateral waves. Once energy has left the resonator and is launched into the supporting substrate, one can assume that energy is lost and hence, will limit the Qu. When we observe membrane (with recessed frame) motion at f90 (midway between fs and fp) under the acoustic imaging microscope, we see a large displacement at the perimeter of the resonator. This displacement is larger than the relative displacement of the center region, indicating a large amount of energy escaping the resonator. This is not the case for a resonator with optimized raised frame. So, what happens if one puts a raised frame around the periphery of a type-II acoustic stack? The answer is that if done correctly the Rp is greatly enhanced over a standard resonator without a raised frame [26]. The raised frame for a type II FBAR (i.e., a free-standing resonator using AlN piezoelectric) acts like a large impedance mismatch. In Figure 5.21(b), the dotted line represents the dispersion curve for a raised frame. For RL modes below fs (primarily the TE-1 mode) the perimeter frame acts as a energy barrier. Only evanescent waves are allowed inside the frame FBAR for those frequencies above f s′ of the frame. Thus, the frame acts to be a very high quality reflector for the TE-1 RL generated lateral waves. The net effect is that below fs, the trapped lateral modes have higher Qs and thus create larger rattles in the Q-circle along the southwest quadrant. However, for the S0 and S1(+) modes (below and above fs), the frame will have allowed states. But, above fs, we see the measured Qu to be significantly better than a resonator without raised frames around the periphery. The higher order RL modes (i.e., the S0 and S1(+) modes) are certainly allowed in the frame region FBAR. However, movies made of the motion of the acoustic resonator with a raised frame (using an acoustic microscope), clearly show that the energy is also well trapped inside the resonator (versus no frame). In the latter case, energy is seen leaking out into the silicon with a commensurate amount of bending occurs between the edge of the top electrode and the edge of the swimming pool. It is interesting to look at the fitted mBVD model for two resonators of equal area and stack make-up but one with a raised frame and the other without a raised frame. Indeed, what one finds is that the only term that changes dramatically is the ro term. This is the resistor in series with the plate capacitance. This value changes by 5 to 10 times as one compares a standard FBAR with one having an optimal width raised frame. So, what is happening? We argue that the raised frame belongs to part of a lateral Bragg reflector (BR). Since the physics of frames are quite different, one might wonder at what happens when one combines a recessed frame with a raised frame. A patent on this concept was filed in 2005 [27] and was independently published by Thalhammer et al. of Infineon in 2006 [28]. To see if there is any merit to this concept, we made a series of FBAR resonator layouts with varying frame widths. If there is a dependence due to interference, one would expect to see a periodic dependence on Qu (or Rp—since kt2eff only slowly
144
FBAR Resonators and Filters
changes with frame width) versus width. Also, as part of the experiment, we also laid out resonators with varying widths of recessed frames. The layouts thus constituted a two-dimensional array of resonators where the inner recessed-frame resonator widths varied along the x direction and the outer raised-frame resonator width varied along the y direction. Figure 5.22(a) shows Rp as a two-dimensional function of the recessed-frame width (horizontal axes labeled E) and an outer raised-frame width (vertical axes labeled A). The array is repeated multiple times across a 6” wafer and the median value Rp for each “flavor” of resonator is plotted in Figure 5.22(a). The frame width is varied along the y axes from zero to 11 μm. As one can see, the Rp is periodic with respect to the raised-frame width (1/4, 3/4, and 5/4 periodicity at 2 μm, 6.5 μm, and ∼11 μm). From Figure 5.22(a) and assuming the Bragg relationship, the maximum reflection occurs for that frequency whose wavelength is 8.5 to 9 μm (for a wavenumber of 0.11 μm−1). From Figure 5.22(b), we can infer that the dominant mode that leaks energy from the edges is most likely the S1(+) mode and not the S0 mode. In Figure 5.22(b), we see that at fp, the S1(+) mode had a wavelength of about 8 ∼9 μm. This leads us to the conclusion that the S1(+) mode is the larger contributor to loss (as compared to the S0 mode). Therefore, one can maximize Rp (at fp) by choosing a frame width to be λ (2n − 1) , where λ is the wavelength of the S1(+) mode at fp. However, it should be 4 emphasized that the added benefits of a raised frame around the periphery must be accompanied by appropriate apodization of the resonator. In contrast with the raised frames, varying the widths of recessed frame devices shows no such periodic dependence of Rp with width. Again, this is seen in Figure A E
Rp 11.0 10.0 9.0
980 960
S22_RP
8.0